Список основных обозначений
Предисловие
Введение
В.2. Системы управления
ЧАСТЬ I. НЕКОТОРЫЕ СХЕМОТЕХНИЧЕСКИЕ РЕШЕНИЯ И ОСОБЕННОСТИ РАБОТЫ ТРАНЗИСТОРНЫХ ПРЕОБРАЗОВАТЕЛЕЙ
1.1. Взаимодействие силового ключа и диода, быстродействие диода и его влияние на основные показатели устройства
1.1.2. Потери мощности в открытом диоде
1.1.3. Потери мощности при включении ключа
1.1.4. Потери мощности при выключении диода
1.2. Основы расчета дросселя ККМ
1.3. Особенности работы ККМ в широком диапазоне напряжения сети
ГЛАВА 2. ТРЕХФАЗНЫЕ КОРРЕКТОРЫ КОЭФФИЦИЕНТА МОЩНОСТИ
2.2. Выпрямитель Виенна
ГЛАВА 3. МОСТОВЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ С ФАЗОВЫМ УПРАВЛЕНИЕМ
3.2. Мостовой преобразователь с удвоителем тока, несимметричный режим работы трансформатора
3.3. Двухтрансформаторный мостовой преобразователь
ГЛАВА 4. НЕСИММЕТРИЧНЫЕ ПОЛУМОСТОВЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ
4.2. Сравнение несимметричного полумостового преобразователя с другими схемотехническими решениями
4.3. Решения, улучшающие работу несимметричного полумостового преобразователя
4.4. Экспериментальные результаты
ГЛАВА 5. DC-DC ПРЕОБРАЗОВАТЕЛИ С ДРОССЕЛЕМ НА ВХОДЕ
5.2. Работа преобразователей с дросселем на входе при учете индуктивности рассеяния трансформатора
5.3. Цепь клампа как средство обеспечения надежной работы преобразователя
5.4. Анализ работы преобразователя с цепью клампа
5.5. Пусковой режим
5.6. Преимущества DC-DC преобразователя с дросселем на входе по сравнению с другими решениями
5.7. Экспериментальные результаты
ГЛАВА 6. РЕЗОНАНСНЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ С ПОДКЛЮЧЕНИЕМ НАГРУЗКИ ПОСЛЕДОВАТЕЛЬНО К РЕЗОНАНСНОМУ КОНТУРУ
6.2. Многорезонансный преобразователь
6.2.2. LLC-преобразователь
ЧАСТЬ II. ОСНОВЫ ТЕОРИИ УПРАВЛЕНИЯ СИСТЕМ С ОБРАТНОЙ СВЯЗЬЮ
7.1.2. Связь непрерывного сигнала с 5-функцией
7.2. Преобразование Лапласа
7.2.2. Обратное преобразование Лапласа
7.2.3. Передаточная функция и частотная характеристика
7.3. Структурные схемы САУ
7.4. Временные параметры переходного процесса
7.5. Частотные методы оценки свойств звеньев и систем
7.5.2. Графическое изображение амплитудно-фазовых частотных характеристик
7.5.3. Частотные критерии устойчивости
7.6. Устройства коррекции
7.6.2. Инерционное пропорционально-дифференцирующее звено
7.6.3. Корректирующее звено с отставанием по фазе
7.6.4. Пропорционально-интегродифференцирующее звено
7.7. Метод корневого годографа
7.7.2. Влияние цепей коррекции на корневой годограф системы
7.8. Метод пространства состояний
7.8.2. Уравнения состояния в стандартной форме
7.8.4. Уравнения состояния в модальной форме
7.8.5. Управление на основе обратных связей от переменных состояния
ГЛАВА 8. ДИСКРЕТНЫЕ СИСТЕМЫ
8.2. Разностные уравнения - основа описания дискретных систем
8.3. Дискретная свертка
8.4. Z-преобразование и обратное Z-преобразование
8.4.2. Обратное Z-преобразование
8.5. Передаточная функция дискретной системы и ее частотная характеристика
8.6. Передаточная функция дискретной системы: аппроксимация в s-области
8.7. Анализ дискретной системы
8.8. Метод пространства состояний для дискретных систем
8.8.2. Управляемость дискретной системы
8.8.3. Задание полюсов в замкнутой дискретной системе
ГЛАВА 9. СИЛОВАЯ ЧАСТЬ ПРЕОБРАЗОВАТЕЛЯ КАК ЗВЕНО СИСТЕМЫ    АВТОМАТИЧЕСКОГО УПРАВЛЕНИЯ
9.2. Непрерывная линейная модель импульсного понижающего преобразователя напряжения
9.3. Непрерывная линейная модель повышающего импульсного регулятора напряжения
9.4. Непрерывная линейная модель несимметричного полумостового преобразователя
9.5. Непрерывная линейная модель понижающего импульсного регулятора напряжения в режиме прерывистого тока дросселя
9.5.2. Линейная модель
ГЛАВА 10. НЕКОТОРЫЕ ВОЗМОЖНОСТИ КОМПЛЕКСА MATLAB ДЛЯ АНАЛИЗА И ПРОЕКТИРОВАНИЯ СИСТЕМ УПРАВЛЕНИЯ ПРЕОБРАЗОВАТЕЛЯМИ ЭЛЕКТРИЧЕСКОЙ ЭНЕРГИИ
10.3. Набор блоков и функций SymPowerSystems
10.4.1. Построение годографа Найквиста
10.4.2. Логарифмические частотные характеристики
10.4.3. Временные характеристики
10.6. Представление моделей по методу пространства состояний
10.6.2. Получение матриц уравнений состояния в модальной форме
10.6.3. Применение формулы Акерманна
10.7. Дискретные системы
10.7.2. Частотные характеристики дискретных систем
10.7.3. Метод корневого годографа для дискретных систем
10.8. Замкнутый обратной связью преобразователь
10.8.2. Модель ИРН-1 на основе электрической схемы
10.8.3. Разомкнутая система управления
10.9. Моделирование ИРН-1 в режиме прерывистого тока
ЧАСТЬ III. ТЕХНИЧЕСКИЕ СРЕДСТВА, ИСПОЛЬЗУЕМЫЕ В СИСТЕМАХ УПРАВЛЕНИЯ ПРЕОБРАЗОВАТЕЛЯМИ
11.2. Смешанная система управления
11.3. Полностью цифровая система управления
11.4. Дополнительные возможности систем управления преобразователями при использовании микроконтроллеров
ГЛАВА 12. МИКРОПРОЦЕССОРЫ И ПРОГРАММИРУЕМАЯ ЛОГИКА
12.2. Внутренняя структура построения микропроцессоров и микроконтроллеров
12.3. Аналого-цифровой преобразователь
12.3.2. Параметры АЦП
12.4. Широтно-импульсный модулятор
12.5. Цифро-аналоговый преобразователь
12.5.2. Характеристики ЦАП
12.5.3. Примеры применения ЦАП
ГЛАВА 13. ОСНОВНЫЕ СВЕДЕНИЯ О СИГНАЛЬНЫХ ПРОЦЕССОРАХ TEXAS INSTRUMENTS TMS320F280x
13.2. Среда разработки программного обеспечения Code Composer Studio
13.3. Структура программного обеспечения при проектировании системы управления
13.4. Организация единичного прерывания, принцип единичного прерывания при построении цифровой системы управления
13.5. Аналого-цифровой преобразователь ЦСП серии TMS320F280x
13.6. ШИМ-контроллер ЦСП серии TMS320F280x
ГЛАВА 14. ПРИМЕНЕНИЕ ФИЛЬТРОВ И ПИД-РЕГУЛЯТОРОВ ПРИ ЦИФРОВОМ УПРАВЛЕНИИ
14.2. Расчет коэффициентов цифровых фильтров
14.3. Существенные особенности управления преобразователями при использовании цифровых фильтров
14.4.1. Снижение шума выборкой с запасом по частоте
14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых фильтров, ошибки квантования при использовании арифметики с фиксированной запятой
14.6.2. Квантование коэффициентов цифровых фильтров
14.6.3. Анализ точности в MATLAB с помощью блоков для моделирования систем ЦОС
14.7. Ошибки цифровых фильтров, вызванные округлением и переполнением
14.8. ПИД-регуляторы в системах управления преобразователями
ЧАСТЬ IV. ПОСТРОЕНИЕ АНАЛОГОВЫХ И СМЕШАННЫХ СИСТЕМ УПРАВЛЕНИЯ
ГЛАВА 15. ПРОЕКТИРОВАНИЕ ДИНАМИЧЕСКИХ СВОЙСТВ КОРРЕКТОРА КОЭФФИЦИЕНТА МОЩНОСТИ ПРИ ИСПОЛЬЗОВАНИИ СТАНДАРТНОГО КОНТРОЛЛЕРА
15.2. Параметры элементов силовой части
15.3. Подключение контроллера
15.4. Исходные данные для создания модели в MATLAB
15.5. Модель корректора коэффициента мощности в MATLAB
15.6. Корректирующие звенья по напряжению и току
15.7. Сравнение с экспериментальными результатами
ГЛАВА 16. УПРАВЛЕНИЕ DC-DC ПРЕОБРАЗОВАТЕЛЕМ
16.2. Особенности управления по максимальному току несимметричного полумостового преобразователя
16.3. Основные требования, предъявляемые к преобразователю, результаты расчета и выбора компонентов
16.4. Модель несимметричного полумостового преобразователя и расчет цепей коррекции
16.5. Результаты моделирования преобразователя и эксперимента
ГЛАВА 17. ВЫСОКОЧАСТОТНЫЙ ТРАНЗИСТОРНЫЙ ВЫПРЯМИТЕЛЬ С КОРРЕКЦИЕЙ КОЭФФИЦИЕНТА МОЩНОСТИ ПРИ СМЕШАННОМ УПРАВЛЕНИИ
17.2. Слежение за сетью и управление максимальной мощностью
ГЛАВА 18. ЭЛЕКТРОННАЯ НЕРАССЕИВАЮЩАЯ НАГРУЗКА
18.2. Построение силовой части ЭНН
18.3. Управление нагрузкой
18.3.2. Нормальный режим
18.4. Практическое выполнение ЭНН
ГЛАВА 19. ПРОЕКТИРОВАНИЕ ЦЕПЕЙ ОБРАТНОЙ СВЯЗИ В ТРАНСФОРМАТОРНОМ ПОВЫШАЮЩЕМ МОСТОВОМ ПРЕОБРАЗОВАТЕЛЕ-ПЕРВОЙ СТУПЕНИ ЭЛЕКТРОННОЙ НЕРАССЕИВАЮЩЕЙ НАГРУЗКИ
19.2. Непрерывная линейная модель трансформаторного повышающего преобразователя
19.3. Разомкнутая модель управления
19.4. Замкнутая модель управления
ЧАСТЬ V. ПОСТРОЕНИЕ ЦИФРОВЫХ СИСТЕМ УПРАВЛЕНИЯ
20.2. Построение защиты по току на основе ПИД-регулятора
20.2.2. ПИД-регулятор в системе управления
20.2.3. Замкнутая система управления ограничением тока инвертора
20.3. Управление трехфазным инвертором с использованием ЦСП
20.3.2. Работа ШИМ, АЦП, прерывания
20.3.3. Описание макросов
20.4. Результаты испытаний инвертора
ГЛАВА 21. УПРАВЛЕНИЕ ОДНОФАЗНЫМ ИНВЕРТОРОМ
21.2. Функции, выполняемые системой управления
21.3. Система управления однофазным инвертором на основе цифрового сигнального процессора
21.4. Параллельная работа инверторов
21.4.2. Независимое управление каждым инвертором
21.5. Моделирование — этап проектирования системы управления
21.6. Практическое выполнение инвертора
ГЛАВА 22. ПОВЫШАЮЩИЙ ПРЕОБРАЗОВАТЕЛЬ С РЕЗОНАНСНЫМ DC-DC КОНВЕРТОРОМ И ЗАРЯДНЫМ УСТРОЙСТВОМ
22.2. Управление зарядным устройством
22.3. Модель системы управления ЗУ в MATLAB
22.3.2. Модель системы управления ЗУ по току защиты
22.3.3. Дискретная модель системы управления ЗУ
22.4. Модель системы управления выходом 1
22.4.2. Модель системы ограничения тока выхода 1
22.4.3. Общая модель системы управления выходом 1
22.5. Экспериментальные результаты
ГЛАВА 23. ЦИФРОВОЕ УПРАВЛЕНИЕ КОРРЕКТОРОМ КОЭФФИЦИЕНТА МОЩНОСТИ
23.2. Проектирование контуров цифрового управления ККМ с использованием MATLAB Simulink
23.2.2. Дискретная линейная модель ККМ
23.2.3. Дискретная нелинейная модель ККМ
23.2.4. Синтез цифровой коррекции контура по выходному напряжению
23.2.5. Синтез цифровой коррекции контура по току дросселя
23.2.6. Окончательная модель ККМ и результаты моделирования
23.3. Вопросы применения ЦСП
23.4. Экспериментальные результаты разработки ККМ с цифровым сигнальным процессором
ГЛАВА 24. ЦИФРОВОЕ УПРАВЛЕНИЕ DC-DC ПРЕОБРАЗОВАТЕЛЕМ
24.2. Особенности выбора общей структуры управления
24.3. Особенности широтно-импульсной модуляции при выбранном алгоритме цифрового управления
24.3.2. Корректирующее звено в канале регулирования и стабилизации выходного напряжения
24.3.3. Коррекция в канале регулирования выходного тока
24.4. Программная реализация
24.5. Экспериментальные результаты
ГЛАВА 25. СИСТЕМА ЭЛЕКТРОПИТАНИЯ С ЦИФРОВЫМ КОНТРОЛЛЕРОМ
ЛИТЕРАТУРА
Текст
                    радиоэлектроники
В. МЕЛЕШИН,
Д. ОВЧИННИКОВ
Управление
транзисторными
преобразователями
электроэнергии
ТЕХНОСФЕРА


МИНПРОМТОРГ РОССИИ ТЕХНОСФЕРА Рекламно-издательский центр
РЕДАКЦИОННЫЙ СОВЕТ СЕРИИ КНИГ «МИР РАДИОЭЛЕКТРОНИКИ» Минаев Владимир Николаевич, директор Департамента радиоэлектронной промышленности Минпромторга РФ, д.т.н, профессор — председатель редсовета Члены совета: Авдонин Борис Николаевич, ген. директор ОАО ЦНИИ «Электроника», д.т.н., профессор, г. Москва Акопян Иосиф Григорьевич, ОАО «МНИИ «Агат», д.т.н., профессор, г. Москва Анцев Георгий Владимирович, ген. директор ОАО «НПП «Радар ММС», г. Санкт-Петербург Белый Юрий Иванович, ген. директор НИИП им. В.В.Тихомирова МО, г. Жуковский Боев Сергей Федотович, вице-президент АФК «Система», д.т.н., профессор, г. Москва Борисов Юрий Иванович, заместитель Министра промышленности и торговли РФ, д.т.н., профессор, г. Москва Букашкин Сергей Анатольевич, ген. директор РГНПО «Автоматика», д.т.н., профессор, г. Москва Бушуев Николай Александрович, ген. директор ФГУП «НПП «Алмаз», д.эк.н., профессор, к.ф.м.н., г. Саратов Васильев Андрей Георгиевич, ген. директор ФГУП «НПП «Пульсар», д.т.н., профессор, г. Москва Верба Владимир Степанович, ген. директор ОАО «Концерн радиостроения «Вега», д.т.н., профессор, г. Москва Верник Петр Аркадьевич, ген. директор компании «Золотой Шар», г. Москва Вилкова Надежда Николаевна, ген. директор МНИТИ, д.т.н., профессор, г. Москва Гуляев Юрий Васильевич, директор института радиотехники и электроники им.В.А. Котельникова, академик РАН, г. Москва Козлов Геннадий Викторович, ОАО «Концерн ПВО «Алмаз-Антей», д.т.н., профессор, г. Москва Комяков Алексей Владимирович, ген. директор ФГУП «НПП «Полет» г. Нижний Новгород Красников Геннадий Яковлевич, ген. директор ОАО «НИИМЭ и завод «Микрон», академик РАН, г. Зеленоград Мальцев Петр Павлович, директор Института СВЧ полупроводниковой электроники РАН, д.т.н., профессор, г. Москва Меньшиков Владислав Владимирович, ген. директор ОАО «Концерн ПВО «Алмаз-Антей» г. Москва Муравьев Сергей Алексеевич, советник директора Департамента Радиоэлектронной промышленности Минпромторга России, к.т.н., с.н.с. Немудрое Владимир Георгиевич, директор ФГУП «НИИ микроэлектронной аппаратуры «Прогресс», д.т.н., профессор, г. Москва Попов Владимир Васильевич, ген. директор ОАО «Светлана», к.т.н., г. Санкт-Петербург Сигов Александр Сергеевич, ректор Московского государственного института радиотехники, электроники и автоматики (технического университета), член-корр. РАН, г. Москва Сидоров Юрий Викторович, ген. директор ОАО «Концерн «Созвездие», д.т.н., профессор, г. Воронеж ТУрилов Валерий Александрович, ген. директор ОАО «КНИИТМУ», к.т.н., доцент, г. Калуга Федоров Игорь Борисович, президент Московского государственного технического университета имени Н.Э.Баумана, академик РАН, г. Москва Чаплыгин Юрий Александрович, ректор Московского государственного института электронной техники (ТУ МИЭТ), член.-корр. РАН, г. Зеленоград Шахнович Илья Владимирович, шеф-редактор РИЦ «Техносфера», г. Москва Шубарев Валерий Антонович, ген. директор ОАО «Авангард», д.т.н., профессор, г. Санкт-Петербург Якунин Александр Сергеевич, начальник управления ГК «Ростехнологии», г. Москва redsovet__knigi@electroiiics.nl
радиоэлектронтси В. Мелешин, Д. Овчинников Управление транзисторными преобразователями электроэнергии ТЕХНОСФЕРА Москва 2011
УДК 621.3 ББК32 М47 М47 Мелешин В.И., Овчинников Д.А. Управление транзисторными преобразователями электроэнергии Москва: Техносфера, 2011. - 576 с. ISBN 978-5-94836-260-1 В книге изложены принципы управления транзисторными преобразователями электрической энергии при их работе в различных импульсных режимах. Приведены схемотехнические решения и показаны особенности работы преобразователей, широко применяемых в различных системах электропитания. Показаны последние достижения в данной области техники, позволяющие управлять преобразователями с помощью как аналоговых, так и цифровых средств. Большое внимание уделено построению систем управления, использующих различные типы контроллеров и микроконтроллеров. Показано применение DSP-процессоров для различного рода преобразователей и приложений. Рассмотрено построение некоторых систем электропитания высокой надежности. Книга будет полезна студентам, изучающим силовую электронику и принципы управления преобразователями, а также аспирантам и специалистам, изучающим и разрабатывающим устройства и системы преобразовательной техники. УДК 621.3 ББК32 © 2011, В.И. Мелешин, ДА. Овчинников © 2011, ЗАО «РИЦ «Техносфера», оригинал-макет, оформление. ISBN 978-5-94836-260-1
СОДЕРЖАНИЕ Список основных обозначений 13 Предисловие 14 Введение 17 В. 1. Современное состояние и перспективы развития силовой электроники 17 В.2. Системы управления 22 ЧАСТЬ I. НЕКОТОРЫЕ СХЕМОТЕХНИЧЕСКИЕ РЕШЕНИЯ И ОСОБЕННОСТИ РАБОТЫ ТРАНЗИСТОРНЫХ ПРЕОБРАЗОВАТЕЛЕЙ ГЛАВА 1. ОДНОФАЗНЫЙ КОРРЕКТОР КОЭФФИЦИЕНТА МОЩНОСТИ (ККМ) 26 1.1. Взаимодействие силового ключа и диода, быстродействие диода и его влияние на основные показатели устройства 27 1.1.1. Потери мощности в открытом ключе 28 1.1.2. Потери мощности в открытом диоде 29 1.1.3. Потери мощности при включении ключа 29 1.1.4. Потери мощности при выключении диода 30 1.2. Основы расчета дросселя ККМ 33 1.3. Особенности работы ККМ в широком диапазоне напряжения сети 38 ГЛАВА 2. ТРЕХФАЗНЫЕ КОРРЕКТОРЫ КОЭФФИЦИЕНТА МОЩНОСТИ 41 2.1. Выпрямитель на основе трехфазного инвертора 42 2.2. Выпрямитель Виенна 43 ГЛАВА 3. МОСТОВЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ С ФАЗОВЫМ УПРАВЛЕНИЕМ 47 3.1. Мостовой преобразователь с LC-фильтром 47 3.2. Мостовой преобразователь с удвоителем тока, несимметричный режим работы трансформатора 48 3.3. Двухтрансформаторный мостовой преобразователь 57 ГЛАВА 4. НЕСИММЕТРИЧНЫЕ ПОЛУМОСТОВЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ 64 4.1. Свойства несимметричных полумостовых преобразователей 64 4.2. Сравнение несимметричного полумостового преобразователя с другими схемотехническими решениями 68 4.3. Решения, улучшающие работу несимметричного полумостового преобразователя 71 4.4. Экспериментальные результаты 75
6 Содержание ГЛАВА 5. DC-DC ПРЕОБРАЗОВАТЕЛИ С ДРОССЕЛЕМ НА ВХОДЕ 77 5.1. Работа преобразователей без учета индуктивности рассеяния трансформатора 78 5.2. Работа преобразователей с дросселем на входе при учете индуктивности рассеяния трансформатора 80 5.3. Цепь клампа как средство обеспечения надежной работы преобразователя 81 5.4. Анализ работы преобразователя с цепью клампа 82 5.5. Пусковой режим 85 5.6. Преимущества DC-DC преобразователя с дросселем на входе по сравнению с другими решениями 88 5.7. Экспериментальные результаты 94 ГЛАВА 6. РЕЗОНАНСНЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ С ПОДКЛЮЧЕНИЕМ НАГРУЗКИ ПОСЛЕДОВАТЕЛЬНО К РЕЗОНАНСНОМУ КОНТУРУ 98 6.1. Работа при низкой частоте переключения (f0 > f) 98 6.2. Многорезонансный преобразователь 103 6.2.1. Работа при высокой частоте коммутации (f0<f) 104 6.2.2. LLC-преобразователь 107 ЧАСТЬ II. ОСНОВЫ ТЕОРИИ УПРАВЛЕНИЯ СИСТЕМ С ОБРАТНОЙ СВЯЗЬЮ ГЛАВА 7. НЕПРЕРЫВНЫЕ ЛИНЕЙНЫЕ СИСТЕМЫ АВТОМАТИЧЕСКОГО УПРАВЛЕНИЯ 113 7.1. Реакция динамической системы на входное воздействие 113 7.1.1. Импульсная функция, ее свойства 114 7.1.2. Связь непрерывного сигнала с 5-функцией 115 7.2. Преобразование Лапласа 116 7.2.1. Свойства преобразования Лапласа 118 7.2.2. Обратное преобразование Лапласа 121 7.2.3. Передаточная функция и частотная характеристика 125 7.3. Структурные схемы САУ 129 7.4. Временные параметры переходного процесса 134 7.5. Частотные методы оценки свойств звеньев и систем 141 7.5.1. Амплитудно-фазовые частотные характеристики 141 7.5.2. Графическое изображение амплитудно-фазовых частотных характеристик 143 7.5.3. Частотные критерии устойчивости 146 7.6. Устройства коррекции 156 7.6.1. Оценка переходного процесса по виду ЛАХ замкнутой системы 156 7.6.2. Инерционное пропорционально-дифференцирующее звено 158 7.6.3. Корректирующее звено с отставанием по фазе 161 7.6.4. Пропорционально-интегродифференцирующее звено 163
7.7. Метод корневого годографа 164 7.7.1. Свойства корневого годографа 166 7.7.2. Влияние цепей коррекции на корневой годограф системы 169 7.8. Метод пространства состояний 171 7.8.1. Запись уравнений по методу пространства состояний 172 7.8.2. Уравнения состояния в стандартной форме 175 7.8.3. Уравнения состояния в управляющей канонической форме (control canonical form) 179 7.8.4. Уравнения состояния в модальной форме 180 7.8.5. Управление на основе обратных связей от переменных состояния 187 ГЛАВА 8. ДИСКРЕТНЫЕ СИСТЕМЫ 190 8.1. Блок-схемы непрерывной и дискретной систем управления 191 8.2. Разностные уравнения - основа описания дискретных систем 191 8.3. Дискретная свертка 194 8.4. Z-преобразование и обратное Z-преобразование 195 8.4.1. Свойства Z-преобразования 198 8.4.2. Обратное Z-преобразование 201 8.5. Передаточная функция дискретной системы и ее частотная характеристика 204 8.5.1. Частотная характеристика дискретной системы 206 8.6. Передаточная функция дискретной системы: аппроксимация в s-области 208 8.6.1. Аппроксимация на основе численного интегрирования методом трапеций 208 8.6.2. Аппроксимация методом подбора нулей и полюсов (matched pole-zero method) 211 8.7. Анализ дискретной системы 212 8.7.1. Метод дискретных эквивалентов 213 8.7.2. Метод прямого (непосредственного) проектирования дискретной системы 216 8.8. Метод пространства состояний для дискретных систем 224 8.8.1. Определение переходной матрицы состояния 224 8.8.2. Управляемость дискретной системы 228 8.8.3. Задание полюсов в замкнутой дискретной системе 230 ГЛАВА 9. СИЛОВАЯ ЧАСТЬ ПРЕОБРАЗОВАТЕЛЯ КАК ЗВЕНО СИСТЕМЫ АВТОМАТИЧЕСКОГО УПРАВЛЕНИЯ 233 9.1. Непрерывные модели силовой части преобразователей 234 9.1.1. Линеаризация непрерывной модели силовой части преобразователя 235 9.2. Непрерывная линейная модель импульсного понижающего преобразователя напряжения 237 9.3. Непрерывная линейная модель повышающего импульсного регулятора напряжения 240
Ъ Содержание 9.4. Непрерывная линейная модель несимметричного полумостового преобразователя 242 9.5. Непрерывная линейная модель понижающего импульсного регулятора напряжения в режиме прерывистого тока дросселя 247 9.5.1. Получение непрерывной нелинейной модели 247 9.5.2. Линейная модель 251 ГЛАВА 10. НЕКОТОРЫЕ ВОЗМОЖНОСТИ КОМПЛЕКСА MATLAB ДЛЯ АНАЛИЗА И ПРОЕКТИРОВАНИЯ СИСТЕМ УПРАВЛЕНИЯ ПРЕОБРАЗОВАТЕЛЯМИ ЭЛЕКТРИЧЕСКОЙ ЭНЕРГИИ 255 10.1. Пакет для проектирования систем управления (Control System Toolbox) 255 10.2. Пакет для обработки сигналов (Signal Processing Toolbox) 256 10.3. Набор блоков и функций SymPowerSystems 257 10.4. Годограф Найквиста, логарифмические частотные и временные характеристики (непрерывные системы) 258 10.4.1. Построение годографа Найквиста 258 10.4.2. Логарифмические частотные характеристики 260 10.4.3. Временные характеристики 261 10.5. Метод корневого годографа (Root Locus), непрерывные системы 263 10.6. Представление моделей по методу пространства состояний 265 10.6.1. Преобразование из передаточной функции в модель пространства состояний и обратно 265 10.6.2. Получение матриц уравнений состояния в модальной форме 268 10.6.3. Применение формулы Акерманна 272 10.7. Дискретные системы 274 10.7.1. Преобразования непрерывной системы в дискретную и обратно .274 10.7.2. Частотные характеристики дискретных систем 278 10.7.3. Метод корневого годографа для дискретных систем 279 10.8. Замкнутый обратной связью преобразователь 282 10.8.1. Моделирование ИРН-1 на основе передаточной функции 282 10.8.2. Модель ИРН-1 на основе электрической схемы 283 10.8.3. Разомкнутая система управления 284 10.9. Моделирование ИРН-1 в режиме прерывистого тока 290 ЧАСТЬ III. ТЕХНИЧЕСКИЕ СРЕДСТВА, ИСПОЛЬЗУЕМЫЕ В СИСТЕМАХ УПРАВЛЕНИЯ ПРЕОБРАЗОВАТЕЛЯМИ ГЛАВА 11. ПРИНЦИПЫ ПОСТРОЕНИЯ СИСТЕМ УПРАВЛЕНИЯ ПРЕОБРАЗОВАТЕЛЯМИ 295 11.1. Аналоговое управление 298 11.2. Смешанная система управления 301 11.3. Полностью цифровая система управления 302 11.4. Дополнительные возможности систем управления преобразователями при использовании микроконтроллеров 304
Содержание уш ГЛАВА 12. МИКРОПРОЦЕССОРЫ И ПРОГРАММИРУЕМАЯ ЛОГИКА 305 12.1. Общие сведения о микропроцессорах и микроконтроллерах 305 12.2. Внутренняя структура построения микропроцессоров и микроконтроллеров 308 12.3. Аналого-цифровой преобразователь 311 12.3.1. Обзор основных типов 311 12.3.2. Параметры АЦП 315 12.4. Широтно-импульсный модулятор 319 12.5. Цифро-аналоговый преобразователь 319 12.5.1. Типы ЦАП 320 12.5.2. Характеристики ЦАП 320 12.5.3. Примеры применения ЦАП 321 12.6. Программируемые логические интегральные схемы (ПЛИС) 324 ГЛАВА 13. ОСНОВНЫЕ СВЕДЕНИЯ О СИГНАЛЬНЫХ ПРОЦЕССОРАХ TEXAS INSTRUMENTS TMS320F280x 328 13.1. Общая структура сигнальных процессоров TMS320F280x 328 13.2. Среда разработки программного обеспечения Code Composer Studio 330 13.3. Структура программного обеспечения при проектировании системы управления 338 13.4. Организация единичного прерывания, принцип единичного прерывания при построении цифровой системы управления 342 13.5. Аналого-цифровой преобразователь ЦСП серии TMS320F280x 346 13.6. ШИМ-контроллер ЦСП серии TMS320F280x 349 ГЛАВА 14. ПРИМЕНЕНИЕ ФИЛЬТРОВ И ПИД-РЕГУЛЯТОРОВ ПРИ ЦИФРОВОМ УПРАВЛЕНИИ 362 14.1. Две разновидности цифровых фильтров 363 14.2. Расчет коэффициентов цифровых фильтров 364 14.3. Существенные особенности управления преобразователями при использовании цифровых фильтров 368 14.4. Квантование измеряемых сигналов на входах контроллера (шум АЦП) 369 14.4.1. Снижение шума выборкой с запасом по частоте 370 14.5. Квантование сигналов на выходе цифровой системы управления импульсным преобразователем (шум ШИМ) 371 14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых фильтров, ошибки квантования при использовании арифметики с фиксированной запятой 373 14.6.1. Арифметика при цифровой обработке сигналов 374 14.6.2. Квантование коэффициентов цифровых фильтров 378 14.6.3. Анализ точности в MATLAB с помощью блоков для моделирования систем ЦОС 382 14.7. Ошибки цифровых фильтров, вызванные округлением и переполнением 385
(|l 0 Содержание 14.8. ПИД-регуляторы в системах управления преобразователями 386 14.8.1. Работа ПИД-регулятора с учетом насыщения 391 ЧАСТЬ IV. ПОСТРОЕНИЕ АНАЛОГОВЫХ И СМЕШАННЫХ СИСТЕМ УПРАВЛЕНИЯ ГЛАВА 15. ПРОЕКТИРОВАНИЕ ДИНАМИЧЕСКИХ СВОЙСТВ КОРРЕКТОРА КОЭФФИЦИЕНТА МОЩНОСТИ ПРИ ИСПОЛЬЗОВАНИИ СТАНДАРТНОГО КОНТРОЛЛЕРА 394 15.1. Исходные данные для проектирования 394 15.2. Параметры элементов силовой части 394 15.3. Подключение контроллера 395 15.4. Исходные данные для создания модели в MATLAB 397 15.5. Модель корректора коэффициента мощности в MATLAB 397 15.6. Корректирующие звенья по напряжению и току 400 15.7. Сравнение с экспериментальными результатами 402 ГЛАВА 16. УПРАВЛЕНИЕ DC-DC ПРЕОБРАЗОВАТЕЛЕМ 404 16.1. Принцип управления по максимальному току 404 16.2. Особенности управления по максимальному току несимметричного полумостового преобразователя 406 16.3. Основные требования, предъявляемые к преобразователю, результаты расчета и выбора компонентов 410 16.4. Модель несимметричного полумостового преобразователя и расчет цепей коррекции 411 16.5. Результаты моделирования преобразователя и эксперимента 418 ГЛАВА 17. ВЫСОКОЧАСТОТНЫЙ ТРАНЗИСТОРНЫЙ ВЫПРЯМИТЕЛЬ С КОРРЕКЦИЕЙ КОЭФФИЦИЕНТА МОЩНОСТИ ПРИ СМЕШАННОМ УПРАВЛЕНИИ 420 17.1. Защитные и сервисные функции выпрямителя 420 17.2. Слежение за сетью и управление максимальной мощностью 422 ГЛАВА 18. ЭЛЕКТРОННАЯ НЕРАССЕИВАЮЩАЯ НАГРУЗКА 18.1. Структурные схемы построения ЭНН на основе статических преобразователей 423 18.2. Построение силовой части ЭНН 423 18.3. Управление нагрузкой 427 18.3.1. Режим запуска 429 18.3.2. Нормальный режим 430 18.4. Практическое выполнение ЭНН 431 ГЛАВА 19. ПРОЕКТИРОВАНИЕ ЦЕПЕЙ ОБРАТНОЙ СВЯЗИ В ТРАНСФОРМАТОРНОМ ПОВЫШАЮЩЕМ МОСТОВОМ ПРЕОБРАЗОВАТЕЛЕ-ПЕРВОЙ СТУПЕНИ ЭЛЕКТРОННОЙ НЕРАССЕИВАЮЩЕЙ НАГРУЗКИ 433
19.1. Исходные данные для проектирования, структура и параметры силовой части 433 19.2. Непрерывная линейная модель трансформаторного повышающего преобразователя 435 19.3. Разомкнутая модель управления 435 19.4. Замкнутая модель управления 442 ЧАСТЬ V. ПОСТРОЕНИЕ ЦИФРОВЫХ СИСТЕМ УПРАВЛЕНИЯ ГЛАВА 20. ТРЕХФАЗНЫЙ ИНВЕРТОР 444 20.1. Формирование квазисинусоидального трехфазного напряжения (векторная широтно-импульсная модуляция) 444 20.2. Построение защиты по току на основе ПИД-регулятора 450 20.2.1. Модель трехфазного инвертора 452 20.2.2. ПИД-регулятор в системе управления 454 20.2.3. Замкнутая система управления ограничением тока инвертора 455 20.3. Управление трехфазным инвертором с использованием ЦСП 456 20.3.1. Программная реализация управления 456 20.3.2. Работа ШИМ, АЦП, прерывания 458 20.3.3. Описание макросов 467 20.4. Результаты испытаний инвертора 470 ГЛАВА 21. УПРАВЛЕНИЕ ОДНОФАЗНЫМ ИНВЕРТОРОМ 472 21.1. Построение силовой части и принципы управления ключами 472 21.2. Функции, выполняемые системой управления 473 21.3. Система управления однофазным инвертором на основе цифрового сигнального процессора 474 21.4. Параллельная работа инверторов 477 21.4.1. Использование связи по цепям управления 477 21.4.2. Независимое управление каждым инвертором 478 21.5. Моделирование — этап проектирования системы управления 481 21.6. Практическое выполнение инвертора 485 ГЛАВА 22. ПОВЫШАЮЩИЙ ПРЕОБРАЗОВАТЕЛЬ С РЕЗОНАНСНЫМ DC-DC КОНВЕРТОРОМ И ЗАРЯДНЫМ УСТРОЙСТВОМ 486 22.1. Построение отдельных узлов силовой части преобразователя 487 22.2. Управление зарядным устройством 489 22.3. Модель системы управления ЗУ в MATLAB 490 22.3.1. Модель системы управления ЗУ по напряжению 490 22.3.2. Модель системы управления ЗУ по току защиты 494 22.3.3. Дискретная модель системы управления ЗУ 495 22.4. Модель системы управления выходом 1 498 22.4.1. Модель системы стабилизации напряжения выхода 1 498 22.4.2. Модель системы ограничения тока выхода 1 503 22.4.3. Общая модель системы управления выходом 1 505 22.5. Экспериментальные результаты 507
МП 2 Содержание ГЛАВА 23. ЦИФРОВОЕ УПРАВЛЕНИЕ КОРРЕКТОРОМ КОЭФФИЦИЕНТА МОЩНОСТИ 509 23.1. Выбор структуры управления 510 23.2. Проектирование контуров цифрового управления ККМ с использованием MATLAB Simulink 513 23.2.1. Исходная модель силовой части ККМ 513 23.2.2. Дискретная линейная модель ККМ 515 23.2.3. Дискретная нелинейная модель ККМ 518 23.2.4. Синтез цифровой коррекции контура по выходному напряжению 523 23.2.5. Синтез цифровой коррекции контура по току дросселя 526 23.2.6. Окончательная модель ККМ и результаты моделирования 527 23.3. Вопросы применения ЦСП 530 23.4. Экспериментальные результаты разработки ККМ с цифровым сигнальным процессором 534 ГЛАВА 24. ЦИФРОВОЕ УПРАВЛЕНИЕ DC-DC ПРЕОБРАЗОВАТЕЛЕМ 536 24.1. Силовая часть преобразователя 536 24.2. Особенности выбора общей структуры управления 539 24.3. Особенности широтно-импульсной модуляции при выбранном алгоритме цифрового управления 541 24.3.1. Непрерывная нелинейная модель НПП в MATLAB Simulink 542 24.3.2. Корректирующее звено в канале регулирования и стабилизации выходного напряжения 546 24.3.3. Коррекция в канале регулирования выходного тока 553 24.4. Программная реализация 555 24.5. Экспериментальные результаты 560 ГЛАВА 25. СИСТЕМА ЭЛЕКТРОПИТАНИЯ С ЦИФРОВЫМ КОНТРОЛЛЕРОМ 567 ЛИТЕРАТУРА 570
СПИСОК ОСНОВНЫХ ОБОЗНАЧЕНИЙ АБ — аккумуляторная батарея АЛУ— арифметико-логическое устройство АЦП— аналого-цифровой преобразователь (ADC — analog to digital converter) БИХ-филътр — цифровой фильтр с бесконечной импульсной характеристикой ИРН-1 — понижающий импульсный регулятор напряжения ИРН-2 - повышающий импульсный регулятор напряжения ИРН-3 — инвертирующий импульсный регулятор напряжения КИХ-филътр - цифровой фильтр с бесконечной импульсной характеристикой ККМ— корректор коэффициента мощности ЛЧХ— логарифмические частотные характеристики МК— микроконтроллер МПС — микропроцессорная система НЛМ— непрерывная линейная модель НПП— несимметричный полумостовой преобразователь НТ— режим непрерывного тока в дросселе ОУ— операционный усилитель ОДУ— обыкновенные дифференциальные уравнения ПЛИС — программируемая логическая интегральная схема (CPLD — complex programmable logic device, FPGA—field-programmable gate array, PLD — programmable logic device) ПМФ — передаточная матричная функция ПИН— переключение при нуле напряжения (ZVS — zero voltage switching) ППТ— преобразователь, питаемый током ПТ— режим прерывистого тока в дросселе ПФ — передаточная функция РП— резонансный преобразователь РХ— регулировочная характеристика САУ— система автоматического управления СУ— система управления СЧ— силовая часть преобразователя ТВ- транзисторный выпрямитель (устройство, содержащее ККМ и DC-DC преобразователь) ФНЧ— фильтр нижних частот ЦАП— цифро-аналоговый преобразователь (DAC — digital to analog converter) ЦСП— цифровой сигнальный процессор (DSP — digital signal processor) ЭНН— электронная нерассеивающая нагрузка CCS (Code Composer Studio) — среда разработки программного обеспечения LTI (linear time — invariant model) — линейная, инвариантная во времени модель LSB (least significant bit) — наименьший значащий разряд
ПРЕДИСЛОВИЕ Предлагаемая книга, посвященная управлению преобразователями электроэнергии, описывает, как проектируются и выполняются узлы преобразователей, воспринимающие и обрабатывающие информацию и позволяющие через силовую часть контролировать и управлять многочисленными переменными, от которых зависят конечные параметры — ток, напряжение, мощность, столь важные для потребителя. В силу того, что силовая часть преобразователей непрерывно совершенствуется, несколько глав книги посвящены новым различным устройствам преобразования, их анализу и приемам проектирования. Далее в книге показывается, каким образом можно построить системы управления как для этих, так и для других преобразователей. В книге показано, каким образом выполняется цифровое управление самыми различными преобразователями электроэнергии на базе цифрового сигнального процессора (ЦСП) (в международной литературе DSP — digital signal processor). Рассмотрены алгоритмы управления с использованием сигнальных процессоров. В частности, описывается и подробно излагается (вплоть до кодов) использование одной из последних версий DSP фирмы Texas Instruments — TMS320F280x. Цифровые системы управления дорогостоящими преобразователями, выполненными на большую мощность, становятся многоуровневыми. Например, микропроцессорная система высокого уровня отвечает за диагностику и фиксирует ошибки в системе, а микропроцессорные системы низкого уровня отвечают за регулирование параметров, формируют токи, напряжения или мощности. Когда преобразователь с небольшой выходной мощностью — сотни ватт или единиц киловатт — плохо работает или даже выходит из строя и причиной тому является неудачно спроектированная система управления — разработчик порой тратит много сил и времени на нахождение и исправление замеченных дефектов. Ситуация многократно усложняется, если преобразователь дорогостоящий и выполнен на огромную мощность — десятки или сотни киловатт, а подводит система управления: режим работы преобразователя становится неустойчивым, или система переходит в предельные режимы с опасностью выхода преобразователя из строя. Поскольку управление подобных преобразователей ведется от ЦСП, просто подгонкой параметров регулятора «наудачу» достичь ничего не удается. Если раньше разработчик мог «подсмотреть», какие параметры аналоговых цепей коррекции установлены в прототипе преобразователя и повторить их, то теперь подобный путь исключен. В цифровой системе управления корректирующие цепи «зашиты» в программу контроллера, и никому, кроме автора программы, они недоступны. Вот почему так важно, чтобы инженер, отвечающий за разработку системы управления, мог осмысленно и целенаправленно вести проектирование регуляторов независимо от того, являются они аналоговыми или цифровыми. В книге показано, как использовать MATLAB - мощнейший программный комплекс для инженерных расчетов — для целей проектирования систем управления преобразователями — аналоговыми или цифровыми. По-видимому, в отечественной литературе подобное описание использования MATLAB является наиболее полным, а в ряде случаев, для пользы читателя, и очень подробным. MATLAB позволяет учитывать особенности применения ЦСП, и на это также обращается
Предисловие I внимание. Другие средства, помогающие вести проектирование систем управления, Matchcad и Spice, также используются по мере необходимости. Важно спроектировать систему управления не только на бумаге, даже проведя при этом моделирование на основе каких-либо программных комплексов. Если посмотреть на публикации последних лет в отечественных журналах по силовой электронике, можно заметить, что, проведя анализ того или иного устройства и выполнив моделирование, авторы считают свою задачу выполненной, а цель проектирования достигнутой: модель, дескать, показала, что устройство работает, как задумано. На самом деле от модели до фактического результата может быть большая дистанция и в силовой электронике, как правило, не принято результаты моделирования считать абсолютно достоверными. Необходимо подтверждать проект экспериментально, опытным образцом. Именно поэтому в книге большое внимание уделено экспериментальным результатам, сходимости их с моделированием. В книге много осциллограмм и фактического материала, подтверждающих работу реальных устройств. Книга состоит из пяти разделов, которые взаимосвязаны и, дополняя друг друга, дают не только представление о современном уровне систем управления преобразователями, но и позволяют вести проектирование, применяя аналоговые, смешанные или цифровые методы управления. В первом разделе приведены новые схемотехнические решения преобразователей и показаны особенности их работы. В разделе шесть глав, анализ некоторых устройств подтверждается результатами работы реальных образцов; работа некоторых подробнее рассматривается в последующих разделах вместе с построением систем управления. Хорошо известные схемы, например, понижающий импульсный регулятор напряжения (ИРН-1) и другие, в данном разделе не рассмотрены. Считая, что читатель знаком с элементарными устройствами преобразовательной техники, в последующих разделах приводятся их модели, необходимые для проектирования систем управления. Из первого раздела книги необходимо отметить главу, в которой рассматриваются резонансные и многорезонансные преобразователи. Последние, обладая некоторыми преимуществами перед другими типами преобразователей (например, мостовыми с фазовым управлением), начинают широко применяться в источниках питания для телекоммуникаций и других систем. Во втором разделе, состоящем из четырех глав, раскрываются основы управления систем с обратной связью и приводятся возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями. Кроме того, в раздел включена глава, поясняющая на примерах конкретных устройств, каким образом силовая часть преобразователя вводится в контур управления для дальнейшего анализа всей системы и конструирования регулятора. Две главы раздела, посвященные непрерывным и дискретным системам управления, раскрывают достаточно подробно основы, необходимые инженеру для проектирования систем управления. Авторы старались дать только тот материал, который необходим для понимания дальнейших глав книги и не сочли возможным просто отослать читателя к многочисленной, многостраничной и разрозненной отечественной литературе по системам регулирования и управления, как правило, не опирающейся на современные методы и средства проектирования. Впрочем, все необходимые ссылки на классические источники информации сделаны. Раздел третий — технические средства, используемые в системах управления преобразователями, подразделяет все существующее управление преобразователями на три вида: аналоговое, смешанное и цифровое. В одной из глав раздела
16 Предисловие подробно показываются отличия между ними, оцениваются их достоинства и недостатки. Одна глава третьего раздела посвящена микропроцессорам и программируемой логике, раскрыты их основные особенности и принципы применения в преобразовательных устройствах. Глава раздела - средства цифрового управления — полностью посвящена сигнальным микропроцессорам, описывает среду разработки программного обеспечения, показывает построение АЦП и ШИМ — контроллера серии TMS320F280x. Последняя глава третьего раздела посвящена особенностям применения фильтров и ПИД — регуляторов при цифровом управлении преобразователями, показано проектирование в среде MATLAB- Simulink и использование инструмента SISO Design Tool. В четвертом разделе даны примеры построения аналоговых и смешанных систем управления. Показан процесс проектирования схемы управления корректором коэффициента мощности (ККМ) при использовании стандартного ШИМ- контроллера. Приведена модель ККМ в MATLAB, детально показан процесс проектирования корректирующих звеньев корректора. Приведено сравнение результатов моделирования и эксперимента. Аналогично, при проектировании схемы управления DC-DC преобразователем (следующая глава раздела) за основу принимается модель MATLAB и показаны промежуточные шаги, которые приводят к построению требуемых цепей коррекции. Результаты моделирования DC-DC преобразователя сравниваются с экспериментом. В двух главах раздела рассмотрена электронная нерассеивающая нагрузка (ЭНН). Детально показан процесс проектирования первой ступени ЭНН, основанный на применении DC-DC преобразователя с входным дросселем. Особенности работы такого преобразователя были рассмотрены в первом разделе. Также в четвертом разделе показаны особенности построения транзисторного выпрямителя с коррекцией коэффициента мощности при смешанной (аналого-цифровой) системе управления. Пятый раздел включает в себя несколько глав, в которых показано построение различных цифровых систем управления. Интересными, на наш взгляд, являются главы, посвященные проектированию однофазного инвертора, управляемого ЦСП, и определению требуемых для него цепей коррекции, а также трехфазному инвертору. Для управления последним применен метод векторной ШИМ (space vector PWM), являющийся на сегодняшний день наиболее прогрессивным. В главах, посвященных цифровому управлению корректором мощности и DC-DC преобразователем, показан принципиально новый подход к проектированию и реализация высокочастотного ШИМ — управления с частотами 50 и 80 кГц на основе ЦСП. Результаты проектирования подтверждены экспериментом. Необходимо отметить новизну материала, представленного в упомянутых главах. Можно назвать только несколько статей в зарубежной печати, посвященных цифровому высокочастотному ШИМ- управлению. В отечественной периодической печати вообще отсутствуют статьи по данной тематике. В последней главе пятого раздела рассмотрены системы электропитания с применением микроконтроллеров. Подобные системы применяются, в частности, для обеспечения электропитанием телекоммуникационных станций. В заключение необходимо упомянуть о том, что только за последние пять лет авторами опубликовано несколько статей в трудах международных конференций по силовой электронике. За этот же период получено восемь патентов РФ на изобретения и опубликовано несколько статей в отечественных журналах по электронике. Упомянутые материалы нашли отражение в предлагаемой книге.
ВВЕДЕНИЕ B.I. СОВРЕМЕННОЕ СОСТОЯНИЕ И ПЕРСПЕКТИВЫ РАЗВИТИЯ СИЛОВОЙ ЭЛЕКТРОНИКИ 1. Силовая электроника (СЭ), как и ее существенная часть — преобразовательная техника — продолжают интенсивно развиваться и пока не видно замедления скорости развития данного научно-технического направления. Это означает, что пока нельзя предсказать пределы проникновения СЭ в различные области человеческой деятельности и нельзя назвать даже примерно сроки «насыщения». К настоящему времени СЭ, опирающаяся на транзисторную преобразовательную технику, совершает качественный скачок, вытесняя в мощных приложениях тири- сторную из ее традиционно приоритетных областей: нагрев металла, преобразователи для транспорта, мощные выпрямители повышенной надежности для атомной энергетики и других ответственных объектов. Транзисторная преобразовательная техника продолжает свою экспансию, являясь, например, неотъемлемой частью новых светотехнических устройств и систем гарантированного питания различной мощности. С другой стороны, преобразовательные устройства малой мощности, всегда выполняемые на транзисторах, непрерывно совершенствуются, их удельная мощность и КПД продолжают возрастать. Достаточно сказать, что КПД современных преобразователей с выходом на постоянном токе, с гальванической развязкой и сверхнизкими выходными напряжениями (0,6—0,8—1 В) при токах 100—200 А достигает 90%, а некоторые серийно выпускаемые преобразователи для телекоммуникаций с выходом на постоянном токе (уровень выходного напряжения 40—60 В) имеют КПД 96%. Мы наблюдаем как эволюционные изменения, так и прорывы в области физики силовых электронных приборов, в области принципов преобразования электроэнергии и методов управления преобразователями. В Советском Союзе пионером транзисторной преобразовательной техники, применяемой в устройствах автоматики и для управления двигателями, безусловно являлся д.т.н., профессор Ю.И. Конев. Его первые печатные работы появились во второй половине 50-х годов прошлого столетия. В 60-е и последующие годы Ю.И. Коневым настойчиво проводилась концепция уменьшения объема и массы транзисторных преобразовательных устройств. Им были разработаны основополагающие принципы повышения удельной мощности преобразователей, в которых значительное место уделялось вопросам повышения их КПД, а также поиску новых структурных и схемотехнических решений в сочетании с новыми конструкторскими и технологическими подходами. И сегодня, по-сути, на тех же принципах продолжает развиваться СЭ. 2. СЭ прошла несколько стадий своего развития, которые опираются на достижения в исследованиях и в разработке силовых электронных (полупроводниковых) приборов: — в начале 60-х гг. появление мощных германиевых транзисторов, работающих в ключевом режиме с приемлемыми параметрами, а также появление кремниевых транзисторов и тиристоров открыло первые возможности для роста СЭ;
— во второй половине 70-х гг. были разработаны модули на биполярных транзисторах (устройства содержали несколько ключей) и запираемые тиристоры (GTO) как ответ на растущие требования к устройствам СЭ; — появление мощных MOSFET (полевых транзисторов с изолированным затвором) в 70-х гг. позволило создавать компактные системы преобразования энергии, особенно в тех случаях, когда от транзисторов требовались небольшие предельные напряжения (до 200В). Две новые по тому времени технологии: DMOS и создание V-образного затвора позволили улучшить параметры и повысить надежность MOSFET; — очередной виток развития СЭ (конец 80-х гг. начало 90-х гг.) связан с появлением приборов, использующих изолированный затвор и биполярный транзистор (БТ). Новый прибор — IGBT — оказался настоящим ускорителем СЭ. Пройдя несколько этапов технологических усовершенствований IGBT, получил преимущества как по сравнению с БТ, так и по сравнению с мощным MOSFET. Эти приборы особенно удачно стали применяться там, где требуется большая выходная мощность и желательно получить достаточно высокую частоту переключения; — модули IGBT, выпускаемые несколькими фирмами с середины 80-х гг., прошли несколько этапов своего развития, и за 20 лет общие потери в них были значительно снижены. Например, в таком устройстве, как трехфазный инвертор, применяемом, в частности, для управления двигателями, общие потери были снижены за этот период времени в 3 раза; — начиная примерно с 2005 г. стал появляться вопрос: что придет на смену MOSFET, IGBT и нынешним диодам? Будет ли только кремний основой силовых приборов или на смену придут приборы на основе карбида кремния (SiC) или нитрида галлия (GaN)? Частично ответ уже получен — примерно с середины последнего десятилетия прошлого века промышленно выпускаются SiC-диоды, показавшие свои замечательные свойства в таких устройствах, как корректоры коэффициента мощности (ККМ). В настоящее время силовые приборы на основе кремния достигли своих фундаментальных ограничений, связанных с низкой напряженностью пробоя материала; значительные изменения могут быть достигнуты только при применении новых материалов с улучшенными характеристиками электрического поля в нем. Такими материалами и являются как раз SiC или GaN. Они подходят для создания приборов, работающих с большой выходной мощностью, при высоких температурах и на очень высоких частотах. Их напряженности поля, при которых происходит пробой, равны соответственно 3 х 106 и 2,6 х 106 В/см, в то время как аналогичный параметр для кремния равен 3 х 105 В/см; другими словами, на порядок или почти на порядок выше. Следовательно, можно достичь более высокого предельного напряжения в приборе при меньшей толщине материала. Высокая скорость дрейфа носителей [85], свыше 2х107 см/с в SiC и 2,5х107см/с в GaN (у кремния 1х107 см/с) позволяет очень быстро выносить накопленный заряд, что столь важно для работы на высоких частотах. Новые материалы позволяют получить значительно более низкие значения сопротивления Rds.on по сравнению с кремниевыми MOSFET, и это особенно относится к SiC.
В свою очередь, приборы на основе GaN обещают быть существенно более дешевыми по сравнению с выполненными на основе SiC . 3. Говоря о силовых электронных приборах, необходимо сказать о транзисторах и диодах, способных работать при низких криогенных температурах, вплоть до «20К (—353° С). Эти приборы выполняются на основе материала (SiGe), содержащего кремний и германий. Транзисторы на основе SiGe резко увеличивают свой коэффициент усиления при снижении температуры среды по сравнению с комнатной [105]. В таких приборах заинтересована как космическая промышленность с целью установки систем на планетах и спутниках, так и промышленность, в которой применяется криогенная техника и ведутся работы области сверхпроводимости. 4. Невозможно создать преобразователь любого назначения, используя только электронные приборы. Еще нужны конденсаторы и магнитные материалы, если мы говорим о силовой части преобразователя. Конденсаторы большой емкости — электролитические с использованием алюминиевой фольги — традиционно применяются в силовой электронике. С ростом выходной мощности конвертора или инвертора, при требовании работы в широком диапазоне температур и при больших напряжениях, конкуренцию электролитическим конденсаторам успешно составляют конденсаторы, диэлектриком которых является пленка. Пленочные конденсаторы имеют значительно меньший уход емкости с температурой, а их эквивалентное последовательное сопротивление (ESR) на порядки меньше и гораздо стабильнее, чем у электролитических. Если к этому добавить, что пленочные конденсаторы значительно надежнее электролитических (надежность последних резко снижается при приближении температуры среды к предельной), а цена для определенных областей применения сравнима или даже меньше электролитических — преимущества пленочных конденсаторов становятся очевидными. Что касается магнитных материалов — нанокристаллическое железо (разновидность аморфного материала) имеет ощутимые преимущества по сравнению с электротехническим железом или ферритом при работе на повышенных частотах. Индукция насыщения 1,6 Тл (у феррита « 0,4 Тл), и низкие удельные потери позволяют создавать трансформаторы, работающие в составе конверторов, на мощности в десятки кВт при рабочей частоте до 15—20 кГц. Мощные конверторы, применяющиеся на транспорте и в альтернативных источниках электроэнергии, вполне могут использовать этот полезный (но и дорогой) материал. 5. Построение систем вторичного электропитания Системы электропитания телекоммуникаций и многих других электронных и электромеханических систем с выходной мощностью до нескольких киловатт, а в ряде случаев и больше, прошли несколько стадий своего развития. Изначально эти системы, получающие первичную электроэнергию от однофазной или трехфазной сети переменного тока, содержали AC—DC преобразователь, обеспечивающий номинальное выходное напряжение — 48 В (плюс оказывается на нулевом потенциале — «земле») и одновременно подзаряд АБ, гарантирующей «не- прерываемость» питания. Для работы электронных потребителей в системе устанавливался конвертор, получающий входное напряжение — 48В и обеспечивающий на своих выходах напряжения ±5В и ±12В. Обычно цифровая электроника потребителя требовала +5В, и этот канал оказывался самым нагруженным и получал наибольшую мощность от конвертора. Систему электропитания, описанную выше, принято называть централизованной. Ей на смену пришла и применялась долгое время де-
централизованная (распределенная) система электропитания, главные отличия которой от централизованной заключаются в следующем: — AC—DC преобразователи (транзисторные выпрямители) работают параллельно на общую нагрузку, поддерживая заряд АБ; с целью достижения требуемой надежности в системе используются N+l AC—DC преобразователей при достаточной мощности от N преобразователей; — AC—DC преобразователи разрабатываются с возможностью выполнения функции «hot plug» — замена преобразователя на исправный осуществляется в «горячем» режиме, без отключения сети; — шина — 48В подключается к DC—DC преобразователям, выполненным по модульному принципу с гальванической развязкой и установленных в непосредственной близости к нагрузкам потребителя; Децентрализованная система электропитания доказала свои преимущества и большую гибкость перед централизованной в условиях, когда все большее число блоков потребителя стало цифровыми, требуя при этом возросшую мощность и работая при пониженных напряжениях постоянного тока. Особое внимание уделялось разработке модульных, надежных DC—DC преобразователей с гальванической развязкой и высокой удельной мощностью. Возросшие требования к системе электропитания, связанные с появлением нагрузок, которые требуют постоянных напряжений от 0,5 до 3,3 В, значительно возросшими токами нагрузок, и, как следствие, более жесткими требованиями к переходным процессам, привели к необходимости разработки источников питания, расположенных непосредственно у нагрузок потребителя (point-of-load (POL) regulators). Эти источники питания (POL) из-за специфики низких выходных напряжений должны разрабатываться с максимально достижимым КПД и, как правило, выполняются без гальванической развязки при напряжении на входе в диапазоне от 5 до 14 В. По этой причине в системе электропитания появляется промежуточная шина с номинальным напряжением 5, 8, 12 или 14 В, которая создается еще одним преобразователем (IBC-Intermediate-Bus Converter), выполняемым с трансформатором, то есть с гальванической развязкой. На входе IBC может быть любое из DC напряжений (24,48, 60 В), получаемых от AC—DC преобразователя, или DC напряжение 400—420 В, получаемое от ККМ. Новая система электропитания по принципу построения требует низковольтной промежуточной шины и получила название Intermediate-Bus Architecture (IBA). Системы электропитания с промежуточной шиной подробно рассматриваются в [88]. 6. Альтернативные источники электроэнергии и их место в силовой электронике. Потребность в источниках альтернативной и возобновляемой электроэнергии уже сейчас приводит к глобальному росту вырабатываемой ими мощности — на 25% ежегодно. Указанный рост стимулируется растущей по всему миру потребностью в электроэнергии, возрастающим интересом к «зеленым» технологиям, высокими ценами на невозобновляемые виды топлива, растущим интересом многих государств к своей энергетической безопасности. Для более быстрого развития и более широкого использования альтернативных методов получения электроэнергии их надежность и стоимость должны приближаться к тем уровням, что достигнуты с применением традиционных методов получения электроэнергии.
Что касается стоимости получения электроэнергии, то она устойчиво снижается у альтернативных систем в последние 30 лет. Уже сегодня стоимость электроэнергии, вырабатываемой ветряными электростанциями на хороших, с точки зрения параметров ветра площадках, ниже, чем стоимость электроэнергии, получаемой по самой передовой технологии с использованием традиционного топлива [80]. Силовая электроника и преобразования электроэнергии, ею выполняемые, представляют собой одну из основ, на которых создается получение электроэнергии альтернативных источников. Учитывая, что тысячи мегаватт от альтернативных источников должны быть введены в ближайшем будущем, силовая электроника получает мощные стимулы для проведения новых исследований и разработок. Электроэнергия, получаемая от ветряных станций Ветроэнергетика, как уже говорилось, на сегодняшний день представляет собой пока единственное экономически оправданное альтернативное направление получения электроэнергии; некоторые страны вырабатывают более 20% всей получаемой электроэнергии, используя энергию ветра. В ветроэнергетике применяются две основные схемы, позволяющие получать 1-3,5 МВт мощности (прибрежные установки в ближайшее время будут производить 4—5 МВт): — после ветротурбины с механической трансмиссией устанавливается синхронный генератор, за которым следует управляемый выпрямитель, создающий DC-шину стабильного напряжения. DC-шина обеспечивает входное напряжение инвертора, выполняемого на IGBT. К инвертору подключается трансформатор; — после ветротурбины устанавливается индукционный генератор двойного питания с АС-АС статическим преобразователем. К выходу преобразователя подключается трансформатор. Трансформаторы в обоих случаях нужны для повышения напряжения с целью более эффективной передачи электроэнергии. Электроэнергия, получаемая от солнечных батарей В начале 3-го тысячелетия более чем 90% солнечных батарей (СБ) использовали кремниевые фотоэлементы. Основой этих элементов являются кремниевые шайбы, позволяющие получить КПД всей батареи от 14 до 20%. Главный недостаток этой технологии - высокая стоимость кремниевой шайбы. Другими технологиями создания СБ являются: — использование тонких пленок, полученных вакуумным напылением на подложку слоя полупроводника толщиной менее 1 мкм. При этом удается получить чрезвычайно низкую стоимость образцов, но наибольший КПД при такой технологии не превышает 8—9%; — новый класс фотопреобразователей, применяемых в СБ, основан на использовании органических материалов. Один из возможных вариантов — фотопреобразователь, активированный красителем, который позволяет поглощать солнечное излучение и генерировать носители тока. Привлекательная сторона этой технологии - низкая стоимость органических материалов, но для желаемого снижения стоимости конечного продукта на порядок по сравнению с существующим, должна быть снижена стоимость производственных операций в процессе изготовления фотопреобразователя.
За рубежом системы получения электроэнергии от СБ широко используются в частных домах. Одним из главных элементов системы на основе СБ является инвертор, требуемый для преобразования DC мощности, получаемой от солнечных батарей, в АС мощность. Инверторы для систем с СБ делятся на взаимодействующие с сетью и одиночные (без связи с сетью). Однофазные и трехфазные инверторы, работающие в системе с СБ, используют различные топологии, могут иметь на своем выходе 50 (60) Гц трансформаторы или обходиться без них; частота широтно-импульсной модуляции с использованием ГТНН (ZVS) может достигать 100—500 кГц, а при больших мощностях на выходе могут применяться многоуровневые трехфазные инверторы. Электроэнергия, получаемая от топливных элементов Правительства и промышленность некоторых стран осуществляют в последние годы исследовательские и проектные программы с целью разработки коммерчески жизнеспособной технологии получения электроэнергии с использованием топливных элементов (ТЭ). ТЭ обещают достижение очень высокого КПД при получении электроэнергии (до 70%), что на 10% выше, чем у систем с газопаровыми турбинами. Известны 4 разновидности ТЭ, которые наилучшим образом работают в различных диапазонах мощностей (от 2 кВт до 20 МВт) и, следовательно, отличающиеся по назначению. Системы получения электроэнергии с ТЭ делятся на низко- и высокомощные. Рост первых во многом обязан потребностям автомобильного рынка и рынка, где такие системы используются для частных домов. Системы большой мощности (для промышленного применения) разрабатываются на выходные мощности 5—100 МВт и еще не достигли зрелой стадии развития. Для систем большой мощности потребуются новые топологии построения трехфазных инверторов, а также интеллектуальные средства управления, выполняющие как системные функции, так и решающие задачи обеспечения качества электроэнергии отдельного инвертора. Заканчивая рассмотрение вопроса о получении электроэнергии от альтернативных источников, необходимо сказать о том, что без силовой электроники и ее новых разработок будет невозможно обойтись на всех уровнях — начиная от работы отдельных узлов и кончая функционированием всей системы. В.2. СИСТЕМЫ УПРАВЛЕНИЯ До сих пор речь шла преимущественно о силовой части преобразователей, однако система управления (управляющая часть, устройство управления) играет значительную, а нередко и решающую роль в получении тех характеристик, которые должны быть достигнуты преобразователем. Прежде управление преобразователями реализовывалось только на основе аналоговых устройств, а переход к импульсному режиму работы выполнялся с помощью модулятора (например, широтно-импульсного — ШИМ). В настоящее время помимо аналоговых, применяются также смешанные системы управления (аналого- цифровые), а также полностью цифровые. В цифровых системах все операции, за
Введение 23п исключением принятия сигналов и их первичной обработки, производятся процессором. К настоящему времени развитие преобразовательной техники, в основном, позволило дать ответы на вопрос 5—10-летней давности о том, когда и в каких приложениях силовой электроники смешанное и цифровое управление будут иметь практический (в том числе и экономический) смысл. Аналоговое управление преобразователями привычно для инженера-разработчика. Аналоговая техника, используемая в устройствах управления, не стоит на месте — появляются новые типы контроллеров с расширенными возможностями, новые источники опорного напряжения и другие компоненты. Микросхемы, выпускаемые фирмой TopSwitch, позволяют создавать источники питания на различные мощности и на различные входные напряжения; они содержат в одном корпусе один или несколько силовых ключей и аналоговое устройство управления. Источник требует для работы совсем немного внешних элементов. Такой источник питания получается недорогим, но его функциональные возможности ограничены, а энергетическая эффективность оставляет желать лучшего. Поэтому, как правило, источники питания на основе изделий фирмы TopSwitch используются как вспомогательные или же как источники, к которым не предъявляются жесткие технические требования. Рис.В.1. Комбинированный импульсный регулятор напряжения Выпускаемые аналоговые ШИМ (ЧИМ)-контроллеры, позволяют сейчас реализовывать управление любой известной схемой силовой части. Как пример рассмотрим управление силовой частью преобразователя, показанной на рис. В.1. Схема преобразователя представляет собой комбинированный импульсный регулятор напряжения (ИРН), выполненный на основе понижающего ИРН-1 (ключ А7, диод Д1, дроссель L1, конденсатор Свых) и повышающего ИРН-2 (ключ К2, диод Д2, дроссель Ы, конденсатор Свых). Ключ К1 постоянно находится в состоянии ON, а ключ К2 работает в режиме ШИМ, когда UBX min < UBX < UBbIX; если UBbIX min < UBX < UBX max, в режиме ШИМ работает ключ К1, а Т2 постоянно выключен. В этом варианте управления можно получать выходное напряжение как ниже, так и выше входного, при этом расчетные мощности всех элементов оказываются меньше, чем в инвертирующем ИРН-3 или ИРН-4 (схема, известная как конвертор Кука). В узком диапазоне, когда необходимо поддерживать ивых « Ubx, можно применить другой режим работы схемы, когда ключи К1 и К2 работают синхронно в режиме ШИМ. В этом случае переход к работе ИРН-1 или ИРН-2 происходит плавно, без скачка напряжения на выходе. Схема, показанная на рис.В.1, и ее режимы управления были предложены [51] в 1973 г., и ее работа в различных режимах подробно изложена в [23]. Многие годы казалось, что судьба данной схемы предрешена и она не сможет найти применения из-за сложности режимов управления ключами. Однако то, что, казалось, сложно было осуществить раньше, вполне возможно реализовать теперь. Фирма Linear Technology выпустила контроллер LTC 3780, управляющий во всех требуемых режимах ключами К1 и К2. Кроме того, дополнительное улучшение свойств преобразо-
А Введение вателя, управляемого этим контроллером, внесла постановка двух MOSFET, шунтирующих диоды Dl, D2 и работающих в режиме синхронных выпрямителей. О популярности в наше время схемы, показанной на рис. В. 1, свидетельствует тот факт, что она стала использоваться в новых источниках фирмы Vicor, а недавно была предложена в качестве одного из вариантов построения системы запасания энергии и ее передачи в нагрузку при пропадании напряжения сети [96]. Данная схема находит применение и в других преобразователях различной мощности. Аналоговое управление затруднительно использовать, когда возрастает сложность выполнения той или иной функции преобразователя (например, требуется строгая временная последовательность запуска отдельных ступеней; требуется мягкий старт преобразователя, когда его время запуска исчисляется секундами). Точность установки выходных параметров бывает недостаточной и ее повышение связано как с трудоемкостью, так и с применением более дорогих комплектующих элементов. Изменение выходной характеристики преобразователя, например, переход от режима стабилизации напряжения к режиму стабилизации тока, может выполняться аналоговыми средствами, но значительно проще эта функция реализуется с помощью цифрового контроллера. И все-таки, наверное, нет смысла применять сейчас цифровой контроллер для решения каких бы то ни было задач, если мощность преобразователя составляет несколько ватт или даже несколько десятков ватт. Обеспечение мощностью какой-либо платы, устройства или системы — то, что должен выполнять транзисторный преобразователь — является, по определению аналоговой задачей, поскольку параметры преобразователя, интересующие потребителя, — напряжение, ток, мощность, КПД или стоимость, — являются аналоговыми. Однако, возрастающая эффективность цифрового управления, в сочетании с доступной стоимостью микроконтроллеров и сравнительной легкостью их применения, делают этот вид управления вполне реалистичным решением для создания различных и качественно новых функций источника питания. Можно назвать два уровня внедрения цифрового управления в преобразователи электроэнергии: 1. Микроконтроллер обеспечивает мониторинг входных и выходных параметров, улучшая функциональные возможности преобразователя. Это может быть выполнено с помощью стандартного микроконтроллера со встроенным аналогово- цифровым преобразователем (АЦП). Необходимые замкнутые контуры управления остаются при этом аналоговыми. 2. Полностью цифровое управление, при котором все внешние воздействия на микроконтроллер переводятся в цифровую форму и анализируются для принятия соответствующих решений. Обычно, поскольку управление в замкнутом контуре также является цифровым, на этом уровне требуется использование цифрового сигнального процессора, имеющего в своем составе достаточное число АЦП и быстродействующих цифровых ШИМ. Цифровые контроллеры хорошо справляются с действиями, когда требуется принимать решения по условиям «что, если?». Если в преобразователе часто встречается ситуация типа «если X напряжение (ток) больше, чем Y, то воздействуй на Z», тогда лучшим средством, позволяющим точно выполнить данное действие, является микроконтроллер.
Цифровые контроллеры также хорошо справляются с выполнением последовательных событий или выполнением каких-то функций, связанных между собой во времени. В ряде случаев, которые теперь достаточно распространены, преобразователь получает дополнительные преимущества, поскольку цифровой контроллер производит диагностику устройства и передачу сведений другому, центральному микроконтроллеру, а тот, в свою очередь, доставляет их в систему верхнего уровня для принятия оперативного решения в нештатной ситуации. Современные микроконтроллеры, используя память EEPROM, фиксируют определенные события и возникающие неисправности, хранят записи в журнале, которые могут через какое-то время быть извлечены для предоставления полезной информации. EEPROM удобно также использовать для калибровки параметров преобразователя или воздействия на коэффициенты при изменении температурного режима. Незаметный с первого взгляда, но явно ощутимый выигрыш от применения цифрового управления в преобразователях прослеживается даже на этапах разработки и производства. Возможность изменения характеристик преобразователя перепрограммированием контроллера позволяет проводить изменения и быстро, и безошибочно, что ускоряет выход из производства готового изделия. По сути, одна и та же разработка может быть использована для различных применений сменой встроенного программного обеспечения. Из этого следует, что будет затрачено меньше средств на приобретение компонентов (их увеличение неизбежно произошло бы при использовании аналогового управления) и будет меньше лишних операций в процессе производства. Микроконтроллер дает возможность производить электронную (а не ручную) калибровку выходных параметров, существенно повышая, например, точность их установки.
ЧАСТЬ I. НЕКОТОРЫЕ СХЕМОТЕХНИЧЕСКИЕ РЕШЕНИЯ И ОСОБЕННОСТИ РАБОТЫ ТРАНЗИСТОРНЫХ ПРЕОБРАЗОВАТЕЛЕЙ ГЛАВА I ОДНОФАЗНЫЙ КОРРЕКТОР КОЭФФИЦИЕНТА МОЩНОСТИ (ККМ) Коррекция коэффициента мощности (КМ) была всегда необходима для поставщиков электроэнергии. Как известно, под КМ в электротехнике понимают отношение активной мощности, потребляемой из сети, к полной мощности, отдаваемой источником: где Uexd, 4, д — действующие значения синусоидального напряжения и тока на входных зажимах потребителя. Для источника электроэнергии (сети) наиболее удобный потребитель — резистор, поскольку вся мощность источника переходит в нагрузку, а КМ при этом равен единице. Появившиеся в последние десятилетия большое количество потребителей, как правило, относящихся к электронным устройствам и являющихся нелинейными нагрузками, ухудшили качество электроэнергии, доставляемой к потребителям. В сети возрос коэффициент нелинейных искажений, нормируемый в [48], возросли отдельные гармоники тока (5, 7, 9, Пи более высокие), влияющие на форму синусоидального напряжения и создающие высокочастотные радиопомехи. По этой причине стандартом [49] установлены нормы отдельных гармоник тока, создаваемых при работе потребителей. В нашей стране, так же как и в других странах, появились нормативные документы, определяющие необходимость получения высокого значения КМ, близкого к единице, при работе потребителя даже небольшой мощности — десятки ватт. Однофазный ККМ широко используется в блоках питания различных устройств и систем, электрическая схема его силовой части выполняется, как правило, на основе повышающего импульсного регулятора напряжения [23] и показанного на рис. 1.1. Рис. 1.1. Использование повышающего импульсного регулятора в ККМ
1.1. Взаимодействие силового ключа и диода быстродействие диода и его влияние на основные показатели устройства При проектировании силовой части ККМ приходится решать несколько важных вопросов, которые еще не достаточно освещены в отечественной литературе и поэтому рассматриваются в нескольких последующих параграфах данной главы. 1.1. Взаимодействие силового ключа и диода, быстродействие диода и его влияние на основные показатели устройства Эффективность работы любого преобразователя энергии и, в частности ККМ, зависит от взаимодействия силового ключа (7) и диода (D). Частотные свойства современных MOSFET и диодов таковы, что при включении ключа его ток стока (/с) нарастает практически линейно, а ток диода (iD), уменьшаясь, не может прекратиться в момент /,, а продолжает изменяться в отрицательном направлении (рис. 1.2). Последнее обусловлено накопленным зарядом в базе и принципом работы диода с р-n переходом на неосновных носителях. Заметим, что в любом интервале времени работы схемы по рис. 1.1, включая и быстрый процесс, показанный на рис. 1.2, выполняется равенство: (1.1.1) Поскольку процесс включения происходит за короткое время (десятки или сотни наносекунд), можно ток в дросселе в данном интервале считать постоянным (iL = 7). Из рис. 1.2 можно видеть, что потери на включение ключа происходят за время гвкЛ, а на выключение диода за время (t'eKJ1 — teia). Большое время рассасывания диода /„, приводит к росту потерь в обоих элементах. Например, для диода типа STTA3006CW/CP, широко применяемого в однофазных ККМ, ^65 не (при IF= 1 A, d/p/d/ = —50 А/шсс и т= 25°С). Рассмотрим мощности, рассеиваемые в силовом ключе и диоде ККМ. Примем несколько допущений, упрощающих анализ: — ток в ключе и диоде соответствует только низкочастотной (сетевой) составляющей и не изменяется в течение периода коммутации; Рис. 1.2. Процессы при включении силового Рис.1.3. Упрощенные процессы при вклю- ключа и выключении диода чении силового ключа и выключении диода в /*-й период работы
miS Глава 1. Однофазный корректор коэффициента мощности (ККМ) — напряжения на запертых ключе и диоде не изменяются за время одного периода; — напряжение на диоде во время его проводящего состояния определяется пороговым напряжением (Unop) и его дифференциальным сопротивлением (rD)\ — при включении силового ключа временные интервалы гвкл — txKt'eKJl — teKJl равны половине времени рассасывания диода tn (рис. 1.3); — время tn полагается постоянным и не зависящим от прямого тока диода и скорости спада тока при запирании; — наклон тока ключа при его включении является постоянным (kH = dic/dt). 1.1.1. Потери мощности в открытом ключе Рассмотрим ток и напряжение в ключе за время одного i-ro периода (рис. 1.4). Номер периода (/) отсчитывается от начала полупериода сети; dt на рис. 1.4 — относительная длительность включенного ключа (коэффициент заполнения) в данном i-м периоде. Для определения dt используем зависимость Рис. 1.4. Ток в ключе и напряжение на нем в i-м периоде. где d— мгновенное значение коэффициента заполнения; со — круговая частота сети; Um — амплитудное значение напряжения сети; 1/вых — выходное напряжение ККМ (переменная составляющая напряжения на выходе считается пренебрежимо малой). В /-м периоде мощность, рассеиваемая в открытом ключе, в соответствии с рис. 1.4 равна: При выводе последнего соотношения ток /;, проходящий через ключ в одном /-м периоде, полагался неизменным в соответствии принятыми допущениями. Для определения общей мощности, выделяемой в ключе (за половину периода сети), необходимо учесть изменения тока \{ и коэффициента заполнения di? входящих в (1.1.3), во времени. (1.1.4) Полагая Um = 42Uexd = aUebDC, имеем: (1.1.5) Теперь можно определить мощность в ключе, используя (1.1.3—1.1.5).
1.1. Взаимодействие силового ключа и диода быстродействие диода и „^ его влияние на основные показатели устройства Взяв интеграл в последнем выражении, окончательно получим (1.1.6) 1. 1.2. Потери мощности в открытом диоде На рис. 1.5 показана диаграмма напряжения и тока в диоде в /-м периоде. На рисунке uDi — падение напряжения на открытом диоде за время (\ — d)T в /-м периоде. Мощность, теряемая в диоде за время i-ro периода, определяется из выражения: Рис. 1.5. Ток в диоде и напряжение на нем в i-м периоде Напряжение uDi: Используя (1.1.5), определим (l-d): Средняя мощность в диоде: (1.1.7) 1. 1.3. Потери мощности при включении ключа Здесь не рассматривается мощность, теряемая в ключе из-за разряда его выходной емкости. Остальные потери при включении ключа определяются с помощью рис. 1.3. В каждом i-м периоде потери при включении равны: Подставив в последнюю формулу значение teiui из выражения для ?н, получим:
jnftO Глава 1. Однофазный корректор коэффициента мощности (ККМ) и окончательно получим: Теперь можно определить мощность, теряемую в ключе при его включении, (1.1.8) 1. 1.4. Потери мощности при выключении диода Используя рис. 1.3, запишем выражение для мощности, теряемой в диоде при его выключении за один период коммутации: где ток 1Ш определяется из выражения 1Ш =~if~- Поэтому потери мощности в диоде при его выключении за один период коммутации можно записать: Средняя мощность потерь в диоде при его выключении с учетом последнего соотношения равна: (1.1.9) Соотношения (1.1.6—1.1.9) позволяют оценить мощность, теряемую в электронных приборах при работе ККМ. Пусть напряжение сети (U^J составляет 220 В, ток, отбираемый от сети, 1^д = = 10 А, сопротивление открытого транзистора ЛЛо/| = 0,1 Ом, частота переключения 50 кГц, наклон тока при включении кн = МО8 А/с, tn = 100 не, напряжение на выходе ККМ (Ueux) 310 В, пороговое напряжение диода Unop = 0,7 В, дифференциальное сопротивление rD открытого диода 0,06 Ом. Потери в открытом состоянии транзистора, расчет по (1.1.6), коэффициент а [^«Л равен!:
/. 1. Взаимодействие силового ключа и диода быстродействие диода и его влияние на основные показатели устройства Потери в открытом состоянии диода, соотношение (1.1.7): Потери в транзисторе при включении (мощность, теряемая из-за разряда его выходной емкости здесь не учитывается), формула (1.1.8): Потери при выключении диода, (соотношение (1.1.9): Проведенные расчеты показывают, что из общей мощности 23,1 Вт, теряемой в силовых транзисторе и диоде, почти 60% составляет мощность потерь при включении ключа. Потери в открытом состоянии диода также значительны и составляют в данном примере около 30%. Потери в открытом состоянии транзистора невелики, поскольку в данном примере напряжение сети (U^J высокое, а коэффициент а равен единице. Достаточно малы также потери в диоде при его выключении. Как потери при включении транзистора, так и потери при выключении диода будут возрастать вследствие обычного увеличения времени /„.диодов с р-n переходом при повышении температуры кристалла. По этим причинам в ККМ работа MOSFET и диода с р-n переходом без дополнительных цепей, позволяющих уменьшить общую мощность потерь и нейтрализовать влияние времени tn диода, обычно неэффективна и может приводить к отказам в работе устройства. Одна из наиболее распространенных схем, заметно улучшающих работу ККМ и взаимодействие ключа с диодом, показана на рис. 1.6. Схемы, подобные изображенной на рис. 1.6, называются демпфирующими, и при идеальных диодах Dl, D3 и дросселе L2 работа схемы не сопровождается потерями. Схема позволяет устранить потери при включении транзистора (здесь не идет речь о потерях, вызванных разрядом его выходной емкости) и снизить максимальное значе- Рис.1.6. Демпфирующая цепь без потерь мощности
Глава 1. Однофазный корректор коэффициента мощности (ККМ) Рис. 1.7. Изменение прямого участка вольт- амперной характеристики диода Шоттки на основе карбида кремния с температурой ние выброса тока стока. Первое объясняется тем, что скорость нарастания тока ключа задается теперь дросселем L2, она ниже исходной скорости нарастания тока и поэтому напряжение на ключе изменилось от высокого уровня почти до нуля при подаче отпирающего импульса на затвор. Максимальный выброс тока транзистора уменьшается вследствие замедления спада тока в диоде D1, а благодаря этому уменьшается по абсолютному значению ток 1Ш диода. К сожалению, достоинства схемы на рис. 1.6 на этом заканчиваются. Потери в дополнительных диодах D\, Z)3 и в дросселе L2 оказываются сравнимы с прежними потерями при включении транзистора, требуется теплоотвод для диодов и, кроме того, значительна стоимость дополнительно введенных компонентов. Хорошие возможности улучшения работы ККМ открывают диоды Шоттки на основе карбида кремния, выпускаемые серийно различными фирмами уже несколько лет. Хотя на ранних этапах развития полупроводниковой техники карбид кремния считался малоперспекгивным материалом, в частности для изготовления транзисторов (для биполярных транзисторов оказалась мала подвижность носителей и связанная с ней низкая предельная частота работы [41]), современные технологии уже позволили создать диоды, работающие на основе карбида кремния и барьера Шотгки. Изготовители данного типа диода рекомендуют его как идеальный диод для применения в ККМ. Основанием для такого утверждения является отсутствие процесса обратного восстановления (как и у обычных низковольтных диодов Шоттки), идеальное поведение в режиме переключения, отсутствие температурной зависимости на процесс переключения диода, высокая предельная рабочая температура. Прямое падение на диоде при номинальном токе составляет около 1,5 В (типовое значение), с ростом температуры пороговое напряжение понижается, а напряжение при больших токах увеличивается (рис. 1.7). Обратный ток диода возрастает при увеличении приложенного напряжения и повышении температуры, что характерно и для обычных диодов Шоттки. Емкость диода составляет несколько сотен пикофарад при нулевом напряжении и несколько десятков пикофарад при обратном напряжении 300—600 В. Проведем расчет потерь в силовых приборах ККМ при использовании диода Шоттки для исходных данных предыдущего примера. Необходимо внести следующие изменения: — время tn теперь равно нулю; - ?/„ор = 0,9В;га = 0,05Ом. Потери в открытом состоянии транзистора (Д/^) не изменились и по-прежнему составляют 1,5 Вт. Потери в открытом состоянии диода, формула (1.1.7):
1.2. Основы расчета дросселя ККМ 3i Потери в транзисторе при включении, соотношение (1.1.8), (коэффициент b равен нулю): Потери при выключении диода (АРдвы/СЛ) вследствие равенства нулю tn также равны нулю. Суммируя все учитываемые виды потерь, получим: Таким образом, применение высоковольтного диода Шоттки позволило, не используя дополнительных элементов, снизить общие потери в силовых приборах на 7,5 Вт, то есть на 32,5%. В значительно более легком тепловом режиме теперь работает силовой ключ. 1.2. Основы расчета дросселя ККМ Работа дросселя в повышающей схеме ККМ (рис. 1.1) имеет особенности, поэтому рассмотрим самые важные пункты его расчета, опустив те, которые являются достаточно простыми и очевидными. Расчет проведем на конкретном примере, задав исходные данные: — ККМ входит в состав транзисторного преобразователя (выпрямителя), то есть выход ККМ является входным напряжением DC-DC преобразователя; Рвых = 1500 Вт (выходная мощность транзисторного выпрямителя); Л = 0,9 (КПД ККМ и DC-DC преобразователя); / =50 Гц; J сети "" /=100 кГц (частота работы ключа ККМ); Ucemu= 176-264 В; Пульсации выходного напряжения ККМ считаем пренебрежимо малыми. 1. Определение амплитуды тока низкочастотной составляющей 2. Определение диапазона изменения коэффициента заполнения (d) ключа ККМ. Пределы изменения d— от 0 до 1. Связь выходного напряжения ККМ и коэффициента d определяется соотношением: (1.2.1) где исети — мгновенное значение выпрямленного напряжения сети. Задав в (1.2.1) достаточно малым минимальное значение d (Dmin) при Ucemumax, получим: Из соотношения (1.2.1) при Ucemumin выразим максимальное значение d (Bmax)9 соответствующее амплитуде тока 1а:
4 Глава 1. Однофазный корректор коэффициента мощности (ККМ) При частоте ЮОкГц Dmax соответствует длительности импульса: 3. Задание пульсации тока дросселя. Размах высокочастотной составляющей тока дросселя (А7) задается в долях от тока 1а, определенного в п. 1, и составляет 0,1—0,3 от этого значения: 4. Максимальное значение тока в дросселе 5. Требуемую индуктивность дросселя (L) определим из режима, соответствующего напряжению сети Ucemumin: 6. Требуемая энергия дросселя: 7. Выбор типоразмера сердечника. Выберем феррит марки N87 с Е-образной формой сердечника [71]. Используем соотношение/приведенное в [23]: К. = — = 1,41; h - действующие значение тока; *д Kj,= 534 А/см2 (для АГ= 50°С); К} — плотность тока в обмотке; А Г— перегрев дросселя по отношению к температуре окружающей среды; Ки = 0,4 — коэффициент использования окна сердечника; Вт = 0,33 Тл — максимальное значение индукции для выбранного материала при температуре сердечникаЮО°С; Sc и S0 - площади сечения и окна сердечника [см2]. Производим расчет по вышеприведенной формуле для SCS0: Из ряда сердечников типа Е выбираем типоразмер Е55/28/21, для которого Sc = 351мм2 =3,51см2; ?„=375,6 мм2 = 3,76 см2.
1.2. Основы расчета дросселя ККМ 3 Произведение SCS0 стандартного сердечника: 8. Определим отношение требуемых витков обмотки (W) к длине воздушного зазора (/3) сердечника. Предварительно выразим постоянную и переменную составляющие индукции. Переменная составляющая индукции (В_), равная половине размаха индукции (АВ), определяется из соотношения: (1.2.2) где ji - относительная магнитная проницаемость воздушного зазора (принимаем равной единице); - магнитная постоянная. Амплитуда «медленной» составляющей индукции, изменяющейся с частотой 100 Гц: (1.2.3) Сумма В„ и В=равна Вт — значению индукции, которое было задано при расчете ?Д (Вт = 0,ЗЗТл): Из последнего соотношения определим: 9. Определим требуемое число витков, используя соотношение для индуктивности: 10. Определение длины воздушного зазора: Примем зазор 13 = 3 мм, используя две половины стандартного Е-сердечника, с зазором в центральном керне по 1,5 мм. 11. Уточнение индуктивности дросселя
Об Глава 1. Однофазный корректор коэффициента мощности (ККМ) 12. Уточнение тока Л/из-за увеличения зазора (приведение его к стандартному): 13. Определение Вj 14. Определение В =: 15. Максимальная индукция Вт\ Определение средней индукции в сердечнике 16. Потери в сердечнике изменяются в зависимости от значения мгновенного напряжения сети (Ucemu) и от значения момента времени (угла) в полупериоде сетевого напряжения, поэтому важно уметь определять среднее значение индукции в сердечнике за полупериод сети для определенного уровня сетевого напряжения. Мгновенное напряжение сети в i-й момент переключения силового транзистора может быть записано в виде: (1.2.4) Выходное напряжение ККМ (исходя из соотношения (1.2.1)): (1.2.5) Можно выразить dt из (1.2.5): Поэтому длительность импульса tui может быть записана: где Т = период переключения силового транзистора. В каждом периоде переключений изменение индукции ДД. происходит в соответствии с соотношением: (1.2.7)
1.2. Основы расчета дросселя К КМ 3 7]| Подставим в (1.2.7) значения Ucemui и tuj из (1.2.4) и (1.2.6), обозначив угол со/, через ф;: Приняв обозначения: окончательно запишем выражение для АД: (1.2.8) Функция АД имеет экстремумы на интервале 0<ф<л. Во-первых, при ф. = — и Ьх < 0,5 имеет место максимум (АВтахЛ), а при Ьх > 0,5 — минимум. Кроме того, максимумы функции АД имеют место при углах ф;, равных: При этих значениях углов значения максимумов функции АД одинаковы и равны АВтах2. Анализ показывает, что и U*cemu — действующее значение напряжения. В рассматриваемом примере Определим среднее значение индукции АВср за полупериод напряжения сети. Используя (1.2.7), получим: (1.2.9) Для нашего примера при Ucemumin = 176 В и Uebtx = 414 В получим: Из (1.2.9):
Глава 1. Однофазный корректор коэффициента мощности (ККМ) Аналогично можно определить АВср (или В^р) при других значениях сетевого напряжения. Потери в сердечнике дросселя Покажем расчет потерь в сердечнике для одного значения напряжения сети (Vcemumi =176 В): ^ сети.mm / Из справочника [71] для материала N87, В^ср = 25 мТл и частоты 100 кГц имеем удельные потери: Для сердечника Е55/28/21 объем двух половин (Vc) равен 43900 мм3 = = 43900-Ю-9 м3. Окончательно потери в сердечнике при напряжении сети 176 В оказываются равными: для 25°С: для 100°С: Малая величина потерь объясняется малым значением переменной составляющей индукции (ее нельзя увеличивать из-за присутствия «медленной» составляющей В=) и низкими значениями удельных потерь в материале N87, разработанного специально для работы на высоких частотах. 1.3. Особенности работы корректора коэффициента мощности в широком диапазоне напряжения сети Изменения напряжения сети переменного тока оговорены стандартами, в частности [48], в которых указываются уровни перенапряжений, провалов напряжения, а также пределы медленных (статических) изменений. Было бы хорошо, если бы ККМ мог работать при предельно широких изменениях напряжения сети. В частности, стандарты в США и Японии предусматривают номинальное напряжение сети ПО В (60 Гц), а в Европе и других странах 220 В (50 Гц). По этой причине разрабатываются ККМ на так называемую «широкую» сеть, в которой изменения напряжения происходят от 85 до 264 В. При этом ККМ частично снижает свои характеристики, например, его удельная мощность (в размерности Вт/дм3) становится заметно меньше той, что достижима при обычной сети. При проектировании ККМ обычно возникает несколько вопросов, требующих решения и относящихся к возможному диапазону изменения напряжения сети. Например, повторяющееся перенапряжение в сети, составляющее 1,4— 1,5 UHOM, при длительности несколько десятков миллисекунд снижает надежность работаю-
1.3. Особенности работы корректора коэффициента мощности в широком диапазоне напряжения сети щих выходных конденсаторов, силового ключа и диода. В схеме управления ККМ должны быть поэтому предусмотрены меры, выключающие силовой транзистор при напряжении сети (действующее значение), например, 300 В. Кратковременные провалы до нуля напряжения сети в некоторых случаях (когда в системе электропитания не используется аккумуляторная батарея) должны компенсироваться увеличенной емкостью на выходе ККМ. Время, в течение которого напряжение на выходных конденсаторах не должно опуститься ниже определенного уровня, обычно составляет один период сети. Предположим, что при проектировании ККМ ставится задача обеспечить номинальную мощность на выходе ККМ, если напряжение сети снижается от 220 до 85 В. К каким последствиям приведет выполнение этого требования? Ток, отбираемый от сети, возрастает при снижении сетевого напряжения в соответствии с соотношением: Р вых где Рвых— активная мощность на выходе ККМ, г\ — КПД корректора. Согласно (1.1.6) при минимальном напряжении сети (UeXmd = Ucemumifl) потери в открытом состоянии ключа будут максимальными: Syf2U ЛхАтахД*.».' (1.3.1) где Ueblx —выходное напряжение ККМ. Используем (1.3.1) для определения степени возрастания потерь в ключе, приняв исходные данные: Рвых = 1600 Вт; л = 0,96; Ucemumax = 264 В; Ucemumin = 85 В; U =414 В. Предварительно определим входные токи ККМ при Ueemu max и Ucemumin, считая для упрощения КПД г| постоянным: 1600 U Л 264 0,96 ^ сети, max I A*v^r ^t^^ Расчет согласно (1.3.1) отношения потерь в открытом состоянии ключа при ию и UmjMX показывает следующий результат: Потери в ключе за время его открытого состояния возрастают более чем в 30 раз при переходе работы ККМ от напряжения сети 264В к напряжению 85 В. Если сравнивать потери в открытом ключе при Ucemu = 176 В (220 В-20%) и Ucemu = 85 В, то возрастание потерь будет не столь большим, но все равно останется значительным:
'40 Глава 1. Однофазный корректор коэффициента мощности (ККМ) Поэтому работа при полной мощности ККМ и напряжении сети 85 В потребует либо увеличения поверхности теплопроводящего радиатора более чем в 6 раз, либо параллельного включения большого числа транзисторов MOSFET, либо применения более дорогих транзисторов с существенно меньшим значением сопротивления К^оп. В любом случае принятие решения о работе ККМ с полной мощностью до напряжения сети 85 В приводит к удорожанию устройства и снижению его удельной мощности. Кроме того, при уменьшении Ucemu требуется большая энергия дросселя 1VL, что означает переход к большему типоразмеру сердечника. Следовательно, возрастание стоимости и размеров ККМ произойдет еще более резко. Возможен другой подход к проектированию, если напряжение сети на непродолжительное время резко снижается (например, от 220 В до 110 В или даже 85 В), но бесперебойность работы системы электропитания обеспечивается на выходе DC—DC преобразователя, включаемого за ККМ, аккумуляторной батареей (АБ). В этих случаях можно спроектировать транзисторный выпрямитель (ТВ) с изменяемой выходной мощностью, зависящей от напряжения сети. Одно из возможных семейств выходных характеристик ТВ показано на рис. 1.8, где можно видеть два характерных участка: участок стабилизации напряжения и участок стабилизации тока. Характеристика при Ucemu > 176 В показана жирными линиями, а при снижении напряжения ниже 176 В — пунктирными. Плавному снижению сетевого напряжения соответствует перемещение участка стабилизации тока влево, который при напряжении сети 85В будет поддерживать постоянный ток 1нтахХ. Уровень предельной выходной мощности ТВ при напряжении сети 85 В (UebJfHmaxl) может быть установлен в любом соотношении с номинальной выходной мощностью (1/вых 1нмах), например, 30 или 50% от Рвыхном. Мощность, требуемая от ККМ, также плавно снижается при понижении напряжения сети ниже 176 В, достигая минимального значения при ?/„и„ = 85В. Изменение предельной мощности ТВ, адаптивной к изменению сетевого напряжения, производится системой управления. Рис. 1.8. Семейство выходных характеристик транзисторного выпрямителя с выходной мощностью, зависящей от tf
ГЛАВА 2 ТРЕХФАЗНЫЕ КОРРЕКТОРЫ КОЭФФИЦИЕНТА МОЩНОСТИ Улучшение коэффициента мощности является необходимым и актуальным не только для однофазных, но в не меньшей степени и для трехфазных сетей. Трехфазные выпрямители, работающие, как правило, с большим уровнем мощности в нагрузке, не должны ухудшать качество электроэнергии, поставляемой потребителю. Поэтому, в первую очередь, от них требуется формирование синусоидального тока в каждой фазе. Рис. 2.1. Трехфазный мостовой выпрямитель с коррекцией коэффициента мощности с тремя ключами, подсоединенными к фазам источника и дросселям Известно большое количество схемных решений, позволяющих получить синусоидальную форму тока, отбираемого от сети. Не рассматривая схемы с тиристорами, низкочастотными трансформаторами, а также гальванической развязкой между входом и выходом, остановимся на основных принципах, позволяющих создавать выпрямители с большой удельной мощностью, близким к единице коэффициентом мощности и низким содержанием гармоник в потребляемом токе. Идея построения современных трехфазных выпрямителей с коррекцией коэффициента мощности (ТВ ККМ) заключается в использовании полностью управляемых ключей, подсоединенных к каждой фазе сети. На рис. 2.1 показана схема, содержащая трехфазный мостовой диодный выпрямитель, ключи Ка, Кь, Кс и дроссели в фазах La, Lb, Lc. Полностью управляемый ключ в цепи переменного тока может, например, выполняться на основе IGBT или MOSFET и однофазного диодного моста (рис. 2.2). Возможно несколько способов управления ключами. Например, каждый из ключей в схеме рис. 2.1 включается в момент перехода напряжения фазы в положительном направлении через ноль. Рис. 2.2. Полностью управляемый ключ в цепи переменного тока
'42 Глава 2. Трехфазные корректоры коэффициента мощности Достоинствами выпрямителя на рис. 2.1 являются относительная простота схемы, высокий КПД и низкая стоимость. Размеры дросселей определяются выбранной стратегией управления схемы, в частности, частотой работы ключей. ТВ ККМ по схеме рис. 2.1 имеет значительные недостатки, основные из них заключаются в следующем: — требуется подсоединение ключей к нейтральному проводу, вследствие чего через него проходит пульсирующий ток; — подсоединение ключей к дросселям данной схемы вызывает перенапряжение на них в момент отключения. Перенапряжение может быть уменьшено демпфирующей цепью, но надежность схемы при этом снижается, а потери возрастают. В силу упомянутых недостатков продолжались поиски более совершенных топологий трехфазных выпрямителей, которые будут рассмотрены в данной главе. 2.1. Выпрямитель на основе трехфазного инвертора ТВ ККМ (или AC—DC конверторы, как их называют в зарубежной литературе) при использовании ШИМ-управления ключами отличаются заметными преимуществами перед обычными выпрямителям, обеспечивая единичный коэффициент мощности в каждой фазе, возможность создания двунаправленного потока энергии, низкий уровень гармоник тока, создаваемых в сети, малые пульсации выходного напряжения, малые размеры реактивных элементов как на входе, так и на выходе выпрямителя. Схема на основе трехфазного инвертора, обладающая лучшими свойствами по сравнению с рассмотренной выше, показана на рис. 2.3. Каждый ключ выполняется на основе IGBT или MOSFET. Выпрямитель, показанный на рис. 2.3, может работать в инверторном режиме в системе привода переменного тока. Требование единичного КМ в трехфазной системе (Ua, Ub, Uc) означает, что напряжение и ток смещены в каждой фазе на нулевой или достаточно малый угол ф. Этого можно достичь, определенным образом переключая транзисторы в схеме. Чем выше частота их переключения по сравнению с частотой сети, тем меньше оказывается угол ср. Кроме того, индуктивность дросселей, подключенных к каждой фазе, снижается при прочих равных условиях (например, при одинаковом содержании гармоник тока) при возрастании частоты переключения. С другой стороны, высокая частота переключения может оказаться неприемлемой из-за динамических свойств ключей и понижения КПД выпрямителя. Частота переключения более 3 кГц вполне приемлема для современных мощных и высоковольтных IGBT и достаточна для работы Рис. 2.3. Трехфазный выпрямитель на основе ссетью 50 Гц. Если же частота пере- трехфазного инвертора ^ t ^ ^ к ключения выбрана около 1 кГц, по-
2.2. Выпрямитель Виенна 43ij| требуется специальное устройство фазовой компенсации в системе управления выпрямителем, которое минимизирует угол ср. Векторное ШИМ-управление (space vector PWM), реализуемое с помощью микроконтроллеров (МК) или цифровых сигнальных процессоров (ЦСП), широко используется в выпрямительных и инверторных системах. Вполне применимо оно и для ТВ ККМ, схема которого показана на рис. 2.3. 2.2. Выпрямитель Виенна Выпрямитель, показанный на рис. 2.3, требует применения ключей, напряжение на которых в запертом состоянии равно выходному. В ряде случаев это является недостатком, поскольку требуются транзисторы с высоким допустимым напряжением. Поэтому были предложены схемные решения, направленные на устранение данного недостатка, некоторые из которых рассматриваются в этом разделе. Показанная на рис. 2.4 схема ТВ ККМ и предложенная в [ 109] является хронологически первой, послужившей основой выпрямителей с двумя последовательно включенными конденсаторами, подсоединенными к нагрузке. В каждой фазе используются по два транзистора и два диода (D\ ...D6), образующие обычный мостовой выпрямитель. Кроме того, три последовательно включенные пары диодов Efl—D\ 2 предназначены для создания однонаправленного тока через транзисторы. Управление ключами для получения синусоидального тока в фазах может выполняться различными способами, но применение ЦСП позволяет осуществить векторное ШИМ-управление и в этом случае. Схем^ показанную на рис. 2.5, принято называть Виенна (Vienna) выпрямителем, поскольку именно так, в честь города Вены, решили назвать ее авторы, впервые опубликовавшие ее в [78]. Каждый из ключей Ка9 Кь и Кс выполняется на одном транзисторе и четырех диодах, как показано на рис. 2.2. Рассмотрим один из самых простых методов управления, при котором необязательно применение микроконтроллера или ЦСП (метод является низкочастот- Рис. 2.4. Трехфазный выпрямитель с двумя последовательно включенными конденсаторами на выходе
Глава 2. Трехфазные корректоры коэффициента мощности Рис. 2.5. Виенна выпрямитель ным). Каждый из ключей отпирается на определенную длительность (угол), начиная от перехода через ноль соответствующей фазы напряжения. Допустим, этот угол составляет л/6. Если рассматривать переход через ноль в положительном на- правлении напряжения фазы а, включенными оказываются диоды М, D5 и ключ Ка. Схема замещения для данного интервала показана на рис. 2.6. Стрелками показаны положительные направления напряжений и токов в фазах. Емкости конденсаторов С\ и С1 принимаются Рис. 2.6. Схема замещения Виенна выпрямителя, соответ- достаточно большими, ствующая состоянию перехода напряжения иа через ноль поэтому при анализе пуль- в положительном направлении сациями выходного напряжения можно пренебречь, считая это напряжение постоянным и равным 1/вых. В точке «А» схемы выходное напряжение делится пополам. С учетом этого и принимая равными индуктивности дросселей в фазах, составим два независимых уравнения по 2-му закону Кирхгофа. (2.2.1) (2.2.2) Уравнения (2.2.1) и (2.2.2) содержат три неизвестных - производные фазных токов. Третье уравнение получается в результате дифференцирования уравнения, составленного для узла ТУрис. 2.6 по 1-му закону Кирхгофа. (2.2.3) Решая систему уравнений (2.2.1)—(2.2.3), определим напряжение на дросселе фазы а в данном интервале:
2.2. Выпрямитель Виенна 4! (2.2.4) В последнем выражении 1/ф и UA — действующие значения фазного и линейного напряжений. Из (2.2.4) после интегрирования определим: (2.2.5) Полученное соотношение показывает, что ток фазы а возрастает в положительном направлении с нуля и точно также происходит изменение тока в ключе Ка. Токи в фазах Ь и с в рассматриваемом интервале зависят также от напряжения 11вых. При угле я/6 происходит выключение Ка, и поведение схемы в следующем интервале будет таким же, как в диодном выпрямителе с дросселями, то есть продолжается прохождение тока в каждой фазе. В следующем интервале, начиная с угла я/3, проводит ток ключ Кс (рис. 2.5), но теперь будут проводить ток диоды D\ и D4. На рис. 2.7 показаны временные диаграммы фазного напряжения иа, фазного тока ia и токов через ключи Ка, Кь и Кс. Напряжение на запертом ключе (в точках а, б на рис. 2.2) может иметь положительный или отрицательный знак в зависимости от направления прохождения тока в фазе, а абсолютное значение этого напряжения равно UebJ2. Последнее можно увидеть из следующего приме- Рис. 2.7. Временные диаграммы напряжения и ра. Допустим, ключ Ка закрывается тока фазы «а», токов в ключах ^ А; и Л; (в момент я/6), что приводит к отпиранию диода D\ для возможности прохождения тока фазы а. Из рис. 2.5 следует, что к запертому ключу Ка приложено напряжение на конденсаторе С\, равное UebJ2. При открытом диоде Ш к ключу Ка будет приложено напряжение на конденсаторе С2, также равное UewJ2. Знак напряжения на запертом ключе Ка будет теперь противоположен первоначальному. Схема моделирования в среде MATLAB Simulink показана на рис. 2.8, а результаты моделирования Виенна выпрямителя — на рис. 2.9. Исходные данные при моделировании были следующие: 1/л = 380 В; Рвых = 1 кВт; Ueblx = 530 В; /=50Гц;4 = 4 = 4=12мГн. На рис. 2.9 показаны фазные напряжения иа и ток /в, а на рис.2.10, а и 2.10, б ток ключа Ка и выходное напряжение, пульсирующее с частотой 6f(f— частота сети).
|м16 Глава 2. Трехфазные корректоры коэффициента мощности Рис. 2.8. Схема моделирования Виенна выпрямителя Для стабилизации выходного напряжения можно использовать изменения угла проводимости каждого ключа: для снижения напряжения 1/вых необходимо уменьшать этот угол, а для увеличения — увеличивать. Описанную здесь систему управления можно выполнить без применения микроконтроллеров, и то, что схема управления получается при этом простой и дешевой, является ее преимуществом. Хотя при полной нагрузке коэффициент мощности достигает 0,99, нужно учитывать большое количество гармоник, в том числе и низкочастотных, в фазном токе. Дроссели, работающие на частоте сети, требуются с достаточно большой индуктивностью. Тем не менее, их стоимость можно уменьшить, если в качестве сердечников применить дешевое электротехническое железо с высокой индукцией насыщения. Можно видеть, что в схеме в рис. 2.5 требуется вдвое меньше ключей, чем в схеме рис. 2.4. Однако при этом каждый ключ в схеме Виенна выпрямителя должен нести вдвое больший ток. КПД и коэффициент мощности обеих схем примерно одинаковы при одних и тех же методах управления. 0,18 0,19 0,20 0,21 0,22 0,23 0,24 0,25 0,26 0,27 0,28 Рис. 2.9. Напряжение и ток фазы выпрямителя Рис. 2.10. а. Ток в ключе выпрямителя; б. Выходное напряжение выпрямителя.
ГЛАВА 3 МОСТОВЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ С ФАЗОВЫМ УПРАВЛЕНИЕМ Класс преобразователей, которые позволяют получить постоянное выходное напряжение при входном постоянном, принято называть DC—DC преобразователями. При достаточно высоком входном напряжении ( > 200 В) и выходной мощности более 1 кВт широко используется мостовая схема с фазовым управлением (другое ее название — с фазовым сдвигом — phase shift). Мостовая схема с четырьмя ключами и первичной обмоткой трансформатора в диагонали обеспечивает резонансный перезаряд выходной емкости ключа, что позволяет значительно снизить потери в нем на переключение [23]. Данный режим работы ключей принято называть мягким переключением, другой термин — переключение при нуле напряжения (ПНН). Существует несколько разновидностей мостовой схемы, каждая имеет свои отличительные особенности и может быть применена для определенных технических требований. В данной главе рассматриваются три наиболее известные мостовые схемы с фазовым управлением и подчеркиваются особенности, достоинства и недостатки каждой из них. 3.1. Мостовой пребразователь с LC-фильтром Преобразователь, рассматриваемый в данном разделе, используется очень широко для самых различных областей применения. Его схема, показанная на рис. 3.1, появилась первой в ряду мостовых схем с фазовым управлением. Схема на рис.3.1 показана без некоторых элементов, улучшающих работу, но качественно не влияющих на ее принцип действия. Показанная схема может работать и при обычном ШИМ-управлении ключами, которое пока не рассматривается. В схеме рис. 3.1, работающей как все мостовые схемы с фазовым сдвигом симметрично, каждый полупериод работы (7/2) разделяется на интервал импульса (О и паузы (7/2- /ы). В импульсе ток через диагональ проходит либо через транзисторы 71, 74, либо через 72, 73. В паузе открыты (замкнуты) горизонтально расположенные на схеме транзисторы —либо 71 и 73 (в одном полупериоде), либо 72, 74 (в другом). При переключении стоек транзисторов — вертикально Рис. 3.1. Мостовой преобразователь с LC-фильтром
Глава 3. Мостовые DC—DC преобразователи с фазовым управлением расположенных ключей на схеме — в управлении предусматривается небольшая временная пауза, предназначенная для резонансного перезаряда выходных емкостей транзисторов. Тем самым достигается включение ранее запертого транзистора при нуле напряжения. Перезаряд выходных емкостей производится энергией, накопленной в индуктивности Ls, для этого ток в индуктивности «сохраняется», проходя во время паузы через горизонтально расположенные ключи. Поэтому от индуктивности Ls требуется энергия LsP/2 (I— ток через Ls к началу коммутации ключей), достаточная для перезаряда указанных емкостей. Сердечники трансформатора Тр и выходного дросселя, как правило, различные. Трансформатор, работая на частотах десятки килогерц, может использовать кольцевой или разрезной сердечник из аморфного железа, или феррит. Сердечник дросселя Ьфможет выполняться из материала Cool Мц, МО — пермаллоя, феррита или аморфного железа. Конструктивно желательно выполнить и трансформатор и дроссель с использованием низкопрофильных сердечников, что позволит весь преобразователь выполнить с минимальной высотой. Последнее обеспечит повышенную удельную мощность преобразователя. При больших токах в нагрузке конструкция дросселя Ьф будет препятствовать снижению высоты преобразователя и, следовательно, препятствовать его миниатюризации. Кроме того, выполнение трансформатора и выходного дросселя, как конструктивно разных элементов, может рассматриваться как известный недостаток схемы рис. 3.1. По этим причинам находят применение другие мостовые схемы с мягким переключением. 3.2. Мостовой преобразователь с удвоителем тока, несимметричный режим работы трансформатора На рис. 3.2 показана схема мостового преобразователя с выпрямителем на основе удвоителя тока. Предполагается, что в схеме реализуется фазовое управление, при котором напряжение на обмотках трансформатора Тр появляется при отпирании диагонально расположенных транзисторов 71, 74 или 72, 73 —это интервал импульса (tff). В паузе (/я) открываются поочередно в каждом полупериоде либо верхние транзисторы (71, 73), либо нижние — 72, 74. Напряжения на обмотках трансформатора в паузе равны нулю, при этом проводят ток оба выходных диода. При одинаковых сопротивлениях обмоток дросселей и одинаковых параметрах обеих половин схемы токи в каждом дросселе 1Л и 7,2 равны половине тока нагрузки. Мягкое переключение обеспечивается индуктивностью рассеяния Рис. 3.2. Мостовой преобразователь с удвоителем трансформатора или, если необходи- тока мо, дополнительной индуктивностью,
3.2. Мостовой преобразователь с удвоителем тока, несимметричный режим работы трансформатора 3 4? подключаемой последовательно с об- Л ИГ моткой Wx Выпрямитель с удвоителем тока находит все большее применение в устройствах большой мощности вследствие простой конструкции трансформатора, не имеющего среднего вывода вторичной обмотки, пониженного тока в этой обмотке, меньшей индуктивности рассеяния, а также лучшей технологичности из- ^ „ „ „ Рис. 3.3. Диаграмма напряжения на обмотках готовления дросселей, в каждом из л. г ж ^ ' трансформатора в мостовой схеме с фазовым которых ток в два раза меньше тока управлением нагрузки. Получим регулировочную характеристику (РХ) схемы, принимая обычные в таком случае допущения об идеальности входящих в нее элементов. Пульсациями выходного напряжения пренебрегаем, считая выходное напряжение равным Ueblx. Используем диаграмму напряжения на обмотках трансформатора (рис. 3.3). Коэффициент трансформации п принимаем равным отношению витков обмоток (п = WJ W{). В интервале tH1 (открыты транзисторы 71,74 и диод D\) имеем напряжения на обмотках трансформатора и дросселей (полагаем напряжение на соответствующей обмотке положительным, если положительный потенциал у начала обмотки, обозначенной точкой): В первом за период интервале паузы открыты диоды D\ и D2: Во втором полупериоде, когда открыты транзисторы 72, 73 и диод D2, то есть в интервале tH2: Во втором интервале паузы (tn2), как и в первом, открыты оба диода: Составим уравнение вольт-секундного баланса в периодическом (установившемся) режиме для любого из дросселей, полагая /я = tm = tK1ntn= tm = tm: Определяя коэффициент заполнения импульсов в периодическом режиме как D = 2 • tH/ Г, получим РХ преобразователя: (3.2.1)
{ИЗО Глава 3. Мостовые DC—DC преобразователи с фазовым управлением Использование выпрямителя с удвоителем тока может привести к возникновению постоянного тока подмагничивания в трансформаторе. В свою очередь, постоянный ток подмагничивания приводит к несимметричному перемагничиванию сердечника и приближению максимальной индукции к индукции насыщения Bs. При значительной несимметрии сердечник может войти в одностороннее насыщение, что приведет к недопустимым последствиям в работе устройства [2]. Постоянная составляющая тока подмагничивания трансформатора может быть вызвана двумя причинами: несимметрией по интервалам импульса или паузы на первичной стороне или несимметрией тока во вторичной обмотке трансформатора. Современные ШИМ-контроллеры для фазового управления практически исключают несимметрию по управлению. Несимметрию тока вторичной обмотки трансформатора определяют источники тока вторичной стороны преобразователя в каждом интервале работы. Очевидно, что в режиме токов, близких к номинальному, определяющими интервалами будут интервалы работы каждой диагонали первичной стороны. Дроссели выходного фильтра преобразователя можно для периодического режима работы считать источниками тока. Следовательно, при использовании LC-фильтра в выходной части мостового преобразователя (рис. 3.1) возникновение несимметрии тока вторичной обмотки практически невозможно, так как вследствие непрерывности тока дросселя и одинаковой длительности работы каждой диагонали средний ток дросселя в каждом интервале будет одним и тем же. Что касается преобразователя с удвоителем тока, то в этой схеме в каждом временном интервале работают одновременно два дросселя, и токи в них могут быть разными. Если средние токи дросселей оказываются неравными, то появится и неравенство средних токов, проходящих через диоды и, следовательно, появится постоянный ток через вторичную обмотку трансформатора. С последствиями несимметричного режима в трансформаторе при использовании рассматриваемого преобразователя с удвоителем тока можно бороться, применяя определенные типы сердечников и вводя воздушный зазор необходимой длины. Использование неразрезного типа сердечника в трансформаторе, например, ферритового кольцевого, исключает такую возможность. Поэтому, применяя сердечник трансформато- Рис. 3.4. Схема замещения мостового преобразователя с удвоителем тока
3.2. Мостовой преобразователь с удвоителем тока, несимметричный режим работы трансформатора ра без зазора, необходимо рассмотреть все факторы, влияющие на возникновение несимметрии. Подробный анализ был проведен в [12, 74], который кратко излагается ниже. Воспользуемся схемой замещения преобразователя, представленной на рис. 3.4. Теперь компоненты схемы не рассматриваются как идеальные. Каждый транзистор показан как последовательное включение сопротивления и идеального ключа: Rn, Rn, Rn, RT4 — сопротивления транзисторов в открытом состоянии; Кл1, Кл2, КлЗ, Кл4 — идеальные ключи. Диоды представлены соединением иде- Рис. 3.5. Схема замещения преобразователя с источником тока /н, приведенная к вторичной стороне ального ключа (Клд1, Клд2) с сопротивлением (RDl, Rm), характеризующим дифференциальное сопротивление диода, и источником напряжения (UDl9 Um), характеризующим пороговое напряжение на диоде при аппроксимации прямого участка ВАХ диода двумя ломаными. Другие обозначения: Rm — сопротивление первичной обмотки силового трансформатора, Rm — сопротивление вторичной обмотки трансформатора, RLV RL2 — сопротивления обмоток дросселей и проводников печатной платы в дроссельных ветвях. При анализе схемы сердечник трансформатора рассматривается с линейной зависимостью кривой перемагничивания и без гистерезиса. Определим ток, равный постоянному току через индуктивность намагничивания Ьц, приведенной ко вторичной обмотке Wv Принимая сопротивления ключей первичной стороны преобразователя равными для двух интервалов импульсов (Rn = Rn = Rn = RT4 = RT), можно перейти к схеме замещения, показанной на рис. 3.5. Сопротивление R'm на схеме рис. 3.5 — приведенное ко вторичной обмотке сопротивление первичной стороны: Если считать, что пульсации выходного напряжения малы, то нагрузку и выходной конденсатор можно представить источником тока 1н. Источник напряжения переменного тока в первичной цепи является симметричным и не содержит постоянной составляющей, а поскольку суммарные сопротивления транзисторов во всех интервалах приняты равными, то средний за период ток в ветви сопротивления R 'W1 должен быть также равен нулю. Поэтому ток подмагничивания трансформатора равен среднему току через вторичную обмотку. Определим токи во вторичной обмотке W2 за интервалы: (3.2.3)
|32 Глава 3. Мостовые DC—DC преобразователи с фазовым управлением (3.2.4) (3.2.5) (3.2.6) Для упрощения выкладок примем обозначение Rz = Rm + RD1 + RD2 + R'Wi- Из (3.2.3—3.2.6) средний ток за период во вторичной обмотке W2 равен: (3.2.7) Обозначим: kt = Из (3.2.7) получим уравнение, в котором три неизвестных: Im, ILl, IL2: По первому закону Кирхгофа для узла «а» на рис. 3.5: (3.2.9) Рассмотрим контур, показанный на рис. 3.5. По второму закону Кирхгофа для средних за период значений токов запишем уравнение: (3.2.10) Решая систему уравнений (3.2.8—3.2.10), получим искомые токи. Здесь мы приведем выражение только для тока /W2: (3.2.11) Неравенство нулю тока 1т в последнем выражении означает появление постоянной составляющей индукции в сердечнике силового трансформатора. С целью ее определения проанализируем полученное соотношение (3.2.11), проведя расчет при следующих исходных данных: D = 0,8,1Н = 50 A, RT = 110 мОм, Rm = 1 мОм. За расчетную величину примем постоянную составляющую индукции: (3.2.12) В трансформаторе используем кольцевой сердечник R63 (63x38x25), материал - высокочастотный феррит N87 с магнитной проницаемостью \х = 2200; W2 = 7 —
3.2. Мостовой преобразователь с удвоителем тока, несимметричный режим работы трансформатора число витков вторичной обмотки трансформатора; lm = 0,1521 м — длина средней магнитной силовой линии. Ни рис. 3.6 представлена зависимость постоянной составляющей индукции от разброса сопротивлений в дроссельных ветвях для трех различных сопротивлений Ru (3,5; 4; 4,5 мОм), при следующих условиях: Udi = ит = 0,52 В, Rm = Rm = 21,5 мОм. При этом сопротивление дросселя Ы фиксировалось, а в качестве переменной использовалась величина разброса ARL2 = \QQ(RLJRL1 — 1) при RL2 > RLi. Расчет проводился по соотношению (3.2.11) с подстановкой результата в (3.2.12). Рис. 3.6 показывает, что с увеличением разброса сопротивлений в дроссельных ветвях постоянная составляющая индукции (абсолютное значение) значительно увеличивается, к тому же на величину постоянной составляющей индукции влияет и абсолютное значение сопротивлений в дроссельных ветвях: большее их сопротивление приводит Рис. 3.6. Зависимость постоянной составляющей индукции в сердечнике трансформатора от разброса сопротивлений в дроссельных ветвях схемы к большей постоянной составляющей индукции при том же разбросе сопротивлений. Анализ проводился при RL2 > > RLl, а при RL2 < RLl постоянная составляющая индукции поменяет знак при тех же абсолютных значениях. Зависимости постоянной составляющей индукции от разброса порогового напряжения или дифференциального сопротивления выпрямительных диодов одинаковы и имеют тот же характер, что и при разбросе сопротивлений в дроссельных ветвях, но постоянная составляющая индукции теперь имеет на порядок меньшее значение. Поэтому общий вывод о влиянии параметров схемы можно сделать следующий: наибольшее влияние на постоянное подмагничивание в сердечнике оказывает разброс в дроссельных ветвях — разброс сопротивлений самих дросселей и проводников печатной платы. Для подтверждения полученных результатов было проведено моделирование мостового преобразователя с удвоителем тока с помощью программы PSpice. Для сокращения времени счета в качестве полупроводниковых приборов использовались идеальные модели (MOSFET: идеальный ключ с конечным сопротивлением в открытом состоянии; диоды: ключи с источниками напряжения и сопротивлениями) . По результатам анализа и моделирования был произведен расчет подмагничи- вания при различных вариантах выполнения макетного образца со следующими данными: Рвых = 2500 Вт, 1/вых = 48 В. При расчете использовались реальные дроссели и платы, которые позволили получить разные сопротивления в ветвях схем. В табл. 3.1 представлены результаты. Было проведено также моделирование нескольких вариантов преобразователя при использовании моделей реальных транзисторов взамен ключей, показанных
|[54 Глава 3. Мостовые DC—DC преобразователи с фазовым управлением на рис. 3.4. Результаты моделирования показали, что разброс параметров транзисторов влияет на появление несимметрии так же слабо, как и разброс параметров диодов. Таблица 3.1 Значения постоянной составляющей индукции (В = ), полученные расчетом и моделированием мОм 21,5 мОм 21,5 мОм 3,288 1 | =«= =и= 1 =и= =11= =11= 3,524 3,789 4,968 Я/.2» мОм 3,448 3,522 3,792 4,964 В=, Г, расчет -0,071 -0,013 -0,015 -0,014 В^,Т, модель -0,067 -0,011 -0,014 -0,013 | =11= 1 5,538 =|= | 5,339 =11= 23,65 =11= 19,35 =11= =11= 19,35 =11= 23,65 =11= 5,794 3,524 5,794 3,524 5,794 4,858 3 632 4,932 3,522 4,932 3,522 4,932 0,158 0,198 -0,042 0,166 0,016 0,230 0,158 1 0,199 -0,039 0,165 0,015 0,225 1 Для нескольких вариантов схемы, представленных в табл. 3.1 и выделенных жирным шрифтом, были проведены испытания макетного образца преобразователя с выходной мощностью 2500 Вт, работающего с частотой 100 кГц. Значения максимальной индукции в сердечнике трансформатора оценивались по формуле: где B^(UbXD/2fScWx). В рассматриваемом случае/= 100 кГц, D = 0.8, Sc = 305,9 мм2, Wx = 18, тогда Л = 0,145Тл. Индукция насыщения по справочным данным фирмы EPCOS [71 ] для комнатной температуры и частоты 10 кГц составляет 0,44-0,49 Тл (#= 200-1200 А/м), для температуры 100°С — 0,37—0,39 Тл (#= 200—1200 А/м). В качестве контролируемого параметра использовался мгновенный ток первичной обмотки. На рис. 3.7 показан ток в первичной обмотке трансформатора при параметрах схемы, представленных в строке 2 табл. 3.1. Ток достаточно симметричен за полупериоды работы. Необходимо отметить, что если начальные сопротивления дросселей отличаются не очень сильно, но обдув дросселей неравномерен или отвод тепла от обмоток дросселей плохой, то по истечении некоторого времени разброс сопротивлений может значительно возрасти, что приведет к появлению несимметрии даже для благополучного случая. Например, на рис. 3.8 показан ток, соответствующий параметрам схемы, указанным в строке 6 таблицы в начале работы преобразователя. Температура обмоток дросселей близка к комнатной.
3.2. Мостовой преобразователь с удвоителем тока, несимметричный режим работы трансформатора Рис. 3.7. Ток в первичной обмотке трансфор- Рис. 3.8. Ток в первичной обмотке трансформатора (строка 2 табл. 3.1) матора (строка 6 табл. 3.1) Показанный на рис. 3.9 ток соответствует тем же исходным параметрам (строка 6, табл. 3.1), но температура дросселя Ы равна 85°С, а дросселя 12 - 69 °С. Из последнего рисунка можно видеть явную несимметрию в токе, которая со временем будет продолжать увеличиваться. Анализ осциллограмм свидетельствует о хорошей сходимости аналитического расчета, моделирования и экспериментальных результатов. Подтверждением Рис. 3.9. Ток в первичной обмотке трансфор- этого служит и осциллограмма первично- мат°Ра (строка 6 табл. 3.1). Температура дрос- го тока на рис. 3.10, которая соответству- селя L1 выше температуры дросселя L2 ет параметрам схемы, указанным в строке 8 табл. 3.1. Расчетное значение постоянной составляющей индукции В== 0,474 Тл, а полученное в результате моделирования значение (0,465 Тл) достаточно близко. Ясно, что при столь высоких значениях В= ферритовый сердечник должен насытиться, что и подтверждается осциллограммой рис. 3.10. Несимметрия столь велика, что срабатывает защита по току ШИМ- контроллера, управляющего силовыми транзисторами. Можно ли избежать несимметриии, применяя средства защиты по току, заложенные в ШИМ-контроллерах? В этом плане возможности построения управления и защиты заключаются в следующем: 1. Сложение в контроллере внешнего пилообразного напряжения с напряжением, пропорциональным мгновенному току первичной обмотки трансформатора, позволяет выполнить коррекцию длительности управляющих импульсов в каждом такте. 2. При большей перегрузке по току включается компаратор защиты по мгновенному току, ограничивающий длительность только в данном интервале импульса. 3. При очень большой токовой перегрузке используется второй компаратор защиты с большим опорным напряжением для перезапуска ШИМ- контроллера. При значительной несимметрии рост тока через первичную обмотку трансформатора в каждом такте происходит с большой производной во времени, что
Глава 3. Мостовые DC—DC преобразователи с фазовым управлением Рис. 3.10. Ток в первичной обмотке транс- Рис. 3.11. Ток в первичной обмотке трансформатора (строка 8 табл. 3.1). Происхо- форматора (строка 8 табл. 3.1). Масштаб вредит срабатывание защиты по току ШИМ- мени 10 мс/кл контроллера может привести к ситуации, когда коррекция несимметрии ограничением длительности импульсов в каждом такте не успевает выправлять несимметрию. Это приводит к срабатыванию компаратора с перезапуском ШИМ-контроллера, что приведет к нарушению нормального режима работы преобразователя. Такой режим показан на рис. 3.10 и в другом временном масштабе на рис. 3.11. При очень большой несимметрии, вызванной, например некачественной пайкой многожильной обмотки дросселя или перекосом сопротивлений проводников печатной платы, может не успевать срабатывать даже вторая ступень защиты, что приведет к выходу преобразователя из строя из-за недопустимых токов через силовые ключи первичной стороны. Возможны следующие способы устранения несимметрии режима работы трансформатора в рассматриваемой схеме. 1. Использование сердечника трансформатора с зазором. В ряде случаев применение таких трансформаторов может быть неприемлемо по следующим соображениям: — трансформаторы с разрезными сердечниками требуют применения каркаса, что увеличивает их стоимость; — трансформаторы с зазором имеют большую индуктивность рассеивания, что отрицательно влияет на регулировочную характеристику преобразо- Рис. 3.12. Двухтрансформаторный мостовой преобразователь
3.3. Двухтрансформаторный мостовой преобразователь 57]| вателя и увеличивает обратное напряжение на запираемых диодах выходного выпрямителя; — стоимость сборных сердечников, как правило выше, чем кольцевых; — применение сборного сердечника может явиться причиной перегрева остальных компонентов конструкции, вследствие перекрытия потока воздуха трансформатором большой высоты. 2. Выполнение жестких требований к сопротивлениям обмоток дросселей и дорожек печатной платы в дроссельных ветвях. 3. Использование управления по мгновенному току первичной обмотки, с помощью которого происходит компенсация несимметрии во времени, однако этот способ имеет ограниченные возможности из-за конечного усиления в токовом контуре и временных задержек при передаче сигналов управления к затворам транзисторов. 3.3. Двухтрансформаторный мостовой преобразователь Двухтрансформаторный мостовой DC-DC преобразователь показан на рис. 3.12. Двухтрансформаторные мостовые схемы известны достаточно давно: в [52] описывается резонансный преобразователь с частотным управлением; в [57] предложен ШИМ-преобразователь, не имеющий мягкого переключения, так как в интервале паузы заперты все транзисторы моста. В [ 111] впервые предложен двухтрансформаторный мостовой преобразователь с мягким переключением. В [ 11 ] кроме обычного симметричного управления ключами рассмотрено и несимметричное управление, при котором диагонально расположенные транзисторы моста проводят ток практически сразу друг за другом после небольшой временной паузы. Ниже рассматриваются существенные особенности симметричной работы двух- трансформаторного мостового DC-DC преобразователя при «мягкой» коммутации транзисторов, реализуемой методом фазового управления. При анализе предполагаем, что трансформаторы выполнены одинаково, не имеют индуктивностей рассеяния, транзисторы и диоды - идеальные ключи, индуктивности намагничивания трансформаторов равны, линейны и приведены к первичным обмоткам, выходной конденсатор — неограниченно большой емкости. Позже последнее ограничение будет снято. За период работы в схеме существует четыре временных интервала. Схема замещения преобразователя показана на рис. 3.13. Положительные направления токов индуктивностей намагничивания показаны на рисунке стрелками. Положительная по- Рис. 3.13. Схема замещения двухтрансфор- лярность напряжения на обмотке транс- маторного мостового преобразователя
Глава 3. Мостовые DC—DC преобразователи с фазовым управлением форматора будет в том случае, если положительный потенциал соответствует началу обмотки, показанному точкой. Положительным считается ток в обмотке трансформатора, если он входит в ее начало. В первом временном интервале (пауза Ж, рис. 3.14) замкнуты два нижних ключа (К1 и А4). Интервал Шследует за интервалом импульса, в котором ток проводили ключи К2 и A3. Диод D2, проводивший ток до интервала Ж, остается открытым, поэтому на обмотках W2l, W22 полярность напряжения отрицательная, а на обмотках WU9 Wn — положительная. Следовательно, ток/м1 должен в интервале Ш возрастать, /м2 — падать. (З.ЗЛ) где /м1мот -г начальное значение тока в момент времени ноль; отсчет времени t от начала данного интервала; п = WX2/Wn = W22JW2V Ток в /,ц2 Рис. 3.14. Диаграмма процессов в уменьшается с наклоном -UeJnL»- двухтрансформаторном мостовом Во время следующего интервала (Ж) зам- преобразователе с фазовым управле- ^^ ^^ д> ^ а кдючи Пи]а_ разом. кнуты. Выходной ток проводит диод D\, диод Ш заперт. В этом интервале напряжения на индуктивностях равны: Ток /ц1 в интервале Ж имеет тот же наклон, что и в предыдущем, а ток /ц2 теперь начинает нарастать. Выражение для тока /ц7 (3.3.1) справедливо и для интервала Ж, отсчет времени по-прежнему от начала интервала П1. Ток /ц2 в интервале Ж: где Iv2koh -конечное значение тока /ц2 в предыдущем интервале (Я1), /отсчитывает- ся от начала интервала Ж. В третьем временном интервале — паузе /72, когда замкнуты верхние ключи К\ и A3, продолжает проводить ток диод DI, суммарное напряжение на обмотках 1Vn и W2X равно нулю. Поэтому наклоны токов /ц1 и ip2 такие же, как в интервале паузы Ж- ток /, нарастает, / 2 — спадает: Время /отсчитывается от начала интервала /71. (3.3.3) (3.3.4)
3.3. Двухтрансформаторный мостовой преобразователь время /отсчитывается от начала интервала 772. В последнем интервале периода (И2) замкнуты диагонально расположенные ключи К1 и КЗ. Теперь проводит ток диод D2 и заперт 7)1. Поэтому В результате ток /ц1 впервые начинает убывать: (3.3.5) где 1ц1кон — конечное значение тока /ц1 в предыдущем интервале (772); /отсчитыва- ется от начала данного интервала (импульса 7/2). Ток /ц2 продолжает убывать с тем же наклоном, который был в предыдущем интервале: (3.3.6) где 1^1нан - начальное значение тока /ц2 в предыдущем интервале паузы (772, (3.3.4)). Отсчет времени t в (3.3.6) производится от начала интервала паузы 772. Рис. 3.14 показывает напряжение в точках д, б схемы и токи в индуктивностях ?ц1, 7^2. Поскольку схема работает симметрично, справедливы равенства: Учтем, что /я+ гИ = 7/2, и определим коэффициент заполнения D как 2tH/T. Используя выражения для токов /ц1, /ц2 и тот факт, что постоянный ток в индуктивности /,ц равен пересчитанному к первичной обмотке трансформатора току 7я/2, определим начальные и конечные значения токов / р / 2. Например, для тока в индуктивности LMl имеем: откуда (3.3.7) Ток 1^1кшопределим из (3.3.1), подставив t=ztll+ 2tn: (3.3.8) Определим РХ преобразователя, воспользовавшись вольт-секундным балансом на индуктивности Ы:
ЪО Глава 3. Мостовые DC—DC преобразователи с фазовым управлением Используя определение коэффициента заполнения D для данной схемы, из последнего уравнения запишем РХ преобразователя: (3.3.9) Для расчета трансформатора используем соотношение, связывающие произведение сечения сердечника (Sc) на площадь окна (S0) с мощностью трансформа- тооа [23. 841: (3.3.10) где Рт— сумма расчетных мощностей первичной и вторичной обмоток трансформатора [ВА]; Кф= 1 -коэффициент формы (отношение действующего значения напряжения к среднему); Вт — амплитуда переменной составляющей индукции в сердечнике, зависящая от материала сердечника и частоты [Тл]; /- частота трансформатора [Гц]; Kj — коэффициент, имеющий размерность плотности тока, зависящий от заданного перегрева и геометрии сердечника [А/см2]; у — безразмерный показатель степени, теоретическое значение которого равно-0,12; При использовании (3.3.10) напряжения и токи следует определять близкими к режиму D = 1. КПД трансформатора, требуемый для определения Р^ принимается равным 0,97—0,99. Используя справочные данные, применяется стандартный сердечник выбранной геометрии и соответствующего размера. Должно выполняться неравенство: где SCS0 — результат, полученный в результате расчетов, согласно (3.3.10). Число витков первичной обмотки: (3.3.11) где размерность сечения сердечника Scтакая же, как (3.3.10), то есть см2. Коэффициент трансформации п, предельные значения коэффициентов заполнения Dmin и Dmax определяются при использовании РХ преобразователя (3.3.9) для известных значений U^ и Uebtx9 зависящих от конкретных условий работы. Витки вторичной обмотки трансформатора: (3.3.12) При низких выходных напряжениях, определяя п из (3.3.9) для известных значений Ueblx, U^min и Dmax, необходимо учесть падецие напряжения на выходных диодах. Постоянную составляющую индукции в сердечнике определим из соотношения: (3.3.12)
3.3. Двухтрансформаторный мостовой преобразователь где Bs — индукция насыщения сердечника; К™ = 0,7-0,8 - коэффициент запаса. Необходимое значение зазора в сердечнике: (3.3.13) (3.3.14) где |i0 = 4ti10"7 [Гн/м] — магнитная постоянная; Индуктивность намагничивания, приведенная к первичной стороне: В последней формуле размерность Sc — м2, /3 — м. Теперь снимем допущение о том, что пульсации напряжения на выходном конденсаторе бес конечно малы. Для определения пульсаций сначала выясним изменение тока/ (рис.3.15). Рассма- Рис. 3.15. Ток в выходном конденсаторе двухтрансформатор- тоивая интервал импульса ного мостового преобразователя с фазовым управлением и токи в индуктивностях /,ц1 и 1/ц2, можно определить размах тока в конденсаторе А/с: (3.3.15) Амплитуда переменной составляющей напряжения на конденсаторе Сфопределяется средним током заряда (Д/о/4) за четверть периода Т. Используя (3.3.15), определим: (3.3.16) Расчеты согласно (3.3.16) показывают, что для реальных значений индуктивности намагничивания /,ц трансформатора и емкостей конденсаторов фильтров пульсации напряжения на нагрузке оказываются значительно ниже требуемых. Реально при использовании электролитических конденсаторов приходится учитывать их последовательное активное сопротивление (/^„д). В этом случае амплитуда пульсаций напряжения на нагрузке определяется соотношением: (3.3.17) Напряжение на запертом диоде UD определяется из исходной схемы (рис. 3.12) с учетом того, что за период обратное напряжение на диоде появляется только в одном интервале t№
flf&2 Глава 3. Мостовые DC—DC преобразователи с фазовым управлением Среднее за период напряжение на диоде равно 1/вых. Поэтому связь между 1/вых и напряжением на запертом диоде определяется из соотношения: (3.3.18) При выборе выходного диода следует учитывать появление выброса напряжения на нем при запирании. Независимо от типа диода (диод с р-n переходом или диод Шоттки) выброс будет тем больше, чем больше индуктивность рассеяния трансформатора. Последняя существенно зависит от числа витков, что следует учитывать при проектировании. Снижение выброса напряжения на запираемом диоде возможно различными способами, например, включением нелинейных дросселей последовательно с диодом, а также подключением демпфирующих цепей. Ниже показаны результаты, полученные с помощью экспериментального образца преобразователя. DC-DC преобразователь выполнен на выходную мощность 3 кВт с выходным напряжением, изменяющимся в диапазоне 20-30 В. Его входное напряжение — 390—400 В. В качестве ключей, образующих мост, использовались транзисторы типа STW45NM50. Трансформаторы были выполнены на С-образных сердечниках из аморфного сплава (АМСС16А). На каждом сердечнике, располагались два каркаса с первичными и вторичными обмотками. С целью снижения индуктивности рассеяния первичная обмотка, расположенная на каждом каркасе, была разделена пополам и содержала в себе ветвь вторичной обмотки. Каждая ветвь вторичной обмотки подключалась к своему выходному диоду. Первичные обмотки каждого каркаса соединялись последовательно и затем соединялись с первичными обмотками второго трансформатора, выполненного аналогично. В выходной части использовались две диодные сборки типа DSS 2х101-015А (диоды Шоттки). Рис. 3.16. Ток в первичных обмотках трансформаторов (1) и напряжение на выходном диоде (2) Рис. 3.17. Напряжения на выходных диодах, Рис. 3.18. Инвертированная переменная со- подключенных ко вторичным обмоткам ставляющая выходного напряжения и ток в трансформаторов выходном диоде
3.3. Двухтрансформаторный мостовой преобразователь Ь$ На выходе применено пять электролитических конденсаторов 2200 мкф, 50 В. Частота работы транзисторов моста и трансформаторов около 50 кГц. Некоторые результаты испытаний показаны на осциллограммах. На рис. 3.16 показаны ток в диагонали моста и напряжение на одном из выходных диодов. Мощность в нагрузке 3 кВт. На рис. 3.17 показаны напряжения на выходных диодах, включенных в обмотки разных трансформаторов. Инвертированная переменная составляющая выходного напряжения (2) и ток в одном из выходных диодов (1) показаны на рис. 3.18. В выходном напряжении помимо сильного влияния последовательных сопротивлений выходных конденсаторов прослеживается результат действия паразитных элементов схемы, который выражается в дополнительных колебаниях с частотой, намного превышающей рабочую частоту преобразователя. Общее описание работы двухтрансформаторного мостового преобразователя дано в [23], а особенности его работы и расчета в [13].
ГЛАВА 4 НЕСИММЕТРИЧНЫЕ ПОЛУМОСТОВЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ Устройства, рассматриваемые в данной главе, относятся к преобразователям с мягким переключением. Их основная область применения - получение постоянного выходного напряжения при постоянном и достаточно высоком (сотни вольт) входном, большой частоте коммутации ключей (десятки и сотни килогерц). Режим мягкого переключения, то есть включение транзистора при нулевом напряжении на нем, позволяет значительно уменьшить потери на переключение. На рис. 4.1 показан один из наиболее распространенных вариантов построения Рис. 4.1. Несимметричный полумостовой преобразователь несимметричного полу- с LC-фильтром и двухполупериодным выпрямителем мостового преобразователя (НПП) — с выходным сглаживающим LC-фильтром и двухполупериодным выпрямителем. Однако, выходная часть рассматриваемого преобразователя может быть выполнена различным образом: с одно- или двухполупериодным выпрямителем, с удвоителем тока, с емкостным фильтром, двумя трансформаторами, а также интегрированным магнитным элементом, совмещающим функции трансформатора и дросселя [23]. В любом случае сохраняются основные особенности, присущие несимметричному полумостовому преобразователю. 4.1. Свойства несимметричных полумостовых преобразователей Рассматриваемые преобразователи обладают рядом достоинств перед другими схемными решениями: позволяют реализовать переключение транзисторов при нуле напряжения (ПНН), используют только два транзистора на первичной стороне (на стороне первичной обмотки трансформатора), напряжение на запертых ключах не превышает U^. Перечисленные достоинства данных преобразователей являются весьма весомыми, позволяющими использовать их в преобразователях и системах электропитания различного назначения. Недостатки НПП обнаруживаются при его работе в широком диапазоне входного или выходного напряжения. Эти недостатки являются существенными, они сужают возможные области применения преобразователей, ухудшают их надежность и не позволяют увеличить удельную мощность источника питания.
4.1. Свойства несимметричных полумостовых преобразователей Покажем основные особенности работы НПП, рассматривая рис. 4.1 и принимая обычные для анализа допущения: транзисторы и диоды — идеальные переключающие элементы, а конденсаторы Си Сфнеограниченно большой емкости, индуктивности рассеяния и активные сопротивления обмоток обмок трансформатора равны нулю. Под Рис. 4.2. Схема замещения несимметричного полуинтервалом импульса tH понима- мостового преобразователя ется включенное состояние транзистора 71 и выключенное — транзистора 72. Коэффициент заполнения импульсов D = tH/T, где Г период — переключения транзисторов. Схема замещения преобразователя показана на рис. 4.2. Параллельно включенные элементы Сф, RH, а также дроссель Ьф (рис. 4.1) заменены источником тока 7Н, реальный трансформатор заменен индуктивностью намагничивания 7,ц, приведенной к первичной обмотке, и идеальным трансформаторам (обмотки Wx, W2Xw W22). Пренебрегая длительностью паузы между переключениями транзисторов в схеме рис. 4.1, постоянное напряжение на конденсаторе С (совпадающее с мгновенным напряжением при бесконечно большой емкости) равно: (4.1.1) При записи (4.1.1) учитывалось, что среднее за период напряжение на индуктивности L^ в установившемся режиме равно нулю. В интервале /яток / равен: где /, -значение тока / в момент времени ноль интервала импульса. Ток в обмотке Wx идеального трансформатора в интервале tH пересчитывается из обмотки IV,,: (4.1.3) где щ = W2JWX — коэффициент трансформации в интервале tM. Ток /, — ток в первичной обмотке реального трансформатора и одновременно ток в конденсаторе С. Суммируя токи /цЯ и imff, определим ток /, в интервале импульса: (4.1.4) В интервале импульса напряжение на обмотке W:. (4.1.5) В интервале паузы (tn) к обмотке Wx и индуктивности L^ приложено напряжение- Uc. Ток намагничивания /ц в интервале tn спадает в соответствии с зависимостью:
|f&6 Глава 4. Несимметричные полумостовые преобразователи 'цЛ=/ц2-%^'> (4.1.6) где /отсчитывается от начала паузы. Ток /j в интервале паузы равен: (4.1.7) где п2 = W22/Wx — коэффициент трансформации в интервале tn. Ток в обмотке Wx идеального трансформатора имеет постоянную составляющую, зависящую от коэффициента заполнения и коэффициентов трансформации п19 п2: (4.1.8) Среднее значение тока ix в периодическом режиме должно равняться нулю (ток ix равен току конденсатора С). Поэтому постоянная составляющая тока / равняется 1т с обратным знаком: (4.1.9) При равенстве витков W2X = W22 имеем п1 = п2 = п, и, следовательно, соотношение (4.1.9) примет вид: (4.1.10) Определим начальные значения токов / на интервалах tH и /я, используя два равенства граничных значений: W°) = '»М> №и) = W°) и среднее значение тока /ц (4.1.9). В результате получим: (4.1.11) (4.1.12) С учетом (4.1.11) и (4.1.12) запишем мгновенные токи в первичной обмотке реального трансформатора: (4.1.13) (4.1.14) В (4.1.13) время / изменяется от нуля до tM, а в (4.1.14) — от нуля до tn. Ток /ц за время периода Гне претерпевает скачков, как и положено току в индуктивности. Ток в первичной обмотке реального трансформатора /',, как показы-
4.1. Свойства несимметричных полумостовых преобразователей вают соотношения (4.1.13) и (4.1.14), изменяется скачком, одновременно меняя знак при прохождении момента ноль от начала периода и при прохождении момента tM. Напряжение на первичной обмотке трансформатора в интервале паузы: (4.1.15) Напряжения на запертых ключах К\ и К1 равны входному: (4.1.16) Напряжения на запертых диодах D\ и Ш определим из схемы преобразователя (рис. 4.1), применив 2-й закон Кирхгофа для средних значений напряжений. За период Тъ установившемся режиме средние значения напряжения на обмотке W21 и напряжение на обмотке дросселя Ьф равны нулю. Поэтому, учитывая, что положительное напряжение на диоде соответствует положительному потенциалу на его аноде, получим: где UDlcp — среднее за период напряжение на диоде D\. Диод D\ заперт в интервале tw в остальное время периода напряжение на нем равно нулю. Поэтому напряжение на запертом диоде D\ равно: (4.1.17) Аналогично определим напряжение на запертом диоде Ш\ (4.1.18) Диаграммы процессов в несимметричном полумостовом преобразователе показаны на рис. 4.3. Ток im соответствует на этом рисунке току в обмотке W\ идеального трансформатора (рис. 4.2). Получим РХ преобразователя, используя выражения для ити (4.1.5) итп (4.1.15) и определив предварительно напряжение на дросселе Ьф в интервалах /я, tn: Рис. 4.3. Диаграммы процессов в несимметричном полумостовом преобразователе (4.1.19) (4.1.20)
|f&8 Глава 4. Несимметричные полумостовые преобразователи Из двух последних выражений, учитывая, что среднее напряжение на Ьф за период равно нулю, получим уравнение относительно 1/вых: Решая последнее уравнение, находим РХ преобразователя: (4.1.21) При равенстве витков W2X и W22 трансформатора имеем пх = п2 = W2x/Wj = = W22l Wj = п, и РХ принимает вид: (4.1.22) Если в исходной схеме положить W22 = 0 или W2X — 0, получим преобразователь с однополупериодным выходным выпрямителем, РХ которого соответствуют выражению: (4.1.23) (4.1.24) Из выражений (4.1.21—4.1.24) можно видеть, что зависимость выходного напряжения от D—параболическая, где максимум соответствует D= 0,5. Обычно при управлении преобразователем используется диапазон D от нуля до 0,5. 4.2. Сравнение несимметричного полумостового преобразователя с другими схемотехническими решениями Проведем сравнение несимметричного полумостового преобразователя с двумя широко известными топологиями: однотактным прямо- ходовым (рис. 4.4) и мостовым преобразователями (рис. 4.5). Сравнение проведем только по некоторым параметрам, которые важны для эффективной работы преобразователя Рис. 4.4. Однотактный полумостовой преобразова- ' Л . _. В схеме рис. 4.1 положим тель ^ W2X = И^2> следовательно РХ (в режиме непрерывного тока дросселя) определяется согласно (4.1.22). Коэффициент передачи постоянного напряжения от входа (Uex) к выходу запишем из (4.1.22): (4.2.1) Выбор п выполняется для максимального значения Д для идеального случая равного 0,5. Используя (4.2.1), получим:
4.2. Сравнение несимметричного полумостового преобразователя с другими схемотехническими решениями а (4.2.2) Напряжение на запертых диодах могут быть выражены из (4.1.17) и (4.1.18) с учетом (4.2.1) и (4.2.2): (4.2.3) (4.2.4) При проектировании DC-DC преобразователей возможны два предельных случая: 1). Uex изменяется в широком диапазоне, в то время как 1/вых стабилизируется в узких пределах и остается почти постоянной величиной; 2). Uex практически не изменяется (как, например, в преобразователе, работающем от ККМ, в то время как Ueblx может изменяться в широких пределах и зависит от состояния и условий работы аккумуляторной батареи). Из (4.2.3) и (4.2.4) можно видеть, что напряжения на запертых диодах становятся не равными при уменьшении Д причем различие в напряжениях тем больше, чем меньше D. При малых значениях D к запертому диоду D2 приложено очень большое напряжение, которое может превосходить выходное в несколько раз. Кроме того, при уменьшении D возрастает средний ток в диоде D1 за период. Такое состояние одного из диодов схемы (D2), то есть возрастание среднего прямого тока и обратного напряжения при уменьшении D характерно для несимметричного полумостового преобразователя при любом построении его вторичной стороны. Сравним обратные напряжения на диодах НПП с напряжениями на диодах в других известных типах преобразовате- р„с 4.6. Относительные напряжения на диодах в зависи- лей: прямоходовом и мостовом, мости от коэффициента передачи регулировочной харак- На рис. 4.6 и 4.7 показаны теристики для различных схем при UBUX = Const и изме- нормализованные напряжения няющемся UBX Рис. 4.5. Мостовой DC-DC преобразователь
О Глава 4. Несимметричные полумостовые преобразователи на диодах для трех схем в функции относительного коэффициента РХ (М) для двух случаев: 1 — стабилизация 1/вых, когда Uex меняется (рис. 4.6); 2 — изменение 1/вых в широких пределах при постоянном значении ?/ (рис. 4.7). Параметр В любом из этих случаев напряжение на диоде U1 в НПП значительно превышает напряжение на диодах в других схемах (рис. 4.6,4.7). Последнее является существенным недостатком НПП — тяжелые условия работы одного из выходных диодов и, как следствие, необходимость выбора этого диода с более высоким допустимым напряжением. В результате могут быть ухудшены основные параметры преобразователя. Вторым серьезным недостатком НПП является потеря включения транзистора 71 при нуле напряжения при Рис. 4.7. Относительные напряжения на дио- уменьшении коэффициента заполнения, дах в зависимости от коэффициента передачи Условие обеспечения НПП для ключа 71 регулировочной характеристики для различ- состоит в выполнении неравенства, ко- ных схем при UBX = Const и изменяющемся ТОрое приведено в [23] и здесь записано ивых в несколько другом виде: где со0 = , ; Ls, 7,ц — индуктивности рассеяния и намагничивания трансфор- yjlLsLT матора, определяемые для обмотки Wx\ CT— средняя выходная емкость ключа; 1Н — ток нагрузки. Из неравенства следует, что снижение D — уменьшение левой части по сравнению с правой — действительно может привести к потере НПП, что, в свою очередь, означает возрастание потерь в ключе 71. Дополнительно отметим, что при возрастании «несимметричности» НПП, что происходит при снижении Д увеличиваются потери в ключах первичной стороны, обмотках трансформатора и выходных диодах. Еще один недостаток НПП заключается в том, что пульсации выходного напряжения следуют с частотой переключения транзисторов, а не с удвоенной частотой, как это происходит в симметричных схемах — мостовых, полумостовых или двухтактных. Поэтому при жестких требованиях к выходным пульсациям НПП приходится либо увеличивать размеры сглаживающего фильтра, либо увеличивать частоту переключения, что в конечном итоге приводит к ухудшению основного показателя преобразователя — его удельной мощности.
4.3. Решения, улучшающие работу несимметричного полумостового преобразователя 4.3. Решения, улучшающие работу несимметричного полумостового преобразователя А. Уменьшение обратного напряжения на выходном диоде Известны решения, направленные на улучшение работы НПП и снижение максимального обратного напряжения на одном из диодов. В двухтрансформаторной схеме НПП [89] предложено выполнить неравные коэффициенты трансформации. Этот же подход может быть использован в схеме с LC-фильтром (рис. 4.1), если применить отвод обмотки дросселя и подключить к нему катод диода 7)2. Результат будет аналогичен тому, что получается в двухтрансформаторной схеме, выполненной с неравными коэффициентами трансформации — обратное напряжение на диоде Ш будет уменьшено. Недостатком описанного метода является возрастающее неравенство при уменьшении D токов и рассеиваемой мощности в компонентах как первичной, так и вторичной сторон преобразователя. В результате последуют неодинаковый нагрев компонентов силовой части, в том числе в транзисторах и диодах, и снижение КПД. Кроме того, в токе выходного конденсатора Рис. 4.8. Несимметричный полумостовой преобразователь с дополнительной цепью (ТЗ, D3) на первичной стороне и удвоителем тока появляются скачки, что приводит еще к большему возрастанию выходных пульсаций. Суть еще одного технического решения [83] сводится к включению в первичную сторону преобразователя дополнительного ключа и последовательно соединенного с ним диода (73, 7)3) (рис. 4.8). Авторы предложили преобразователь с выходным удвоителем тока. Ключ 73 отпирается непосредственно после отпирания ключа 72, а его запирание происходит перед отпиранием ключа 71 и после запирания 72. В отличие от обычной схемы НПП длительности включенного состояния ключей 71 и 72 в схеме на рис. 4.8 остаются равными при любом значении коэффициента заполнения. Дополнительная цепь (73, 7)3) создает нулевую паузу на обмотках трансформатора при запертых ключах 71 и 72. Режим работы схемы становится симметричным, и повышенное напряжение на выходном диоде, в данном случае 7)2, не появляется. Недостатками схемы являются потери в 73 и 7)3 при их включении и в интервале открытого состояния 73. Кроме того, требуется усложненный алгоритм управления всеми ключами схемы. Строго говоря, схема с тремя ключами перестает быть несимметричным полумостом и по принципу работы скорее напоминает работу мостовой схемы с фазовым управлением.
2 Глава 4. Несимметричные полумостовые преобразователи Рис. 4.9. Несимметричный полумостовой преобразователь с удвоителем тока и отводами вторичной обмотки Все рассмотренные усовершенствования НПП предполагают структуру преобразователя неизменной, не происходит смены алгоритма работы ключей при уменьшении или увеличении входного (выходного) напряжения. Существует другой подход, нацеленный на устранение недостатков, присущих НПП. Он заключается в создании силовой части, адаптивно реагирующей на изменения, которые происходят на входе или выходе преобразователя. Идея решения изложена в [108], показана применительно к преобразователю с удвоителем тока на вторичной стороне и рассматривает случай работы при понижении входного напряжения преобразователя на короткое время (например, пропадание напряжения на один период сети) (рис. 4.9). Авторы указали также возможность работы данной схемы при широком изменении входного напряжения. Когда напряжение U^ высокое, ключ Одолжен быть выключен, работают только диоды D\ и D2, а когда Uex снижается и достигает определенного порога, ключ ТЗ включается, при этом в работу вступают диоды D3 и DA. Адаптивная структура выходного каскада НПП может быть использована для другого широко применяемого режима преобразователя и при других топологиях вторичной стороны, в частности, в телекоммуникациях. В таком применении DC- DC преобразователь является второй ступенью транзисторного выпрямителя, и его входное напряжение изменяется очень слабо. Более того, кратковременные или даже продолжительные провалы сетевого напряжения не оказывают влияния на выходное напряжение потребителя, если используется аккумуляторная батарея (АБ). Выходное напряжение DC- DC преобразователя должно изменяться в широких пределах, диктуемых АБ, а если происходят значительные перегрузки или короткое замыкание, 1/вых может приближаться достаточно близко к нулю. Характеристики DC-DC преобразователя для случая широкого изменения выходного напря- Рис. 4.10. Выходная характеристика DC-DC преоб- жения показаны на рис. 4.10. разователя при широком диапазоне изменения вы- Управление ключом ТЪ ходного напряжения (рис. 4.9) теперь должно вестись
4.3. Решения, улучшающие работу несимметричного полумостового преобразователя не от входного, а от выходного напряжения. Этот принцип реализуется без каких либо затруднений в преобразователе с любым построением вторичной стороны, включающей, например, два трансформатора или интегрированный магнитный элемент. На рис. 4.11 показана модификация схемы рис. 4.1 — НПП с адаптированной структурой, двухполупериодным выпрямителем и LC-фильтром. Ключ Т может управляться Рис-^.Н. Несимметричный полумостовой преобразо- ~^ ™лт,„~™ TI7T„ „? tv~t,™™ „„ ватель с LC-фильтром и отводом вторичной обмотки от входного или выходного на- ч* к «к пряжения в зависимости от предъявляемых к преобразователю требований. Когда ключ Гзамкнут, коэффициент передачи РХ определяется соотношением: где Коэффициент заполнения D\ изменяется от возможного максимального значения до минимального, при котором транзистор Гвыключается. Если транзистор размыкается (работают диоды D1 и D3), коэффициент передачи будет равен: В этом случае D1 изменяется от возможного максимального значения. Когда Uex возрастает или (1в уменьшается, напряжение на запертом диоде D3 будет снижено по сравнению с напряжением на диоде Ш в схеме рис. 4.1. Эффективность работы схемы для случая Ugx = Const можно видеть из рис. 4.12. При снижении 1/вых и достижении им определенного значения (то есть при определенном значении М) формируется управляющий сигнал, переключающий транзистор Г. В этот момент происходят скачкоо- Рис. 4.12. Эффективность работы DC-DC преоб- бразные изменения Dvl напряжения разователя, выполненного по схеме рис 4.11, для на диоде Z>3. Коэффициент запол- случая UBX = Const
'4 Глава 4. Несимметричные полумостовые преобразователи нения возрастет, а напряжение на запертом диоде 7)3 — уменьшится. Еще одна схема НПП, в которой основной и дополнительный диоды подключаются к накопительному конденсатору Свых, показана на рис. 4.13. Когда транзистор 71 включен, оба диода выключены, а при включен- Рис. 4.13. Несимметричный полумостовой преобразователь с ном 72 работает один из С-фильтром и отводом вторичной обмотки диодов 7)1 и D2. В данной Рис. 4.14. Напряжение на входе LC-фильтра несимметричного преобразователя схеме переключение 71происходитвответ на сигнал, получаемый от входного или выходного напряжения. Максимальное значение 1/вых в схеме рис. 4.13 определяется индуктивностью рассеяния трансформатора L5, емкостью конденсатора Свых и соответствует значениям D = 0,6—0,7. При переключении транзистора Гпроис- ходит переход от одной регулировочной характеристики к другой. В [53, 56, 26, 86] показаны разные технические решения, реализующие адаптивный метод при работе НПП от неизменяющегося постоянного входного напряжения при выходном напряжении, изменяющемся в широких пределах. Б. Уменьшение пульсаций напряжения на выходе Уменьшение выходных пульсаций в НПП может быть достигнуто изменением соотношения витков на вторичной стороне трансформатора (например, W2{ и W22 на рис. 4.1) [79]. Позднее этот же вывод был сделан в [69]. Для схемы рис. 4.1 напряжение на входе LC-фильтра изменяется, как показано на рис. 4.14, где nv п2 отношение витков W2JWX и W22/W{ соответственно. При я, = п2 пульсации оказываются нулевыми при D=0,5 и возрастают по мере снижения D. При п^ п2 пульсации отсутствуют при выполнении условия: или (4.3.1) Равенство 4.3.1 означает, что можно добиться нулевых пульсаций для любого заданного значения Д то есть для любого входного или выходного напряжения преобразователя.
4.4. Экспериментальные результаты 7ът| При D< 0,5 и нулевых пульсациях имеем пх < п2. Как показывает выражение для РХ преобразователя, выполненного по схеме рис.4.1 (4.1.21), выходное напряжение зависит от суммы коэффициентов трансформации пх и п2. Поэтому сначала определяется из (4.1.21) сумма пх + п2 для заданных значений !/„, 1/вых и для максимального значения Dmax. После этого, используя соотношение (4.3.1) для выбранного значения Dm определяется отношение л, и п2. При значении Dn = 0 будут нулевыми пульсации напряжения на выходе. Например, значение Dn может быть выбрано для работы в наиболее вероятном режиме (номинальное значение t/^или 1/вых). Таким образом, рассмотренный алгоритм позволяет определить пх и п2 (поскольку известны сумма (пх + п2) и отношение пх/п2). Для выбранного материала и известного типоразмера сердечника трансформатора, а также заданного Uex определяется число витков Wx, а после этого — числа витков обмоток W2X и W22. Неравные коэффициенты трансформации л, и п2 позволяют уменьшить пульсации напряжения на выходе. Одновременно с этим, как показывают выражения (4.1.9) и (4.1.10), использование неравенства пх < п2 приводит к возрастанию тока намагничивания /ц. Следовательно, определяя при расчете трансформатора максимально возможный ток Tji (для минимального значения Dmin), придется несколько увеличить немагнитный зазор в сердечнике во избежание его насыщения. 4.4. Экспериментальные результаты Ниже показаны результаты экспериментальной проверки НПП, который являлся второй ступенью транзисторного выпрямителя (ТВ), содержащего корректор коэффициента мощности. ТВ был выполнен первоначально на выходную мощность 850 Вт, а затем на 1000 Вт в той же конструкции. Диапазон рабочего выходного напряжения 42—59 В, напряжение сети изменяется в пределах 85—300 В при полной мощности в диапазоне напряжений сети 175—300 В и со снижением мощности до 50% от номинальной при напряжении сети 85 В. КПД обоих выпрямителей 91—92% при нагрузке от 50% до номинальной, выходном напряжении 54,4 В и напряжении сети 220 В. Напряжение на входе НПП составляло 420 В. Электрическая схема силовой части НПП соответствует рис. 4.11. Сердечник трансформатора — ETD 44, феррит N87, число витков обмотки Wx — 25. Частота Рис. 4.15. Напряжение на диоде D3 (схема рис. 4.11): a) UBhIX = 46,5 В, транзистор Т включен; б) UBbIX = 45,5 В, транзистор Т выключен
Глава 4. Несимметричные полумостовые преобразователи переключения транзисторов DC—DC равна 93 кГц. Ключ Г типа SPP80N0832L; D\, Ш - для мощности 850 Вт STTH 2003, tn = 35 не (оба диода в одном корпусе). При мощности 1000 Вт D\, Ш - STTH 3003, tn = 40 не. Диод D3 - STTH 2003 (850 Вт) и STTH 3003 (1000 Вт). Выходной дроссель выполнен на сердечнике из аморфного железа MP3310LDG. Сигналы на ключ Т поступают через оптронную развязку от микропроцессора ATmega8535. Рис. 4.16. Плата DC-DC преобразователя Пороги включения и выключения ключа и транзисторный выпрямитель в корпусе Г установлены 47 и 46 В для ТВ 850 Вт и 40-39 В для ТВ 1000 Вт. На рис. 4.15 для ТВ 850 Вт показаны осциллограммы напряжения на диоде D3, когда Г включен (рис. 4.15, а 1/вых = 46,5 В) и когда Г выключен (рис. 4.15, б; U^ = 45,5 В). Из осциллограмм можно видеть, насколько полезным является применение адаптивной структуры: начиная с выходного напряжения 46 В и ниже напряжение на запертом диоде ?>3 значительно снижется (на осциллограммах напряжение на диоде снизилось на 60 В). Режим работы НПП после выключения транзистора Остановится более симметричным, что способствует снижению потерь во всех силовых компонентах. Для проверки влияния «перекоса» витков вторичной обмотки на пульсации выходного напряжения ТВ 1000 Вт был выполнен в двух вариантах. В первом вторичные витки были следующие (рис. 4.11): W2X = 8 в, W22 = 5 в, W2Z = 8 в. Во втором варианте витки были изменены: W2X = 6 в, W22 = 3 в, W23 = 10 в. В обоих вариантах остается неизменной сумма коэффициентов пх + л2, то есть сумма W2X + W23 для сохранения одной и той же РХ преобразователя. При любых значениях токов нагрузки и любых уровнях выходного напряжения «перекос» вторичных витков, то есть вариант W2X = 6 в и W23 = 10 в позволил значительно (в 1,5—3 раза) уменьшить уровень выходных пульсаций. Поэтому с запасом выполнены нормы по выходным пульсациям, установленные для источников питания, применяемых в аппаратуре связи. При «перекосе» вторичных витков (пх < п2) увеличен исходный зазор в сердечнике на 30% для предотвращения его насыщения из-за возрастания тока намагничивания (соотношение 4.1.9). На рис. 4.16 показаны плата DC-DC преобразователя в сборе и внешний вид транзисторного выпрямителя с выходной мощностью 850 и 1000 Вт. В последнем случае удельная мощность выпрямителя составила 460 Вт/дм3 (габаритные размеры 84 х 86 х 300 мм).
ГЛАВА 5 DC-DC ПРЕОБРАЗОВАТЕЛИ С ДРОССЕЛЕМ НА ВХОДЕ Рассматриваемые в этой главе преобразователи по принципу действия аналогичны импульсному регулятору напряжения с дросселем на входе без гальванического разделения входа и выхода (ИРН-2) [23]. ИРН-2, называемые также Boost-преобразователями, находят различные области применения, в том числе и в однофазных ККМ. Гальванические развязка, требуемая во многих случаях и обеспечиваемая силовым трансформатором, вносит значительные особенности в работу DC-DC преобразователей с дросселем на входе, что будет видно из последующих параграфов главы. Поскольку входной дроссель определяет ток, потребляемый преобразователем, такие устройства называются также преобразователями, питаемыми током (ППТ). Хорошо известны две схемы ППТ — мостовая и двухтактная (рис. 5.1). 5.1 • Работа преобразователей без учета индуктивности рассеяния трансформатора Работу схем на рис. 5.1 рассмотрим в наиболее распространенном режиме непрерывного тока (НТ) в дросселе L. Период работы транзисторов и трансформаторов (7) делится на два одинаковых полупериода (Т/2). В каждом из них имеется интервал накопления энергии вдросселе, когда оба транзистора в схеме рис. 5.1,5 или все четыре в схеме рис. 5.1,а — замкнуты. В этом интервале, поскольку первичные обмотки закорочены ключами, напряжения на вторичных обмотках равны нулю, а напряжение на нагрузке поддерживается разрядом конденсатора С. Рассмотренный интервал назовем интервалом импульса (tM). При запирании одного из транзисторов в двухтактной схеме или диаго- Рис- 5.1. DC-DC преобразователи с дросселем на входе: нально расположенных «-мостовая схема; б -двухтактная схема
|ЙТ8 Глава 5. DC—DC преобразователи с дросселем на входе транзисторов в мостовой начинается другой временной интервал полупериода 7/2, который назовем интервалом паузы (tn). Теперь энергия от входного источника и дросселя через трансформатор передается в конденсатор Сив нагрузку. Изменяя соотношение между временами tM и tn при постоянном периоде переключения Т, можно регулировать напряжение и мощность в нагрузке RH. В режиме НТ ток в дросселе не успевает снизиться до нуля по окончании интервала паузы. Предположим, что обмотки трансформаторов в схемах рис. 5.1 а, б не имеют индуктивностей рассеяния. Полагая элементы обеих схем идеальными, а выходную емкость конденсатора С неограниченно большой (пульсации напряжения на нагрузке будут при этом отсутствовать), получим РХ преобразователей. Предварительно обозначим отношение витков трансформаторов в схемах через п: W W п = —— = —— (двухтактная схема); ууп Wn W2 п = — (мостовая схема). w\ Напряжение на любом закрытом транзисторе определяется для интервала tn из контура Л, WU9 fVl29 T2 (схема рис. 5.1 б), или контура Л, IV19 73 (72, IV19 74) - схема рис. 5.1, а: (5.1.1) двухтактная схема, и (5.1.2) мостовая схема Применяя второй закон Кирхгофа для постоянных напряжений, имеем: двухтактная схема, и мостовая схема. В двух последних выражениях UL9 Umx (Um2) и UCHcp — средние за период значения напряжений на дросселе, обмотке трансформатора и транзисторе. Поскольку в установившемся режиме UL = Umi(Um2) = 0, для обеих схем получим: (5.1.3) двухтактная схема, и (5.1.4) мостовая схема. Напряжение на запертом транзисторе UCffmax связано со средним напряжением исИср для обеих схем соотношением: (5.1.5) It где D = —— — коэффициент заполнения импульсов. Подставив в последнее соот- Т
5.1. Работа преобразователей без учета индуктивности рассеяния трансформатора ношение UCMmax из (5.1.1) или (5.1.2), а также UCMxp из (5.1.3), получим РХ преобразователя с дросселем на входе в режиме НТ для обеих схем: (5.1.6) Временные диаграммы работы схем показаны на рис. 5.2. Обозначения в скобках на этом рисунке относятся к двухтактной схеме. Сняв ограничение, касающееся бесконечно большой емкости конденсатора С, определим пульсацию напряжения на нагрузке. Учтем, что в интервале tM напряжение на конденсаторе С снижается, поскольку происходит его разряд мгновенным током нагрузки iH . Полагая, что за время tH этот ток не меняется и равен постоянному току нагрузки 1Ю а изменение напряжения равно —AUC(AUC — абсолютное изменение напряжения), получим: Отсюда: Оценивая пульсацию напряжения (U^J) как половину размаха A Uc, окончательно имеем: Как видно из (5.1.7), пульсация выходного напряжения возрастает при увеличении тока нагрузки, увеличении длительности импульса tH и уменьшении емкости конденсатора С. Возрастание частоты переключения транзисторов / ^ис* 5-2. Процессы в преобразователе с дросселем на снижает переменную состав- входе>обмотки трансформатора без индуктивностей рас- сеяния ляющую напряжения.
Глава 5. DC—DC преобразователи с дросселем на входе 5.2. Работа преобразователей с дросселем на входе при учете индуктивности рассеяния трансформатора Реальный трансформатор, применяемый в любом преобразователе, всегда имеет индуктивности рассеяния обмоток, которые зависят от конструкции сердечника, взаимного расположения первичной и вторичной обмоток, а также от числа витков обмоток. В рассматриваемом классе преобразователей индуктивность рассеяния отрицательно сказывается на их работе, ухудшая их технические характеристики. Более того, без принятия соответствую- Рис. 5.3. Схема замещения мостового преобразователя с дрос- щих мер, как мы увидим, селем на входе при учете индуктивностей рассеяния Дина- ра5ота преобразователя с магничивания Lv трансформатора реальным трансформато- ром может оказаться невозможной. Рассмотрим схему мостового преобразователя (рис. 5.1, а). Индуктивность рассеяния вторичной обмотки приведем к первичной. Сумму индуктивности рассеяния первичной обмотки и пересчитанной индуктивности рассеяния вторичной обозначим Ls. На рис.5.3 изображена схема замещения мостового преобразователя, в которой помимо индуктивности Ls показана индуктивность намагничивания 7,ц трансформатора, приведенная к первичной обмотке. Транзисторы 71—74 заменены идеальными ключами К1—К4. Предположим, что в мостовой схеме имеет место интервал импульса, в котором все четыре транзистора включены. Ток в индуктивности L (iL) проходит по двум параллельным ветвям (К\, К1 и КЗ, А4 на рис. 5.3). Поскольку в данном интервале диоды 7)1—7)4 заперты, ток первичной обмотки идеального трансформатора равен нулю. Последнее означает, что токи /, и /ц оказываются равными. Ток ix (/ц) замыкается по двум ветвям — ATI, A3 и К2, А4. По окончании интервала tH и запирания одной из диагональных пар ключей, например К\, К4, справедлива схема замещения, показанная на рис. 5.4. На этом рисунке не изображены вторичная сторона преобразователя и первичная обмотка Wx, поскольку диоды 7)1 и 7)4 при размыкании ключей диагонали еще заперты. Токи iL и /', не равны, поэтому в одном образовавшемся контуре включены последовательно два неравных источника тока, созданных индуктивно- стями L и 7,5 + 7,м. В результате возникшей ситуации на запираемых ключах появляется выброс напряжения, сопровождаемый колебательным процессом. Нормальная работа преобразователя может оказаться нарушенной. Рис. 5.4. Схема замещения первичной сто роны мостового преобразователя с дроссе лем на входе при размыкании ключей К\ К1
5.3. Цепь клампа как средство обеспечения надежной работы преобразователя Дополнительные осложнения происходят при работе двухтактной схемы преобразователя (рис. 5.1, б). Ее схема замещения с индуктивностью намагничивания первичной обмотки, приведенной к обмотке Wn трансформатора, показана на рис. 5.5. Предположим, что закончился интервал импульса и размыкается ключ К2. Токи /^ и iLS2 до момента размыкания не были равны току дросселя iL, поэтому нарушается закон коммутации токов в индуктив- ностях, что приводит к повышенному напряжению на запираемом ключе и возникновению генерации. Вследствие того, что последовательно с размыкающим ключом имеется индуктивность рассеяния, выброс напряжения на ключе оказывается неизбежным. Недостаток двухтактной схемы, обусловленный присутствием индуктивностей Lsl и ?Л в реальном преобразователе, известен давно, и для борьбы с ним используют различные решения. Возможна оптимизация конструкции трансформатора, сочетаемая с подключением демпфирующих цепей [27], а также применением переключающих схем, подсоединяемых к силовым выводам транзисторов и возвращающих энергию в источник входного напряжения, как показано, например, в [42]. Таким образом, работа схем, показанных на рис. 5.1, без применения специальных мер, обеспечивающих надежную работу транзисторов и отсутствие генерации, оказывается затруднительной. Рис. 5.5. Схема замещения двухтактного преобразователя с дросселем на входе при учете индуктивностей рассеяния Z,S1, LS2 и намагничивания L трансформатора 5.3. Цепь клампа как средство обеспечения надежной работы преобразователя Естественный путь избежать трудностей, связанных с индуктивностями трансформатора, — обеспечить прохождение тока дросселя при запирании диагональной пары транзисторов (схема рис. 5.1, а) или одного из транзисторов (схема рис. 5.1, б). Для этого существуют простые и хорошо известные способы: подключить параллельно дросселю L диод с резистором или диод со стабилитроном (рис.5.6). В первом случае ток iL замкнется через диод D\ и резистор R, а во втором - через диод Ш и стабилитрон D3. Недо- Рис. 5.6. Первичная сторона мосто- статки названных методов заключаются в на- вого преобразователя с дросселем на греве элементов, подключаемых к дросселю, входе с подключением параллельно необходимости отвода для них требуемого про- дросселю L дополнительных цепей
Глава 5. DC—DC преобразователи с дросселем на входе странства в конструкции и заметном снижении КПД _ииш преобразователя. В [87, 29] было предложено подключить к мостовому преобразователю с дросселем на входе активный кламп — последовательную цепь, состоящую из Рис. 5.7. Схема преобразователя с дросселем на входе и активным транзистора и кон- клампом (элементы 7^, CJ денсатора. Схема преобразователя показана на рис. 5.7. Предусмотрено, что MOSFET клампа (TJ) имеет встроенный диод. Работа схемы на рис. 5.7 в установившемся режиме происходит следующим образом. В интервале импульса, когда транзисторы 71... 74 включены, а диоды DI...M заперты, напряжение на затворе Т^ близко к нулю. Заряженный конденсатор С^не может разрядиться, поскольку этому препятствует внутренний диод транзистора 7^. После запирания одной из диагональных пар моста через короткое время подается отпирающее напряжение на затвор транзистора Г0. Ток дросселя iL проходит через 7^, заряжая конденсатор клампа С^. Ток индуктивности рассеяния трансформатора также замыкается через цепь клампа. Далее в этом же интервале паузы ток в конденсаторе С0 снижается до нуля, а затем изменяет свое направление, что означает разряд конденсатора через два открытых транзистора моста и трансформатор на нагрузку. Цепь клампа, обеспечивая путь прохождения тока для индуктивностей дросселя и рассеяния трансформатора,' устраняет выбросы напряжения и возможную генерацию. Аналогичная цепь клампа может быть подключена и к двухтактному преобразователю. 5.4. Анализ работы преобразователя с цепью клампа Определим регулировочную характеристику преобразователя и напряжение на конденсаторе клампа, считая справедливыми следующие допущения: — ток входного дросселя непрерывный; — пульсации напряжения на конденсаторе клампа и на выходном конденсаторе равны нулю; — активные сопротивления обмоток трансформатора и дросселя равны нулю; — диоды и транзисторы полагаются идеальными переключателями; — времена перехода от интервала импульса к интервалу паузы и обратно пренебрежению малы. Ток через конденсатор Q, может проходить только в интервале tn, когда работает диагональ схемы (рис. 5.7). Для этого интервала справедлива схема замещения (рис. 5.8), на которой с целью упрощения рисунка не показаны замкнутые ключи, соответствующие открытым транзисторам 7^, 71, 74 (или 72, 73).
5.4. Анализ работы преобразователя с цепью клампа ss Ток дросселя L показан на одной из диаграмм рис. 5.2 и при принятых допущениях изменяется по линейному закону как в интервале импульса, так и паузы. Ток в конденсаторе клампа — разность токов в дросселе и индуктивности рассеяния (первичной обмотке реального Рис. 5.8. Схема замещения преобразователя трансформатора): с активным клампом в интервале паузы (/п) (5.4.1) В интервале ^ив установившемся режиме средний ток конденсатора клампа 1Скл равен нулю. Поэтому из (5.4.1) следует, что (5.4.2) где IL — средний за период Г ток дросселя L, совпадающий со средним током во время паузы; Ils ~ средний ток за время паузы в индуктивности рассеяния. Ток IL равен среднему потребляемому току схемы, поэтому, считая, что в преобразователе отсутствуют потери, можно записать: (5.4.3) Для определения тока 1и входящего в (5.4.2), запишем сначала выражение для мгновенного тока /^ в интервале паузы: (5.4.4) где время / отсчитывается от нуля. Начальный ток 1^^ определим, используя условие равенства токов /^ и / в момент времени ноль (до момента ноль — в интервале импульса — токи обмоток идеального трансформатора равны нулю). В свою очередь, начальное значение тока намагничивания равно половине его приращения за время паузы, взятое с обратным знаком. Поэтому (5.4.5) В интервале tn среднее значение тока /^, учитывая (5.4.4) и (5.4.5), можно записать: На основании (5.4.2) при известных выражениях для тока IL (5.4.3) и тока 1^ (5.4.6) получим уравнение относительного выходного напряжения:
ш%4 Глава 5. DC—DC преобразователи с дросселем на входе (5.4.7) Напряжение на конденсаторе клампа иСкл определяется из условия вольт- секундного баланса на обмотке дросселя: (5.4.8) Подставив (5.4.8) в (5.4.7) и обозначив относительное напряжение на выходе Как и = , iiujiy*i*iivi ууавпъппь bl\j\j\jvi ч^х^и^пп. (5.4.9) Подставляя в последнее уравнение значение Ls, равное нулю, получим: откуда следует, что в данном случае выходное напряжение подчиняется зависимости (5.1.6). Для представления результата в более простом и компактном виде введем обозначения: С учетом введенных обозначений, решая (5.4.9) и отбрасывая отрицательный корень, получим РХ (зависимость выходного напряжения от коэффициента заполнения) в относительном виде: (5.4.10) Абсолютное значение выходного напряжения определится при известном Ueblx из (5.4.10): В качестве примера построим зависимость Ueblx от D при следующих исходных данных: На рис. 5.9 показано относительное выходное напряжение в зависимости от коэффициента заполнения D с трансформатором без индуктивности рассеяния и с трансформатором, в котором Ls= 24 мкГн. Кривая при Ls= 24 мкГн хорошо совпала с полученной экспериментальной зависимостью, когда входное напряжение преобразователя изменялось от 80 до 200 В. При управлении реальным преобразователем в момент окончания паузы ключ клампа запирается сразу, а диагональная пара транзисторов, которая ранее была закрыта, отпирается после некоторой задержки. Это делается с целью перезаряда вы-
5.5. Пусковой режим 8! ходных емкостей транзисторов током индуктивности Ls, что обеспечивает включение этих транзисторов при нуле напряжения. В момент начала паузы можно не торопиться включать транзистор клампа 7^,, поскольку некоторое время ток будет проходить через его внутренний диод. Реальное напряжение на конденсаторе клампа не остается постоянным, как было принято при анализе, а возрастает и затем снижается в интервале tn. Диаграммы некоторых процессов в мостовом преобразователе с клампом показаны на рис. 5.10. 5.5. Пусковой режим При работе рассматриваемого преобразователя требуется уделить большое внима- ^ис* ^-9- Зависимость относительного вы- ние пусковому режиму, что объясняется ходного напряжения от коэффициента за- е. полнения при двух значениях индуктивности необходимостью заряда выходного кон- ^ „ , \. л ^ _ „ рассеяния трансформатора денсатора С (рис. 5.1, я, б). Выходной конденсатор выбирается, как правило, электролитического типа большой емкости. При высоком напряжении на выходе (сотни вольт) требуемая энергия выходного конденсатора (или нескольких конденсаторов соединенных параллельно) становится настолько большой (сотни джоулей), что необходим особый алгоритм его заряда. Предположим, что при запуске преобразователь работает в обычном режиме, когда интервал импульса (четыре транзистора на схеме рис. 5.1, а включены) сменяется интервалом паузы (включена одна из двух диагональных пар моста). Поскольку в начале запуска напряжение на выходном конденсаторе равно нулю, к входному дросселю достаточно продолжительное время приложено напряжение только одной по- Р110- 5-10- Процессы в мостовом пре- лярности как в интервале импульса, так и в образователе с активным клампом по интервале паузы. Размагничивания сердечника рис* дросселя не происходит, поэтому ток через дроссель неограниченно растет, хотя выходной конденсатор остается незаряженным. Похожая картина имеет место в ККМ, построенном на основе повышающей схемы импульсного регулятора. Работа силового ключа в режиме переключения в начале запуска ККМ также, как правило, приводит к насыщению сердечника с весьма серьезными последствиями для схемы. Часто используемое решение в ККМ - производить заряд выходного конденсатора через дополнительный диод и
Глава 5. DC—DC преобразователи с дросселем на входе ограничительный резистор, блокируемый через некоторое время с помощью контакта реле или тиристора, — в данном случае, из-за наличия в схеме трансформатора, не может быть реализовано. В режиме запуска для преобразователя с дросселем на входе может быть предложен другой алгоритм работы транзисторов, отличающийся от алгоритма его нормальной работы. Его суть сводится к тому, что интервал открытого состояния всех транзисторов схемы, то, что мы называли tM, теперь отсутствует. Зато остается другой интервал, в котором открыта диагональная пара транзисторов (рис. 5.1, а) или только один транзистор (рис. 5.1, б). Именно в этом временном интервале, который мы назовем tm (интервал импульса в режиме запуска), нарастает ток во входном дросселе, а через трансформатор происходит передача энергии в выходной конденсатор. Затем все транзисторы схемы заперты и этот интервал назовем tn3 (интервал паузы в режиме запуска). В нем ток дросселя должен спадать, с тем чтобы в очередном интервале tH3 снова выполнялась передача энергии в конденсатор на выходе. Но в исходных схемах (рис 5.1, я, б) во время tn3 нет пути прохождения тока дросселя. В таком случае можно было бы применить одну из дополнительных цепей, подключаемых параллельно дросселю (рис. 5.6), но появляются дополнительные потери в элементах R, Z>3, да и в диодах D\, D2, а заряд выходного конденсатора происходит недостаточно быстро. Более правильным решением будет сброс энергии, накопленной в дросселе L за время tH3, в емкость конденсатора клампа в интервале tn3 (рис. 5.7). Но при этом появляется еще одно затруднение — необходимо выводить накопленную энергию из С0. Казалось бы, это можно сделать, открывая транзистор клампа (7^) в очередном интервале tH3. Однако при этом, поскольку выходной конденсатор еще не заряжен, через транзисторы схемы будет проходить большой ток, который вполне может вывести их из строя. Можно предложить изменить цепь клампа, создавая путь для вывода энергии из конденсатора С0. Рассмотрим схему, показанную на рис. 5.11. В пусковом режиме транзистор Го1 можно не открывать, поскольку в интервале времени, когда закрыты четыре ключа моста Рис. 5.11. Первичная сторона мостового преобразова- (интервал работы , )? ^ про. теля с измененной цепью клампа хождения тока дросселя в конденсатор С^ открывается внутренний диод этого транзистора. В данном интервале происходит подзаряд С^. При отпирании диагональной пары ключей моста (интервал tH3) включается транзистор Го2 и конденсатор С0 имеет возможность передать часть своего заряда через сопротивление R^ и трансформатор в выходной конденсатор. Таким образом, от периода к периоду происходит подзаряд конденсатора на выходе преобразователя. При переходе из режима запуска в рабочий транзистор Го1 работает, как транзистор TM на рис. 5.7, то есть его включение происходит в интервале tn. Цепь Го2, R^ в рабочем режиме становится ненужной, поэтому в нем транзистор Го2 не включается.
5.5. Пусковой режим 8' Решение, показанное на рис. 5.11, не является идеальным хотя бы потому, что в режиме запуска в резисторе Л0 теряется большая мощность, а заряд выходного конденсатора происходит недостаточно быстро. Другой вариант Рис. 5.12. Первичная сторона мостового преобразователя с цепью клампирующей цепи, клампа, содержащей дроссель (Z,J пригодный для заряда выходного конденсатора, показан на рис. 5.12. В режиме запуска или в рабочем режиме подзаряд конденсатора клампа происходит через транзистор 7^ в интервалах tn3 или tn. При запуске, когда отпирается диагональная пара транзи- сторов моста (интервал Гяз), должен быть открыт транзистор „. --, „ ~ — Рис. 5.13. Первичная сторона мостового преобразова- Го2, что позволяет конденсатору теля с цепью клампа, содержащей дроссель^) и диод клампа разряжаться через дрос- {D^ вместо транзистора сель Lm и трансформатор, увеличивая напряжение на выходном конденсаторе преобразователя. Диод Z>^2 в интервале tm закрыт. В основном дросселе схемы L в интервале tM3 ток возрастает и его энергия также передается через трансформатор в выходной конденсатор. При ластуплении очередного интервала паузы при запуске (все транзисторы моста заперты) транзистор 7^2 запирается, а ток дросселя клампа L^ продолжает проходить через открывающиеся диоды транзистора ТтХ и D^. Таким образом, в интервале запуска tm подзаряд конденсатора производится от дросселей L и L^, а в интервале tm передача энергии через трансформатор также происходит через оба дросселя. В рабочем режиме, когда имеется интервал открытого состояния всех транзисторов моста (tM), дроссель клала L^ становится ненужным. Поэтому транзистор Го2 в рабочем режиме заперт, напряжение на его затворе близко к нулю. Кламповая цепь теперь включает в себя только транзистор ТмХ и Q,, работая точно так же, как было описано ранее. Еще один вариант клампирующей цепи с дросселем L^ показан на рис. 5.13. В отличие от схемы 5.12, здесь транзистор 7^, сильно загруженный в рабочем режиме, заменен диодом Do7. Теперь токовая нагрузка ложится на два элемента — В^х и транзистор 7^, что благоприятно сказывается на их тепловом режиме. В рабочем режиме в схеме 5.13 сердечник дросселя L^ оказывается насыщенным, поскольку к его обмотке приложено однополярное напряжение. Транзистор Тт в рабочем режиме и в режиме запуска открыт в интервалах /яи /яз соответственно. Следует добавить, что в режиме запуска, как и в рабочем режиме схемы, коэффициент заполнения импульсов может изменяться, что позволяет, плавно увеличивая длительность импульсов в
Глава 5. DC—DC преобразователи с дросселем на входе интервале /яз, не перегружать по току ключи схемы и достаточно быстро заряжать выходной конденсатор. Режим запуска сменяется рабочим режимом после некоторой временной паузы, задаваемой в алгоритме управления преобразователем. 5.6. Преимущества DC-DC преобразователя с дросселем на входе по сравнению с другими решениями Рассматриваемый преобразователь может применяться в различных приложениях: 1. В корректоре коэффициента мощности с гальванической развязкой. По сравнению с классическим AC—DC преобразователем, выполненном по двухкаскадной схеме, применение рассматриваемого преобразователя позволяет получить больший КПД, возможно, при меньших размерах устройства. 2. В DC—DC преобразователе с высоковольтным входом (около 400 В), который следует за однофазным ККМ. Такой преобразователь может, при соответствующей проработке, составить серьезную конкуренцию мостовому преобразователю, который управляется по методу фазового сдвига. Известно, что работа полевых транзисторов при высоком напряжении и высокой частоте требует определенной стратегии управления, при которой потери на переключение становятся минимальными. В этом плане преобразователь с входным дросселем, снабженный цепью клампа, позволяет осуществить переключение транзисторов при нуле напряжения (ПНН) без потерь на их включение [29]. 3. В DC—DC преобразователе с низковольтным входом (десятки вольт) при выходном напряжении равном или большем входного. При высоком для транзисторного преобразователя уровне выходной мощности (2—3 кВт или более) нахождение наилучшего решения, обеспечивающего и высокий КПД и высокую удельную мощность устройства, может оказаться непростой задачей. Рассмотрим, для примера, последний вариант, когда требуется спроектировать DC-DC преобразователь с входным напряжением 12, 24 или 48 В и выходным напряжением 48 или 60 В. Такие преобразователи могут находить применение в различных областях, в том числе в телекоммуникациях. Разновидностью данных DC- DC преобразователей являются устройства с входным напряжением 12, 24 или 48 В и выходным — около 400 В. Примеры их применения — первая ступень инвертора, обеспечивающего одно- или трехфазное напряжение; нагрузочные устройства, передающие полученную энергию на их входе к сети переменного тока (электронная нерассеивающая нагрузка). Первый и весьма ответственный шаг при проектировании обсуждаемого DC- DC преобразователя — выбор схемы (топологии). Вариантов может быть много, но, учитывая требуемую выходную мощность (2...3 кВт), оставим только три схемы преобразователей - конкурентов. Все они будут рассмотрены применительно к построению выходного ка скада в виде моста, поскольку последние достижения в технологии создания MOSFET привели к появлению низковольтных транзисторов с очень малым сопротивлением Я^оп , составляющем единицы миллиом. Двухтактные схемы преобразователей, которые требуют более высоковольтных транзисторов, нуждаются еще и в дополнительных
5.6. Преимущества DC-DC преобразователя с дросселем а<Ш на входе по сравнению с другими решениями чх^ЛШ демпфирующих цепях, не позволяющих развиться выбросу напряжения на запираемом ключе из-за действия индуктивности /^трансформатора. К тому же, более высоковольтным транзисторам свойственно и большее сопротивление R^ on, ухудшающееся тем сильнее, чем выше допустимое напряжение транзистора. При сравнении схем, поскольку основные потери оказываются на первичной стороне, будем оценивать потери в открытом состоянии в ключах как доминирующий вид потерь и, кроме того, потери в сопротивлениях печатных дорожек платы. Итак, определим потери в трех схемах — конкурентах. Преобразователь с дросселем на входе Схема преобразователя показана на рис. 5.1, а. При определении потерь положим индуктивность рассеяния трансформатора Ls = 0, а для упрощения вычисления действующих значений токов примем индуктивность дросселя L бесконечно большой. Из соотношения (5.1.6) определим коэффициент трансформации п, полагая, что при Uexmax коэффициент заполнения равен нулю, а выходное на- Рис. 5.14. Идеализированная форма тока через тран- пряжение поддерживается посто- ЗИљРв схеме преобразователя с входным дроссе- янным: лем Подставив в (5.1.6) полученное значение п, определим относительную длительность паузы: (5.6.1) Из баланса мощностей на входе и выходе при бесконечно малых пульсациях выходного напряжения получим: (5.6.2) Квадрат действующего тока через один ключ схемы можно определить, используя диаграмму на рис. 5.14: Мощность, рассеиваемая во всех транзисторах моста: Подставив в последнее соотношение (1 — D) из (5.6.1) и 1вх из (5.6.2), полу- чим:
^0 Глава 5. DC—DC преобразователи с дросселем на входе В относительном виде потери во всех транзисторах моста: (5.6.3) Мощность, теряемая в проводниках печатной платы первичной стороны, учитывая (5.6.2): Относительные потери в проводниках печатной платы: (5.6.4) Преобразователь с выключенным состоянием транзисторов в интервале паузы. DC —DC преобразователь с гальванической развязкой, аналогичный по своим свойствам понижающей схеме импульсного регулятора напряжения (ИРН-1), показан на рис. 5.15. Схема работает симметрично, каждый полупериод Т/2 разбивается на два интервала: интервал импульса tH (открыты транзисторы диагональной пары) и интервал паузы tm в котором все транзисторы моста заперты. Определяя D как отношение tM к полупериоду для режима НТ в Рис. 5.15. DC-DC преобразователь, в котором все дросселе / можно записать РХ транзисторы закрыты во время интервала паузы преобразователя* (5.6.5) где, как обычно, п = WJWV Выберем коэффициент трансформации, положив D = 1 при им = Uexmin. Тогда из (5.6.5) получим: Рис. 5.16. Идеализированный входной ток в схеме с интервалом закрытого состояния тран- Подставив полученное значение п зисторов в (5.6.5), выразим Вддя произвольного значения напряжения U^:
5.6. Преимущества DC-DC преобразователя с дросселем g .-*» на входе по сравнению с другими решениями \$&=Ж (5.6.6) Идеализированная форма входного тока в предположении, что индуктивность Ьф бесконечно велика, а током намагничивания трансформатора можно пренебречь, показана на рис. 5.16. На рисунке 1вх — среднее значение входного тока, который можно определить, используя уравнение баланса мощностей на входе и выходе: Амплитуду импульса тока 1^ (рис. 5.16) определим, зная средний ток 1вх и коэффициент заполнения (5.6.6): (5.6.7) Потери во всех транзисторах схемы: Относительные потери во всех транзисторах моста: (5.6.8) Мощность, теряемая на плате по первичной стороне: Эти же потери в относительном виде: (5.6.9) Преобразователь с фазовым управлением При рассмотрении данного типа преобразователя можно воспользоваться схемой, показанной на рис. 5.15. В отличие от предыдущего варианта, в данном преобразователе в интервале паузы открыты горизонтально расположенные на схеме транзисторы — либо 71, 73, либо 72, 74. В интервале импульса открыты транзисторы одной из диагональных пар. Упрощенные диаграммы напряжений на входах транзисторов и в диагонали моста (на первичной обмотке трансформатора) показаны на рис. 5.17. Каждый транзистор открыт при высоком уровне напряжения на его затворе. Коэффициент заполнения импульсов для данной схемы определим, как это обычно принято для симметричных схем: D = 2tH/ Т, где Т— период переключения. Преобразователь с фазовым управлением широко используется при высоком входном напряжении (сотни вольт) с целью устранения потерь при включении транзистора моста, то есть создания переключения при нуле напряжения. При этом
{{Г92 Глава 5. DC—DC преобразователи с дросселем на входе Рис. 5.18. Идеализированная диаграмма токов в транзисторах преобразователя с фазовым управлением поддерживается ток в диагонали схемы в интервале паузы с помощью индуктивности рассеяния трансформатора или дополнительного дросселя, включаемого в цепь первичной обмотки. В данном случае, рассматривая работу схемы от низкого напряжения, мы будем полагать, что основное свойство преобразователя — сохранение тока в диагонали моста в интервале паузы — остается. Для упрощения выкладок примем, что этот ток в интервале паузы не изменяется, сохраняя значение, которое было получено в предыдущем интервале импульса. Диаграмма тока /^ в предположении, что итадуктивность фильтра Ьф бесконечно велика, совпадаете диаграммой тока, показанной на рис. 5.16. Регулировочная характеристика схемы в режиме непрерывного тока дросселя фильтра: (5.6.10) где n = W2/W1 (рис. 5.15). Полагая, что при Uexmin коэффициент D равен 1, определим п: Рис. 5.17. Диаграммы управляющих импуль сов и напряжения на первичной обмотю трансформатора в преобразователе с фазо вым управлением Для произвольного значения Uex, используя полученное значение п и выражение для РХ (5.6.10), выразим коэффициент заполнения импульсов D: (5.6.11) Идеализированные диаграммы токов в ключах схемы с фазовым управлением показаны на рис. 5.18. В любой момент времени ток проходит через два последовательно включенных транзистора.
5.6. Преимущества DC-DC преобразователя с дросселем на входе по сравнению с другими решениями Рис. 5.19. Относительные потери в транзисто- Рис. 5.20. Относительные потери в проворах для трех схем DC-DC преобразователей дниках печатной платы первичной стороны для трех схем DC-DC преобразователей Квадрат действующего тока в одном транзисторе: Потери в двух диагональных парах транзисторов схемы: Относительные потери во всех транзисторах преобразователя с фазовым управлением: (5.6.12) Потери в проводниках платы первичной стороны: Потери в плате в относительном виде: (5.6.13) Потери в проводниках платы данной схемы совпадают с аналогичными потерями в схеме с интервалом закрытого состояния всех транзисторов (5.6.9). Проведем расчет потерь в транзисторах и проводниках платы первичной стороны для всех трех рассмотренных схем при следующих данных: Результаты расчетов показаны на рис. 5.19 (относительные потери в транзисторах) и на рис. 5.20 (относительные потери в проводниках платы). Из рисунков можно видеть, что минимальные потери имеют место в преобразователе с входным дросселем. Допустим, в преобразователях использованы транзисторы с Rdson = 10 мОм (в горячем состоянии), и такие же сопротивления имеют сопротивления печатных
Глава 5. DC—DC преобразователи с дросселем на входе плат первичной стороны. Для номинального тока нагрузки 41,7 А и входного напряжения 27,5 В имеем: А/>Г1 = 41,720,015,8«101Вт. АРТ2 = 41,72- 0,01- 8,3 «144 Вт. АРТЗ = 41,72 • 0,01 - 11,6 « 202 Вт. АРпл1 = 41,720,013,05*53Вт. ДЛл = А^з = 41,72 • 0,014,2 * 73 Вт. Меньшее значение потерь в преобразователе с входным дросселем (АРп и АРм1) означает и лучший тепловой режим конструкции при одних и тех же условиях отвода тепла, а также возможность повышения удельной мощности DC-DC преобразователя. 5.7. Экспериментальные результаты Рассмотрим задачу построения DC-DC преобразователей, работающих от входного напряжения с номинальным значением 27 В или 48 В при мощности на выходе 2 и 3 кВт соответственно. В первом случае входное напряжение изменяется в пределах 20—30 В, во втором 43 — 60 В. Выходное напряжение должно составлять около 410 В и быть гальванически развязано от входного. Такие преобразователи могут найти применение в качестве первой ступени инвертора или электронной нерас- сеивающей нагрузки (ЭНН), возвращающей энергию источника напряжения постоянного тока к сети переменного тока. Требование, которое вполне может быть поставлено при проектировании, — выбрать такую схему преобразователя, которая могла бы быть реализована как для напряжения на входе 27 В, так и 48 В. Конструктивное решение силовой части должно быть одним и тем же. Различной может быть намотка трансформатора, могут быть различными и некоторые компоненты (например, типы силовых транзисторов, входные предохранители). Практически одной и той же должна быть плата управления, за исключением номиналов некоторых резисторов и конденсаторов. Выполнение указанных требований позволит снизить стоимость изготовления обоих типов преобразователей. В соответствии с изложенным ранее материалом выбираем схему преобразователя с входным дросселем и транзисторным мостом (рис. 5.1, д), применяя измененный активный кламп и дроссель заряда выходных конденсаторов (рис. 5.13). В качестве сердечника трансформатора, работающего на выбранной частоте 50 кГц, применяем ферритовое кольцо R 63x38x25, материал N87. Выполнение трансформатора на кольцевом сердечнике позволяет добиться минимальной индуктивности рассеяния. Наибольшие сложности появляются при разработке преобразователя, работающего от наименьшего входного напряжения, то есть от 20—30 В, что связано с большим потребляемым током. При Uex = 20 В и мощности на входе 2 кВт ток потребления составит 100 А, и, следовательно, в первичной цепи преобразователя действительно должны быть большие потери. Одним из важных вопросов, который связан с уменьшением потерь в рассматриваемой конструкции, является выбор провода первичной обмотки трансформатора. При большом токе обмотки Wx кажется вполне естественным использовать большое сечение провода. Допустим, в целях упрощения намотки Wx мы решили
5.7. Экспериментальные результаты 95 Рис. 5.21. Ток в обмотке W\ трансформатора, частота 50 кГц применить провод достаточно большого диаметра (dM = 1,6 мм) с необходимым числом параллельно соединенных нитей. Будет ли такое решение правильным при частоте работы трансформатора 50 кГц? Чтобы ответить на этот вопрос, необходимо, во-первых, определить содержание гармоник тока в обмотке, а, во- вторых, зная гармонический состав тока, определить, насколько возрастает при этом сопротивление обмотки данного диаметра. Пусть для рассматриваемого здесь примера диаграмма тока в обмотке Wx имеет вид, показанный на рис. 5.21. Для определения гармоник тока можно использовать разложение в тригонометрический ряд Фурье или аппарат дискретного преобразователя Фурье (ДПФ). Воспользуемся вторым способом. Сначала для пояснения результатов, полученных с помощью ДПФ, рассмотрим простой пример. Пусть мы имеем синусоидальную функцию с единичной амплитудой и начальной фазой а = 0. Зададим число элементов на отрезке 2я, равном 27, и воспользуемся стандартной программой FFT, использующей действительные числа комплекса Mathcad. Операторы Matchcad для решения этой задачи: ДПФ, работая с реальными данными, образует выходной вектор коэффициентов, число которых равно половине числа отсчетов плюс 1. Поэтому число N, равное в программе номеру последнего элемента выходного вектора FFT, равно 271 = 64. Элементы вектора, полученного в результате преобразования в нашем примере, су Здесь индексу — номер гармоники в спектре сигнала, с. — комплексные числа. После вывода значения с,, получим: сх = —0,5/, где / — мнимая единица. Полученная с помощью ДПФ амплитуда гармоники, равная 0,5, соответствует j = 1, то есть сопряженный член, соответствующий У = -1 в комплексной форме ряда Фурье, здесь не показан. Каждому коэффициенту су с учетом коэффициента с_} соответствует гармоническое колебание 2|су Icos^/o),/ +\j/y.) [6], где фаза v|/y = argcr
Глава 5. DC—DC преобразователи с дросселем на входе В рассматриваемом случае \|/, = —, поэтому получим: то есть ДПФ определило временной сигнал первой гармоники как синусоидальную функцию с амплитудой, равной единице, и начальной фазой, равной нулю. Полученная первая гармоника спектра ДПФ соответствует заданной кривой. ДПФ рассмотренной синусоиды показано на рис. 5.22. Из рисунка можно действительно видеть присутствие только первой гармоники в спектре. Возвращаясь к току в первичной обмотке Wl9 показанному на рис. 5.21, можно составить программу в Matchcad, определяющую ДПФ, например, следующим образом: А: = 87.6 В: = 58.8 ММ: = 8 Цифра соответствует половине периода К: = 0.5 N: = К(2ММ) Число показывает окончание импульса k: = 0,.N х • = ^ - к • ——— ординаты импульса, 1 -й интервал *" N i: = N+ \..2мм-\ х;. = 0 2-й интервал m: = 2MM..2MM+N хт: = -\А-(т-2мму ~ Л 3-й интервал п. = 2ММ+ 7V+ \..2мм+1-\ хп\ = 0 4-й интервал с. = 2FFT(x) Коэффициент 2 показывает, что |cj — амплитуда j-гармоники. Рис. 5.22. Дискретное преобразование Рис. 5.23. Квадраты действующих значений Фурье гармонического сигнала sin(2cok/128), гармоник тока, определенных с помощью 0 < к < 128 ДПФ
5.7. Экспериментальные результаты 9' На рис. 5.23 показаны квадраты действующих значений гармоник тока, представленного на рис.5.21, до 30-й гармоники включительно. Все четные гармоники равны нулю. Располагая спектром тока, определим, используя методику, предложенную в [63] и повторенную в [23], отношение сопротивления первичной обмотки несинусоидальному току частотой 50 кГц (Кщ) при диаметре провода 1,6 мм к сопротивлению на постоянном токе (R^). Проделав все вычисления до 49-й гармоники включительно, получим: Полученный результат свидетельствует о том, что намотка первичной обмотки в несколько нитей проводом диаметром 1,6 мм приведет к значительному сопротивлению первичной обмотки и, следовательно, к повышению потерь при снижении КПД преобразователя. Поэтому был использован литцентрат из 4-х жил, в одной жиле 1000 проводников диаметром 0,05 мм. В табл. 5.1 приведены данные макета DC-DC преобразователя, не охваченного обратной связью по выходному напряжению. В таблице показаны значения коэффициента заполнения D. Таблица 5.1 Данные преобразователя с входным напряжением 20—30 В. и ,в 20,6 26,7 28,5 и^ъ 425 429 404 I„, A 68,53 87,41 86,63 /Н,А 3,07 5,04 5,68 D 0,45 0,3 <0,25 кпд 0,923 0,927 0,93 Макет преобразователя включал в себя входные и выходные фидьтры защиты от радиопомех. Полученные значения КПД (92—93%) следует признать хорошим результатом, если учесть низкое входное напряжение при значительной входной мощности на входе преобразователя. Во всяком случае, макетные образцы преобразователей, выполненные по другим схемам, показывали явно худшие результаты по КПД со всеми вытекающими из этого последствиями. DC—DC преобразователь с входным напряжением 43—60 В при потребляемой мощности 3 кВт имел больший КПД - 94-96%.
ГЛАВА 6 РЕЗОНАНСНЫЕ DC-DC ПРЕОБРАЗОВАТЕЛИ С ПОДКЛЮЧЕНИЕМ НАГРУЗКИ ПОСЛЕДОВАТЕЛЬНО К РЕЗОНАНСНОМУ КОНТУРУ В данной главе подробно рассматривается только один класс резонансных преобразователей (РП) постоянного напряжения в постоянное. Выбор данного класса объясняется его исключительными особенностями, которые позволяют найти применение таким преобразователям во многих важных областях. В зависимости от соотношения между резонансной частотой LC-контура (Q и частотой переключения силовых транзисторов (/) различают преобразователи с частотой контура больше частоты переключения (f0 > f) и, наоборот, с частотой контура меньше часты переключения (f0<J). 6.1 • Работа при низкой частоте переключения (f0 > f) На рис. 6.1 показана полумостовая схема РП с последовательным подключением резонансного контура к нагрузке через трансформатор и мостовой выпрямитель. Ключи К\ и А2, выполняемые на основе MOSFET или IGBT, переключаются симметрично. Каждый транзистор открыт половину периода переключения (TJ2), при этом должна быть предусмотрена некоторая временная пауза, когда выключены и верхний и нижний транзисторы одновременно. Емкостный делитель выполнен на конденсаторах С\иС2 равной и достаточно большой емкости, а потому с малыми пульсациями напряжения на каждом из них. В таком случае допустимо считать, что каждый из конденсаторов образует источник постоянного напряжения, уровень которого равен UJ2. Емкость конденсатора С— это емкость резонансного контура, другим элементом которого является индуктивность L. Реальный трансформатор обладает индуктивно- стями рассеяния обмоток и L может представлять собой индуктивность первичной обмотки при закороченной вторичной. В тех случаях, когда эта индуктивность оказывается недостаточной, последовательно к первичной обмотке (Wx) подключается внешний дроссель. Выходной конденсатор (Свых) должен Рис. 6.1. Полумостовая схема РП с последо- быть большой емкости для получения вательным подключением резонансного кон- малых пульсаций напряжения на натура к нагрузке грузке.
6.1. Работа при низкой частоте переключения (f0 >f) В [23] была показана возможность существования трех режимов работы данной схемы, но подробно были рассмотрены только два из них — режим непрерывного тока в первичной обмотке (режим НТПО) и режим непрерывного тока при включении внутреннего диода транзистора (ПТД). В режиме НТПО в одном полупериоде TJ2 ток проходит через транзистор, а затем через его внутренний (обратный) диод. В режиме ПТД в интервале со0/ = то ток проводит транзистор, затем его внутренний диод, после чего ток в контуре становится нулевым. Оба режима — НТПО и ПТД — позволяют, изменяя частоту коммутации по отношению к резонансной, изменять и уровень выходного напряжения. Поскольку относительная частота |i =f/f0 < 1, в режиме НТПО существуют потери на включение транзисторов. В режиме ПТД требуется увеличенная емкость выходного конденсатора Свых, так как при этом |д < 0,5 и частота коммутации транзисторов заметно снижается. Работа схемы, показанной на рис. 6.1, здесь рассматривается в третьем возможном режиме при ц < 1 — режиме прерывистого тока (ПТ) через резонансный контур. Примем допущение о малости тока намагничивания трансформатора, приведенного к первичной обмотке, по сравнению с максимальным током в контуре 1т. Диаграммы напряжения на одном из ключей (и^) и тока через индуктивность L (iL) показаны на рис. 6.2. Если бы ток намагничивания был строго равен нулю, замкнутый ключ мог быть разомкнут до окончания полупериода переключения, сразу после окончания полупериода резонансного тока. Для определения количественных соотношений в режиме ПТ будем считать ключи Kl, K2 и диоды выпрямителя В идеальными, а ток намагничивания трансформатора пренебрежимо малым. Считая емкости CI, C2 и емкость Свых неограниченно большими, от схемы, показанной на рис. 6.1, перейдем к схеме замещения на рис. 6.3, в которой UHn— приведенное к обмотке Wx трансформатора напряжение на нагрузке. Полярность напряжения UHIJ, показанная на рисунке, соответствует состоянию схемы при замкнутом ключе К\ и прохождению тока через диоды выпрямителя В (рис.6.1). При прохождении тока в контуре суммарное мгновенное напряжение на элементах резонансного контура Си L равно нулю, и, следовательно, приведенное напряжение ?/яяравно UJ2. К моменту окончания резонансного полупериода конденсатор С заряжен в положительном направлении (положительный ток в конденсаторе совпадет с направлением тока iL на рис. 6.3). Поэтому напряжение в точках д, б схемы замещения после завершения интервала к изменяет свое значение и становится неравным UHn. Диоды выпрямителя, проводившие ранее ток, запираются. В интервале я проис- Рис. 6.2. Временные диаграммы напряжения на ключе и тока в индуктивности L
W\ 00 Глава 6. Резонансные DC—DC преобразователи с подключением нагрузки ^=^^ последовательно к резонансному контуру ходит обмен энергией между элементами С и L контура, поэтому из уравнения баланса энергии получим соотношение, связывающее амплитуду тока в контуре 1т, и максимальное значение напряжения на конденсаторе: Рис. 6.3. Схема замещения преобразователя Приведенный ток нагрузки I нп, проходящий через источник напряжения в точках а, б (рис. 6.3), определим из среднего значения функции iL за половину периода Тк: (6.1.2> С другой стороны, ток I нп можно выразить через напряжение Uex и пересчитанное к первичной обмотке Wx сопротивление нагрузки: (6.1.3) Таким образом, приравнивая правые части выражений (6.1.2) и (6.1.3), определим максимальный ток в контуре: (6.1.4) Полученные выражения для тока /т(6.1.1) и (6.1.4) позволяют определить максимальное напряжение на конденсаторе C(UCmax): Могут быть получены и другие соотношения для UCmax как следствие работы резонансного контура: (6.1.6) В том случае, если к моменту окончания резонансного полупериода то напряжение на конденсаторе С превысит напряжение U^ в полярности, показаной на рис. 6.3, будет иметь место один из двух других возможных режимов — НТПО или ПТД. Полярность напряжения в точках а, б изменится (показана на рис. 6.3 в скобках), так как изменяется направление тока через элементы контура. Таким образом, используя неравенство U^^U^ и выражение (6.1.5), получим условие выхода из режима прерывистого тока: Применив понятие коэффициента затухания контура: (6.1.1)
6.1. Работа при низкой частоте переключения (f0>f) 101 окончательно получим: (6.1.7) Если ц =У/Л < 0>5, имеет место режим ПТД, а при |i>0,5 — режим НТПО. Для проверки полученных соотношений применим программу Spice. На рис. 6.4 показана схема моделирования, в которой использованы параметры: Uex = 750в; С= 8 мкФ; 1 = 8 мкГн; п = WJWX = 1,67; RH= 26,85 Ом. Частота переключения/= 14,1 Гц. Определим резонансную частоту контура: Определим коэффициент затухания ?: Поскольку неравенство (6.1.7) не выполняется ? < 2f/(nf0) = 0,45 , преобразователь работает в режиме ПТ. Диаграммы, полученные в результате работы модели, показаны на рис. 6.5. В табл. 6.1 показаны расчетные значения параметров и полученные в результате моделирования, что подтверждает правильность проведенного анализа. Рис. 6.4. Схема моделирования резонансного преобразователя
j 02 Глава 6. Резонансные DC—DC преобразователи с подключением нагрузки последовательно к резонансному контуру Рис. 6.5. Результаты моделирования в режиме ПТ Таблица 6.1 Параметры преобразователя, полученные расчетом и моделированием Расчет Моделирование иятв и ^ = 375 2 Цу.,пш = 375 и^ъ ^л = 625 2 625 4,А 86 (ф-лаб.1.4) 85 t/rwB 86 (ф-ла 6.1.6) 85 Критическое значение ?, при котором нарушается режим ПТ, согласно (6.1.7) равно: Приведенное к обмотке Wx критическое значение сопротивления нагрузки: Критическое сопротивление реальной нагрузки: Ом. На рис. 6.6 показаны диаграммы процессов в схеме, полученные моделированием в Spice, при RH = 2,5 Ом, то есть при сопротивлении нагрузки ниже критического значения (RHn< RHrjKp). Диаграмма тока iL показывает, что имеет место режим НТПО, выходное напряжение Рис. 6.6. Результаты моделирования в режиме НТ, уменьшилось и составляет ^н< КкР теперь 520 В.
6.2. Многорезонансный преобразователь 103j| Осциллограммы тока в контуре и напряжения на нижнем транзисторе, полученные на макете преобразователя, показаны на рис. 6.7. Сердечник трансформатора выполнен на феррите, имеющем сравнительно небольшую магнитную проницаемость, поэтому заметен ток намагничивания. Осциллограммы показаны для малой мощности в нагрузке (1250 Вт), при большей мощности ток намагничивания в кривой тока в контуре становится незаметным. Частота переключения составила 13,9 кГц, остальные данные преобразователя следующие: L = 8,8 мкГн; С= 8 мкФ; п = 1,81; RH= 304 Ом; Ueblx = 617 В; Uex = 680 В. Из этих данных определим RHn,f0 и используем (6.1.4) для расчета значения тока 1т\ 1 Из осциллограммы тока (рис. 6.7), учитывая масштаб 200 мВ/кл и чувствительность пробника тока 100 мВ/А, получим экспериментальное значение 1т = 7,4 А. Погрешность расчета и эксперимента составила немногим более 5%. В заключение данного параграфа следует сказать о том, что потери при включении транзисторов в схеме (в рассмотренном режиме) все-таки имеются и они происходят из-за разряда выходной емкости ключа при подаче на его затвор отпирающего импульса. При небольшой частоте переключения эти потери незначительны. В рассмотренном преобразователе при/<^и режиме ПТ нет возможности регулировать выходное напряжение, изменяя частоту, так как оно будет оставаться постоянным и равным Uexn/2. Подключая данный преобразователь к регулируемому конвертору (на вход резонансного DC-DC преобразователя или на его выход), можно управлять по требуемому закону выходной переменной — напряжением, током или мощностью. Рис. 6.7. Осциллограммы процессов в преобразователе, выходная мощность 1250 Вт 6.2. Многорезонансный преобразователь Из трех режимов (ПТ, НТПО и ПТД), рассмотренных в предыдущем разделе, первый не позволяет регулировать выходное напряжение изменением частоты переключения, а два других не обеспечивают переключение при нуле напряжения вследствие существования прямого тока через транзистор при его включении. Вследствие этого работа в режимах НТ и ПТД при высокой частоте переключения
Глава 6. Резонансные DC—DC преобразователи с подключением нагрузки последовательно к резонансному контуру транзисторов оказывается неэффективной, что связано с повышенными потерями в ключах. Для того чтобы добиться ПНН в резонансном преобразователе, необходимо создать индуктивный характер нагрузки для моста (полумоста) и, как предельный случай, вести работу точно в резонансном режиме. В преобразователе с подключением нагрузки последовательно с резонансным контуром, казалось бы, легко этого добиться, обеспечивая режим, когда частота переключения не меньше резонансной (f0<f). Посмотрим, какие при этом появляются особенности работы преобразователя. 6.2.1. Работа преобразователя при высокой частоте коммутации (f0 < f) Воспользуемся схемой замещения преобразователя (рис. 6.3), предполагая, что замкнут ключ К\, а ключ К1 — разомкнут. При^ </ ток iL запаздывает от напряжения на входе контура, то есть от переключаемого напряжения UJ2. При включенном К\ рассматриваем первый временной интервал с момента равенства нулю iL. Начальные условия первого интервала: Составив для схемы систему дифференциальных уравнений (ДУ) и решив ее, с учетом начальных условий, получим: (6.2.1) (6.2.2) (6.2.3) В (6.2.1—6.2.3) со0 — резонансная частота контура L, С, а индекс 1 соответствует первому интервалу. Отсчет времени (угла a>0t) в интервале Начинается с нуля, хотя от начала полупериода напряжения на входе контура уже прошло некоторое время (в угловых единицах некоторый угол а). Длительность полупериода входного напряжения контура в угловых единицах равна я/ц (|i =f/f0). Это следует из факта, что указанный полупериод заканчивается при со0/= со0Ту2. Длительность первого интервала составляет я/ц — а. В момент со0Ткя/(2ц) входное напряжение контура меняет знак, поскольку теперь замыкается ключ К1. Напряжение инпиа рис. 6.3 сохраняет первоначальный знак (полярность без скобок), поскольку не изменил знак ток в контуре. Теперь начинается новый интервал работы схемы, который назовем вторым. Время (угол co0t) в этом интервале отсчитываем опять от нуля. Начальные условия для второго интервала: где
6.2. Многорезонансный преобразователь I О! Составив систему ДУ для второго интервала и решив ее с учетом начальных условий, получим: где (6.2.4) (6.2.5) Второй интервал продолжается до тех пор, пока ток в контуре не станет равным нулю. Начиная с этого момента ток iL изменяет знак, кроме того напряжение UHn также изменяет знак (полярность в скобках на рис. 6.3). Получив соотношения для тока iL и напряжения ис на первом и втором интервалах, учтем следующее: 1. Ток приведенной нагрузки (1НП) равен среднему значению тока iL в первом и втором интервалах, то есть: (6.2.6) 2. Напряжение UCmin (начальное условие в первом интервале) равно с обратным знаком напряжению на конденсаторе С в конце второго интервала. Поэтому используя (6.2.5) и учитывая, что второй интервал заканчивается в момент со0/=а, получим: (6.2.7) 3. Ток iL в момент окончания второго интервала должен быть равен нулю, поэтому из (6.2.4) получим: (6.2.8) Уравнения (6.2.6—6.2.8) с учетом того, что UHJI= IHIJRHn, приводятся после преобразований к следующей системе из трех уравнений с тремя неизвестными VhiJU* UCmJUexwa\
W\ 06 Глава 6. Резонансные DC—DC преобразователи с подключением нагрузки vs^w последовательно к резонансному контуру (6.2.9) Система (6.29), где аргументами являются я/ц и ^ (Q = 2J;, где Q — добротность контура), является нелинейной, но ее можно решить, не прибегая к помощи компьютера. После алгебраических преобразований, в результате которых последовательно исключаются неизвестные сначала а, а затем UCmiJUex, получим квадратное уравнение, решением которого является выражение: (6.2.10) На рис. 6.8 показана зависимость UHIJ/Uex от параметра |i при различных значениях коэффициента затухания ? Из рисунка можно видеть, что при малых нагрузках (? -> 0) напряжение UHn и, следовательно, напряжение на выходе слабо зависит от изменения частоты. В замкнутой системе это приводит к неработоспособности преобразователя. Рассмотрим возможность расчета РП упрощенным методом, полагая, что электрическая цепь является линейной. Для Рис. 6.8. Зависимость напряжения UHn/ UBX этого необходимо представить, что к вто- от относительной частоты ц при различных ричНой обмотке подключено активное со- значениях коэффициента затухания % противление, а выпрямительные диоды отсутствуют. На входе электрической цепи действует симметричное прямоугольное напряжение с амплитудой UJ2. Полагая ток в индуктивности L синусоидальным, выделим из прямоугольного напряжения только 1-ю гармонику, создающую этот ток. Амплитуда 1-й гармоники равна 4- ?/вх/(2л). Аналогичная ситуация и на нагрузке, приведенной к первичной обмотке. Реально на этой нагрузке, сопротивление которой равно RHfJ, действует прямоугольное импульсное напряжение. Выделим из него 1-ю гармонику, амплитуда которой равна 4- UHn/n. Считая, что мощность в приведенном сопротивлении нагрузки создается только 1-й гармоникой, получим соотношение:
6.2. Многорезонансный преобразователь I О' где RlHn— условное расчетное сопротивление, которое позволяет исходную схему привести к линейной, поэтому получим: или (6.2.11) Теперь, принимая, что на входе цепи действует только синусоидальное напряжение, а последовательно с элементами Z, и С контура включено сопротивление R]HIT, определим отношение амплитуд на входе и выходе: где ^ = (о01/(2Л1НП), или, учитывая (6.2.11): ?, = n%/S, (^ = со01/(2Днп)). Следовательно, окончательно РХ преобразователя, определяемая приближенным методом, может быть записана: Результат сравнения расчета РХ по точной формуле (6.2.10) и по приближенной (6.2.12) показан на рис. 6.9, из которого можно видеть приемлемую относительную погрешность 5 при достаточно большом отношении частот рис. 6.9. Погрешность расчета по точной и коммутации и резонанса и любых зна- приближенной формулам регулировочной ха- чениях ?. рактеристики РП при последовательном под- Полученный результат позволяет и ключении нагрузки к контуру для других резонансных преобразователей применять приближенный метод расчета. 6.2.2. LLC-преобразователь Рассмотренные недостатки РП с LC-фильтром привели к поиску новых решений. Внимательное изучение возможностей РП, позволяющих получить ПНН при работе ключей, показало, что существуют десятки схем с тремя и более реактивными элементами, способных работать в преобразователях. Не все они равноценны и в
Глава 6. Резонансные DC—DC преобразователи с подключением нагрузки последовательно к резонансному контуру Рис. 6.10. LLC преобразователь Рис. 6.11. Схема замещения LLC-преобразователя большинстве своем имеют серьезные недостатки. В данном разделе рассматривается одна из наиболее интересных схем, относящаяся к классу многорезонансных преобразователей. Поскольку в ней используются две индуктивности и один конденсатор, схему называют LLC-преобразователем (рис. 6.10). Помимо резонансного дросселя L схема дополняется еще одной индуктивностью — Z,M, значение которой выбирается в несколько раз больше индуктивности L. При большой частоте преобразования, когда требуемая индуктивность L становится меньше, оказывается возможным в качестве индуктивности Z,M использовать индуктивность намагничивания трансформатора, приведенную к обмотке Wv Практически коррекцию требуемой индуктивности ?и можно выполнить, изменяя величину воздушного зазора в сердечнике. Хотя многорезонансные схемы преобразователей давно известны, их подробное рассмотрение для высокочастотных применений и, в частности, раскрытие возможностей LLC преобразователя, выполнено сравнительно недавно [107]. Полагая, что емкости конденсаторов CI, CI и Свых достаточно велики, а транзисторы 71, 72 переключаются симметрично, перейдем от схемы рис. 6.10 к схеме замещения, показанной на рис. 6.11. В ней напряжение UHn — приведенное к первичной обмотке напряжение вторичной стороны трансформатора — может быть прямоугольным или иметь более сложную форму в зависимости от режима работы выходных диодов. Поскольку точный расчет связан с определением всех возможных режимов, которые могут существовать в схеме, и при этом чрезмерно усложнен, целесообразно применить приближенный метод, показанный в п. 6.2.1. Считая напряжение Инп симметричным и прямоугольным, определим приведенное сопротивление нагрузки согласно (6.2.11). Ток в индуктивности L принимаем синусоидальным, полученным в результате действия первой гармоники Рис. 6.12. Схема замещения LLC-преобразователя для приближенного метода расчета
6.2. Многорезонансный преобразователь 109 импульсного напряжения с амплитудой UJ2. В результате приходим к новой схеме замещения LLC-преобразователя, показанной на рис. 6.12. В этой схеме на входе действует синусоидальное напряжение с частотой /(частота коммутации ключей), создающее на выходе — в точках д, б— также синусоидальное напряжение. Амплитуды первой гармоники напряжения на входе (U\m) и на выходе (Ulm) связаны с соответствующими импульсными напряжениями следующим образом: (6.2.13) В схеме замещения рис. 6.12 можно видеть два участка сопротивлений: Zn ZLmR. Сопротивление Z — комплексное сопротивление последовательно включенных элементов Си L, образующих контур с резонансной частотой со0 = 1/VZc. Сопротивление ZLmR — комплексное сопротивление, образованное параллельным соединением элементов L^ и Л1ЯЯ. Из схемы рис. 6.12 определим комплексную амплитуду напряжения на выходе: (6.2.14) где U\m— комплексная амплитуда напряжения на входе, фаза которой может быть принята произвольной, в том числе и нулевой. Записав сопротивления Zn ZLmR в комплексном виде: где со — круговая частота переключения транзисторов, и, учитывая соотношения (6.2.11), (6.2.13) и (6.2.14), получим: (6.2.15) где Выражение (6.2.15) является РХ LLC-преобразователя, определенной приближенным методом. Задав отношение LJL для различных значений частоты переключения со(/), получим значения относительной частоты ц,, связанные с параметром ц.
W\ 10 Глава 6. Резонансные DC—DC преобразователи с подключением нагрузки ^=*я# последовательно к резонансному контуру Первый член в квадратных скобках под корнем в знаменателе (6.2.15) показывает влияние на РХ двух контуров — L, С— параметр \х и ?м, С(|д,), работающих при XX, а второй — влияние LC контура с подключенной нагрузкой RHn. Семейство РХ LLC-преобразователя для различных значений ?, и LomH = = LJL = 5 показано на рис. 6.13. Там же проведена линия, которая ограничивает рабочую область преобразователя от ? = 0 до 0,5 (ц = 1). Под рабочей областью понимается область, в которой характер нагрузки для транзисторов, переключающихся с частотой со(/), является индуктивным, то есть область правее резонансного пика. Вследствие этого транзисторы переключаются с ПНН и динамические потери в них оказываются минимальными. Справедливость расчетов РХ по при- ближенной формуле (6.2.15) можно проверить, используя программу Spice. На рис. 6.14 показаны регулировочные характеристики LLC-преобразователя, построенные для нескольких значений ? по (6.2.15) и точно с помощью Spice. Расчет в Spice проводился без трансформатора, диоды мостового выпрямителя подключались непосредственно к индуктивности LM. Из рисунка можно видеть, что погрешность расчета, зависящая от ц, % и отношения LJL, может быть для данной схемы довольно значительной. Появление погрешности объясняется несинусодальностью токов, протекающих в реальной схеме, что в свою очередь происходит вследствие работы выходных диодов. При резонансе (ц = 1) ошибка расчета по формуле (6.2.15) минимальна. Используя приближенный расчет LLC- преобразователя и затем уточняя его с помощью Spice, можно получить достоверные результаты при значительной экономии времени. Рис. 6.13. Семейство регулировочных характеристик LLC-преобразователя, определенное согласно (6.2.15) Рис. 6.14. Сравнение регулировочных характеристик LLC-преобразователя, рассчитанных согласно (6.2.15) и с помощью моделирования в Spice Рассмотрим пример. Пусть требуется рассчитать силовую часть LLC-преобразователя при следующих исходных данных: ?/„ = 400...450 В, t/_ = 42...60B, ^ = 0...1500 Вт, fmax = 150 кГц (максимальная частота переключения).
6.2. Многорезонансный преобразователь I I I j| Зададимся индуктивностью намагничивания (Ц) силового трансформатора, приведенной к первичной обмотке, 70 мкГн. Примем отношение индуктивности Z,M к резонансной индуктивности L равным 5. Выбрав собственную частоту контура L, С равной максимальной частоте переключения (150 кГц), получим: Учитываем, что при ию = 450 В и 1/вых = 42 В рабочая точка на РХ находится в резонансе, то есть |i = 1, UHn/ U^=0,5. Определим отсюда коэффициент трансформации я=»У»г1= 42/225 = 0,19. При полной нагрузке на преобразователь и Ueblxmin имеем: Приведенное сопротивление нагрузки при Ugblxmin равно: Коэффициент затухания: Покажем другие значения ?, соответствующие при полной нагрузке на преобразователь другим входным и выходным напряжениям. При 1/выхтах имеем: Приведенное сопротивление нагрузки при этом будет равно: Коэффициент затухания при полной нагрузке и Ueblxmax: При промежуточном значении входного напряжения U^ = 425 В и номинальном выходном напряжении 54,4 имеем относительное напряжение, приведенное к первичной обмотке: Сопротивление нагрузки при полной мощности и номинальном выходном напряжении равно:
W\ 12 Глава 6. Резонансные DC—DC преобразователи с подключением нагрузки ^=^ последовательно к резонансному контуру Отсюда находим приведенное сопротивление нагрузки и коэффициент затухания, соответствующие РДши 1/выхном: Из РХ (рис.6.13) для Ufjjj/U^ = 0,67 и ? = 0,12 получим значение щ, равное примерно 0,62. Абсолютное значение частоты переключения для данной рабочей точки: /2 = И/о = 0,62-150 = 93 кГц. Минимальное значение частоты переключения, соответствующее условию: можно определить опять из рис. 6.13: В LLC-преобразователе благодаря форме тока во вторичной обмотке, отличной от прямоугольной, выключение каждого выходного диода происходит либо при небольшом токе, либо при токе, близком к нулю. Вследствие этого потери на переключение диодов минимальны. Кроме того, поскольку напряжение на вторичной обмотке прямоугольное и симметричное, напряжение на запертом диоде при использовании двухполупериодной схемы выпрямления со средней точкой не превосходит 21/выхмах. Поэтому в выпрямителе даже при максимальном выходном напряжении 60 В можно использовать диоды Шоттки с допустимым напряжением 150 или 180 В, что позволяет получить меньшее прямое падение на диоде по сравнению с обычным кремниевым. Электромагнитные (радио-) помехи, создаваемые преобразователем, так же уменьшаются по сравнению с любым DC-DC преобразователем, работающим на основе ШИМ с мягким переключением. Все это в совокупности позволяет говорить о том, что резонансные DC-DC преобразователи и, в частности LLC-преобразователи, способны в отдельных областях применения составить серьезную конкуренцию преобразователям с ШИМ. Явный недостаток рассмотренных преобразователей заключается в сложности регулирования выходного напряжения при легких нагрузках (? -> 0) при требуемом малом значении отношения U^/U^, что можно видеть из рис. 6.13 и 6.14. Поэтому если, например, требуется стабилизация выходного напряжения в режиме, близком к холостому ходу, приходится применять специальные меры, усложняющие и удорожающие преобразователь.
ЧАСТЬ II. ОСНОВЫ ТЕОРИИ УПРАВЛЕНИЯ СИСТЕМ С ОБРАТНОЙ СВЯЗЬЮ ГЛАВА 7 НЕПРЕРЫВНЫЕ ЛИНЕЙНЫЕ СИСТЕМЫ АВТОМАТИЧЕСКОГО УПРАВЛЕНИЯ В данной главе изложены в сжатом виде необходимые сведения, позволяющие получить представление о принципах работы и методах построения систем автоматического управления. Без общего представления о системах с обратной связью невозможно проектирование и создание почти всех типов преобразовательных устройств с выходом на постоянном или переменном токе, работающих в качестве источников напряжения или источников тока. Осветить все проблемы, связанные с управлением различных динамических систем, в данной книге невозможно и вряд ли необходимо. Поэтому, без попыток «объять необъятное», в данном разделе рассматриваются только детерминированные и стационарные системы, кроме того, изложение ведется для линейных систем, а там, где необходимо, показано, каким образом проводится их линеаризация. 7.1 • Реакция динамической системы на входное воздействие Для линейной системы справедлив принцип суперпозиции. Если на вход такой системы приложено несколько (например, три) сигналов, изменяющихся во времени, Vj(/), v2(t) и v3(0, то входной сигнал приведет к появлению выходного сигнала y(t): при любых воздействиях v^/)..^/) и постоянных величинах СХ...СУ Сказанное является вариантом формулировки принципа суперпозиции. Любая система, состоящая из линейных звеньев, также является линейной. Пример. Для некоторой системы существует связь между входным и выходным сигналами: Пусть входной сигнал v(/) образован двумя воздействиями:
d4 Глава 7. Непрерывные линейные системы автоматического управления Тогда Принцип суперпозиции не выполняется, следовательно, рассматриваемая система нелинейная. Детерминированной называется система, в которой каждому входному сигналу соответствует только один выходной сигнал. В недетерминированной (стохастической) системе входному сигналу может соответствовать несколько выходных, причем каждый из них может появиться с определенной вероятностью. Динамическая система называется стационарной при взаимной связи между входом и выходом, не зависящей от времени. Выясним, каким образом определяется реакция линейной системы на произвольный входной сигнал. Воспользуемся для этого сигналом определенной формы, называемым импульсной функцией. 7.1.1. Импульсная функция, ее свойства Импульсная функция, которая относится к классу специальных функций, может быть образована с помощью другой функции, показанной на рис. 7.1. Она равна нулю при / < О, имеет постоянную производную до момента t = А и единичное значение при t > А. Аргументом функции в нашем рассмотрении является время. Пунктиром показана функция Fnpn другом наклоне. Функцию, представляющую собой производную функцию F, назовем F0: График функции F0 для различных значений А показан на рис. 7.2. Очевидно, что чем меньше величина А, тем больше амплитуда импульса F0. Площадь импульса функции F0 при уменьшении А остается неизменной и равной единице. В пределе при А —> 0 функция F0 становится функцией, обозначаемой обычно 5 и называемой дельта-функцией, или функцией Дирака. Предел амплитуды импульса функции F0 при А -> 0 стремится к оо, причем при всех значениях аргумента за исключением t = 0 функция 5 равна нулю. Две функции 5 при разных значениях аргумента показаны на рис. 7.3. Некоторые свойства функции 5: Рис. 7.1. Вспомогательная функция, поясняющая образование импульсной функции Рис. 7.2. Функция FQ — производная вспомогательной функции F
7.1. Реакция динамической системы на входное воздействие а (7.1.1) (7.1.2) где 1 — единичная ступенчатая функция (единичный скачок). Функция 1 получается из функции F(pnc. 7.1) при А -> 0. Если fit) непрерывная функция, а е — достаточно малое число, то: что следует из (7.1.1). Из последнего равенства следует еще одно свойство 8-функции: (7.1.3) 7.1.2. Связь непрерывного сигнала с б-функцией Рис. 7.3. Дельта-функция при разных значениях аргумента Непрерывную функцию и, в частности, входной сигнал — непрерывную функцию времени — можно представить в виде ряда элементарных функций, которыми могут быть, например, 5-функция или единичный скачок (1(0) [43]. Покажем, каким образом непрерывный входной сигнал может быть представлен посредством 8-функций. Входной непрерывный сигнал v(0 (рис. 7.4) может быть приближенно представлен в виде функции v(X), где X переменная, дискретно изменяющаяся на величину А. Для произвольного момента кАХ значение функции v(kAX), совпадающее со значением v(/), может быть выражено как произведение v(kAX)AX8(t — kAX). Произведение v(kAX) AX представляет собой площадь прямоугольного импульса, начало которого расположено в точке кАХ. Чем меньше интервал АХ, тем точнее функция v(X) приближается к функции v(/) при значениях / * кАХ. Если входной сигнал определен для любых значений /, то он приближенно может быть представлен в виде ряда: Рис. 7.4. Функция v(X), аппроксимирующая функцию v(t) (7.1.4)
CJj Глава 7. Непрерывные линейные системы автоматического управления При ЛА,^0 имеем: Система реагирует на 8-импульс, приложенный в момент времени кАХ, и эту реакцию принято обозначать h(t, кАХ), называя ее импульсной характеристикой или импульсной переходной функцией [17, 73]. От каждого 5-импульса с учетом приложенного входного сигнала на выходе появляется реакция (переходной процесс): v(kAX)h(t, кАХ). Поскольку рассматривается линейная система, для которой справедлив принцип суперпозиции, на выходе появляется сигнал, аппроксимируемый с помощью ряда: При АХ,->0 получим точное выражение: (7.1.6) Поскольку при t< X, h(t, X) = О, что свойственно системе без упреждения, верхний предел в (7.1.6) заменяется на /. Если считать, что при / < О v(/) = 0, нижний предел равен нулю. В стационарной системе, кроме того, выходной сигнал от момента приложения входного воздействия не зависит. Поэтому можно записать: Уравнение (7.1.6) для стационарной системы без упреждения с учетом равенства входного сигнала нулю при / < О запишется в виде: (7.1.7) При замене переменных а = t—X соотношение (7.1.7) приводится к виду (после преобразований переменную а можно опять заменить на X): (7.1.8) Операция определения сигнала y(t) согласно (7.1.7) и (7.1.8) называется сверткой: (7.1.9) 7.2. Преобразование Лапласа Огромное число задач анализа и синтеза САУ решается с использованием преобразования Лапласа — математического аппарата, предложенного сначала для более быстрого решения дифференциальных уравнений. Если функцияJ(t) вещественной переменной /преобразуется в функцию F(s) комплексной переменной s в соответствии с равенством:
7.2. Преобразование Лапласа II Тш (7.2.1) то такое преобразование называется преобразованием Лапласа (односторонним). Переменная s равна а +усо, где у — мнимая единица. Функция^/) должна быть определена в интервале 0< К оо. Кроме того, должно выполняться неравенство (7.2.2) в которой ас — действительная часть переменной s — абсцисса абсолютной сходимости, являющаяся положительным числом. При g> gc имеем область сходимости интеграла (7.2.2). Обратное преобразование Лапласа выполняется по формуле: (7.2.3) Прямое и обратное преобразования Лапласа принято обозначать символами: F(s) = L{At)}nAt) = L-[{m}. Функция .ДО называется оригиналом, а функция f{s) — изображением. Пример. Определить изображение по Лапласу функции/(0 = е", где а — действительное число, />0. Из (7.2.1) определим: Область сходимости а<с (а = Re(s)). Для а = О имеем J{t) = 1 и при 0< t < оо Область сходимости Лф) > 0. Пример. Определить изображение функции Д/) = /. Используя определение (7.2.1), находим: При подстановке верхнего предела необходимо раскрыть неопределенность вида оо-О.
W\ 18 Глава 7. Непрерывные линейные системы автоматического управления Таблица 7.1 Одностороннее преобразование Лапласа некоторых функций Рис. 7.5. Контур интегрирования для нахождения обратного преобразования Лапласа 1 2 3 4 5 6 At) 5(0 КО г tn-\ (ll-l) s/n <в/ COS CD/ *W 1 1 5 1 s2 1 CO 1 7w 5 1 Таким образом, после подстановки пределов интегрирования получим: В табл. 7.1 представлены некоторые оригиналы и изображения при одностороннем преобразовании Лапласа. Многие изображения F(s) легко определяются, если применяются свойства преобразования Лапласа. Двухстороннее преобразование Лапласа, в котором оригинал f(t) определен от —оо до оо значений аргумента, вычисляется по соотношениям: (7.2.4) (7.2.5) Двухстороннее преобразование Лапласа определено в полосе сходимости а < Re(s) < (3. Двухстороннее преобразование Лапласа при подстановке s = усо переходит в преобразование Фурье. При рассмотрении САУ используют одностороннее преобразование Лапласа, определяемое по формулам (7.2.1, 7.2.3). 7.2.1. Свойства преобразования Лапласа Преобразование Лапласа обладает рядом важных свойств, некоторые из которых доказываются ниже. Более полный перечень свойств преобразования Лапласа с их доказательствами можно найти в [43, 14, 36].
7.2. Преобразование Лапласа M9j| Свойство 1. Линейность. (7.2.6) Например, для двух значений v имеем: Свойство 2. Смещение аргумента оригинала (запаздывание). В предположении, что/(7-Х) = 0 при t<X: Для доказательства введем новую переменную t'= t—X. Поскольку X — фиксировано (например, момент появления воздействия), имеем dt'= dt. Поэтому, используя определение (7.2.1), получим: Данное свойство можно сформулировать следующим образом: задержке аргумента на величину X соответствует умножение изображения функции Л О на esX. Пример. Определить изображение функцииДО = 5 — 3cas(a>/— a). Используя изображения функции 1(/) и coscot (таб. 7.1), а также свойства линейности и запаздывания оригинала, получим: Свойство 3. Дифференцирование оригинала. ЕслиД/) непрерывная, дифференцируемая на (0, оо) функция, то: Последний интеграл, учитывая, что/— функция переменной /, берется по частям. Поэтому, произведя интегрирование, получим: В первом слагаемом \\m\e 5,/(0] должен Равняться нулю, поскольку полагается, что Д/) является оригиналом для преобразования Лапласа. Поэтому окончательный результат преобразования от производной функции записывается в виде:
120 Глава 7. Непрерывные линейные системы автоматического управления Обобщение свойства 3 для производной/л)(/). Если функция J{t) дифференцируема п раз на (0,оо) и если/л)(/) есть оригинал, то из факта, что F(s) есть изображение/(/)> следует: Данное свойство получается из свойства дифференцирования оригинала применением метода индукции. Свойство 4. Интегрирование оригинала. Если 1{Д/)} = Л*)> то: Для доказательства положим: Тогда ф(0) = 0 и согласно свойству дифференцирования оригинала имеем: Следовательно, sF^s) = F{s) и F{(s) = F{s)/s. Свойство 5. Свертка функций (произведение изображений). Если//) и ф(/) непрерывные функции на отрезке [0, оо], то их свертка, обозначаемая f*cp - функция, определяемая равенством: Свертка, как и исходные функции, непрерывна на [0, оо]. Преобразование Лапласа от свертки может быть записано: Изменим порядок и пределы интегрирования, учитывая, что нижний предел внутреннего интеграла становится равным и: Представим последнее выражение следующим образом: Замена аргумента f = t— и приводит к результату:
7.2. Преобразование Лапласа или где L (Д/)} = F(s) и 1{Ф(/)} = Ф(*). Обратное преобразование функции F(s) Ф($) означает: Пример. Найти изображение функции: Функция \|/(/) - свертка функций J{t) = е' и ф(0 = /. Используя свойство умножения изображений и табл. 7.1, получим: Экспоненциальная функция ел является импульсной характеристикой звена первого порядка (реакцией на 8-импульс). Поэтому, выполнив по полученному изображению L{\\/(f)} обратное преобразование Лапласа, получим выходной сигнал при воздействии на вход линейно возрастающего сигнала ср(/) = /. При этом нет необходимости находить непосредственно свертку функций^О и ф(/). 7.2.2. Обратное преобразование Лапласа Функции комплексной переменной, встречающиеся в САУ, часто представляют собой отношение двух полиномов от s. В таком случае функция будет аналитической, кроме тех точек, где знаменатель равен нулю. Функция является аналитической в точке столько тогда, если она однозначна и имеет единственную ограниченную производную в данной точке. В свою очередь, производная оказывается единственной в данной точке s, если выполняются условия Коши-Римана [20]. Интеграл обратного преобразования можно представить в следующем виде: (7.2.7) где R — значение мнимой части s на вертикальной прямой, по которой ведется интегрирование. На рис. 7.5 показана прямая вертикальная линия ABD, выбранная как путь интегрирования в выражении (7.2.7). На этом же рисунке DEFGA — полуокружность радиуса R, который можно беспредельно увеличивать. Поскольку обычно при t > 0 выполняется равенство: путь интегрирования по прямой ABD можно заменить контуром ABDEFGA. Так как теперь интегрирование ведется по замкнутому контуру, результат будет ненулевым
122 Глава 7. Непрерывные линейные системы автоматического управления только в том случае, если внутри контура (слева от прямой ABD) имеются особые точки. В этом случае для произвольной функции G(s), не являющейся аналитической в нескольких точках внутри контура С, можно воспользоваться теоремой вычетов. Согласно этой теореме (7.2.8) где Re $[(?(.?)] — вычет функции G(s) в особой т-й точке S0, находящейся внутри контура интегрирования; п — число особых точек. Кружок на знаке интеграла в (7.2.8) означает, что интегрирование ведется по замкнутому контуру, а направление обхода против часовой стрелки. Вычет функции комплексного переменного G(s), представляющий собой коэффициент с индексом -1 в разложении этой функции в ряд Лорана, определяется по формуле: Возвращаясь к интегралу обратного преобразования (7.2.7), запишем функцию fit), применив теорему вычетов (7.2.8): (7.2.9) где т - m-я особая точка функции F[s)e*\ находящаяся в контуре ABDEFGA. Формула (7.2.9), где интегрирование ведется слева от прямой ABD, позволяет определить оригинал преобразования Лапласа при / > 0. В общем случае вычет в точке s0 для полюса кратности г функции Fis)?' равен: (7.2.10) Для простого полюса s0 вычет F(s)€^ определяется согласно (7.2.10) при г = 7: (7.2.11) Если функция F(s) представляет собой отношение двух многочленов и степень знаменателя Q(s) превосходит степень числителя P(s), соотношение (7.2.11) переходит в следующее: Другой способ определения оригинала, когда функция F(s) — отношение двух многочленов, заключается в разложении ее на элементарные дроби и нахождении обратного преобразования с помощью таблиц. Общая форма рациональной функции F{s), представляющей собой отношение двух полиномов:
7.2. Преобразование Лапласа I23j| (7.2.12) При условии, что степень знаменателя выше, чем степень числителя, разложение F(s) на простые дроби приводит к выражению: где (7.2.13) 5, — корень кратности г. В общем случае коэффициенты разложения для кратного корня записываются в виде: Обратное преобразование после разложения F(s) на элементарные дроби определяется с помощью таблиц, в которых приводятся распространенные функции и их преобразования Лапласа. Рассмотрим пример. Найти обратное преобразование для функции: Найдем решение двумя способами. 1-й способ. Используем разложение на простые дроби функции: затем с помощью свойства о смещении аргумента оригинала определим L[{F(s)}. С помощью (7.2.13) находим: '2 , ^3 где символ * означает, что данная переменная является комплексно-сопряженной s2.
им 24 Глава 7. Непрерывные линейные системы автоматического управления При одном нулевом и двух комплексно-сопряженных корнях оригинал функции ^(^записывается в виде: Если положить s2 = а +у'Р, можно записатьfx(f) более компактно: Подставив в последнее соотношение значения а, р и К2, равные получим: На основании свойства 2 преобразование Лапласа: При/<2/(/-2) = 0. 2-й способ. Применим теорему вычетов (обратное преобразование (7.2.9)). Получен тот же результат, что и при разложении на простые дроби и применении свойства о смещении аргумента оригинала.
7.2. Преобразование Лапласа 7.2.3. Передаточная функция и частотная характеристика Воспользуемся сверткой функций (7.1.8), считая, что входное воздействие v(t) равно экспоненциальной функции е5*, где s — комплексное число а +усо. Тогда Преобразуем это выражение, учитывая, что интегрирование производится по переменной X. (7.2.14) Здесь мы воспользовались определением преобразования Лапласа, из которого следует, что H(s) называется передаточной функцией (ПФ) звена или системы автоматического управления. Можно видеть, что ПФ — это изображение по Лапласу реакции звена (системы) на сигнал в виде 8-функции. Общее определение: ПФ это отношение изображения выходного сигнала (Y(s)) к изображению входного (K(s)) при нулевых начальных условиях. Другими словами, ПФ определяется для системы, у которой при / = 0 не запасена энергия. Таким образом: (7.2.15) Передаточная функция может быть найдена непосредственно из дифференциального уравнения системы. Пример. Система описывается дифференциальным уравнением 3-го порядка: Перейдем к изображениям входного и выходного сигналов, учитывая свойство преобразования Лапласа о дифференцировании оригинала: Искомая ПФ: Для электрических и электронных схем ПФ находятся на основании методов теории цепей, операторной формы записи сопротивлений ветвей, а также с помощью правил, распространяемых на электронные элементы, таких как, например, ОУ. Здесь мы не рассказываем о специально создаваемых моделях для анализа динамики поведения схем.
126 Глава 7. Непрерывные линейные системы автоматического управления Пример. Для схемы, показанной на рис. 7.6, д, определить передаточную функцию, характеризующую прохождение сигнала от входа (и^) к выходу (ив J. Преобразованная схема, в которой емкостные сопротивления показаны в операторном виде, а входной и выходной сигналы в форме изображений, представлена на рис. 7.6. Положительные напряжения показаны на рис. 7.6, а, б. Наша задача заключается в определении отношения Ueblx(s)/Uex(s) при нулевых начальных условиях. Мы можем записать. где I^s) — изображение тока, проходящего через сопротивление R2. Составим систему уравнений методом контурных токов, где неизвестными являются токи Рис. 7.6. Электрическая цепь (а) и ее I^s), I2(s) и I3(s) (рис. 7.6 б). Можно видеть, что представление в операторном виде (б) I^s) = I3(s), поэтому в результате решения системы уравнений для нахождения требуемой ПФ должен быть определен ток Lis). В записанной системе обозначение Сэ — результат последовательного соединения конденсаторов с емкостями С, и С2: Для нахождения контурных токов определим детерминант системы:
7.2. Преобразование Лапласа 12/)| В результате вычислений после преобразований получим результат: Определитель Дл, необходимый для нахождения тока I3(s), получается в результате замены правого столбца определителя Л на столбец, образованный правыми частями исходных уравнений: Используя полученные результаты (А и Ад) и выполнив несложные преобразования, получим требуемую ПФ в виде: Полученное выражение для H(s) показывает, что рассматриваемая схема является с точки зрения САУ звеном второго порядка (знаменатель содержит оператор s во второй степени). Характерным, как и для многих реальных звеньев, является то, что степень числителя (высшая степень s) меньше степени знаменателя. Отметим некоторые особенности поведения данного звена, которые можно выявить, при рассмотрении ПФ H(s). Подавая на вход напряжение в виде единичного скачка, изображение которого равно l/s, получим: Значение выходного напряжения в начальный момент времени (теорема о начальном значении оригинала) [43]: Результат вполне естественный, поскольку в схеме на рис. 7.6, а емкость С2, как впрочем и емкость Ср не может мгновенно изменить свой заряд. При /-> оо, когда выходное напряжение будет иметь установившееся значение, используется соотношение, которое следует из теоремы о конечном значении [43]. При единичном скачке входного напряжения получим:
'm\ 28 Глава 7. Непрерывные линейные системы автоматического управления Корни знаменателя ПФ принято называть полюсами, а корни числителя — нулями. Они имеют исключительно важное значение для поведения любого звена или системы автоматического управления. В схеме для рассматриваемого примера сделаем одно изменение: положим R3 равным нулю. В этом случае ПФ цепи изменится и примет следующий вид: Отличия, полученные при R3 = О ПФ от ПФ исходной схемы, значительные: схема представляет теперь звено первого порядка (первая степень s в знаменателе), и, кроме того, степени числителя и знаменателя теперь равны. Понижение порядка звена при R3 = О объясняется тем, что в новой схеме произвольно может изменяться и является переменной величиной напряжение только на одной емкости (Сх или С2). Напряжение на другой определяется по известному входному напряжению и напряжению на первой емкости. Равенство степеней числителя и знаменателя физически означает, что подача единичного скачка напряжения на вход приведет к появлению напряжения на выходе в момент / = 0. Воспользуемся снова теоремой о начальном значении оригинала (R3 = 0, единичный скачок на входе): При /-> оо: Произведя непосредственное деление числителя Н (s)| на знаменатель, ПФ приведем к виду: 3~ Первое слагаемое в последнем выражении показывает изменение напряжения на выходе при скачке напряжения на входе, а второе отражает динамические свойства цепи при R3 = 0. Эти свойства зависят от соотношения между постоянными времени С,R] и C2R2. При C2R2 > CXRX знак числителя во втором слагаемом положительный, а при — C2R2 < С, Л, — отрицательный. Воспользуемся еще раз соотношением (7.2.14): y(t) = H(s)e«. Представим комплексный оператор s какую, то есть его действительную часть (а) положим равной нулю.
7.3. Структурные схемы САУ 12^ Тогда имеем право записать: (7.2.16) где ej0it — сигнал на входе, представляющий собой гармоническое воздействие. Например, если входной сигнал v(/) = sin со/, то его можно представить как: то есть как мнимую часть выражения из формулы Эйлера. Тогда выходной сигнал записывается в виде: Выражение #(/со) = \Я\е^ называется частотной характеристикой звена или системы. \Н\ — модуль характеристики и Э — ее фаза. Как модуль, так и фаза частотной характеристики являются функциями частоты входного сигнала. Частотная характеристика #(/со) и ее представления в виде годографа на комплексной плоскости или в виде логарифмических характеристик широко используются при анализе и синтезе систем управления. 7.3. Структурные схемы САУ Описание любой САУ выполняется достаточно просто, если каждое звено описывается своей передаточной функцией. Конечной целью такого описания является получение ПФ системы при задающих и возмущающих воздействиях. Соединение отдельных звеньев образует структурную схему системы. Вся структурная схема может быть любой сложности, но с помощью нескольких правил можно ее упростить с целью получения требуемой ПФ. При анализе структурной схемы САУ предполагается, что сигнал от каждого звена проходит только в одном направлении (от входа к выходу), а последующее звено не «нагружает» предыдущее, то есть не изменяет его свойств. На структурных схемах показываются изображения сигналов. Основные правила, используемые при анализе структурных схем САУ, сводятся к следующим: 1) последовательное соединение звеньев, 2) параллельное соединение звеньев, 3) звено, охваченное обратной связью, 4) перенос суммирующего элемента, 5) перенос точки съема сигнала. Рассмотрим вкратце перечисленные правила. Последовательное соединение звеньев Рассмотрим п последовательно включенных звеньев, имеющих различные передаточные функции W^s) (рис. 7.7). Имеем п уравнений:
130 Глава 7. Непрерывные линейные системы автоматического управления Рис. 7.7. Последовательное соединение п звеньев Исключив промежуточные переменные из этих уравнений последовательной подстановкой входного сигнала в каждое последующее звено, получим: и следовательно: (7.3.1) Передаточная функция последовательно соединенных звеньев равна произведению передаточных функций отдельных звеньев. Цепь последовательно включенных звеньев можно представить одним звеном с ПФ W(s). С другой стороны, ПФ какого-либо звена иногда полезно представить как соединение двух или более последовательно включенных звеньев. Рис. 7.8. ОУ с последовательной цепью С, Л2, включенной между выходом и инверсным входом Пример. На рис. 7.8 показан ОУ с последовательной цепочкой, состоящей из емкости Си сопротивления R2 и включенной между выходом и инверсным входом усилителя. На рисунке показаны условные положительные напряжения на входе и выходе усилителя. Приняв коэффициент усиления по напряжению усилителя К-> <х>, считая, что входные точки ОУ пренебрежимо малы, а напряжения на прямом и инверсном входах равны, получим по 2-му закону Кирхгофа (все напряжения отсчитываются от общей «земли»): Выразим отсюда ток I^s): Напряжение в точке а: Это напряжение равно нулю вследствие эквипотенциальное™ входов ОУ. Следовательно, подставив в последнее уравнение выражение для тока I{(s), получим уравнение, связывающее между собой Uex(s) и Ueblx(s): Передаточная функция рассматриваемой цепи оказывается равной:
7.3. Структурные схемы САУ 131 где TX = CRX; T2 = CR2. Знак минус означает, что фактический знак выходного напряжения не соответствует условно принятому (сигнал подается на инверсный вход ОУ). Полученную ПФ можно представить как произведение трех ПФ отдельных звеньев: В последней формуле первый сомножитель в правой части — ПФ инвертирующего усилителя с коэффициентом передачи — 1, второй — ПФ интегрирующего звена и, наконец, третий — ПФ реального дифференцирующего звена. Параллельное соединение звеньев При параллельном соединении звеньев все они получают один и тот же входной сигнал, а их выходные сигналы суммируются (рис. 7.9). Выходной сигнал Хп+2(s), как было определено, равен сумме выходных сигналов отдельных звеньев, которые, в свою очередь, можно выразить через передаточные функции: Рис. 7.9. Параллельное соединение п зве- ^ г- ^^ НЬеВ Таким образом, ПФ нескольких параллельно включенных звеньев равна сумме передаточных функций этих звеньев: (7.3.2) Звено с обратной связью На рис. 7.10 показано звено с ПФ Wx{s), выход которого (X2(s)) поступает на вход второго звена с ПФ W2(s). В свою очередь, выход второго звена алгебраически суммируется с сигналом X(s), который является входным для данного соединения звеньев. Сектор сумматора со знаком «—» показывает, что сигнал X3(s) (выход звена с ПФ W2(s)) вычитается из входного сигнала X(s). Но может быть и другая ситуация, когда на сумматор поступают два сигнала с одинаковым знаком. Звено W2(s) в данном соединении звеньев выполняет обратную связь, которая может быть отрицательной, как показано на рис. 7.10, или положительной. Как видно из рис. 7.9 и 7.10, сумматоры являются важными элементами структурных схем САУ. Выходной сигнал x2(s) может быть определен с помощью ПФ Wx(s)\ Рис. 7.10. Звено Wx(s) с отрицательной обратной связью W2(s)
|П 32 Глава 7. Непрерывные линейные системы автоматического управления В свою очередь, сигнал на выходе сумматора (на входе первого звена): где знак «минус» относится к отрицательной обратной связи, а «плюс» — к положительной. Представив Xx(s) из последнего уравнения в выражение для выходного сигнала X2(s), получим: Отсюда получим ПФ звена, охваченного обратной связью: (7.3.3) В последнем выражении знак «плюс» относится к звену с отрицательной, а знак «минус» — к звену с положительной обратной связью. Принято называть звено, ПФ которого находится в числителе (7.3.3), прямой частью системы. Произведение передаточных функций в знаменателе этого же выражения, на- Рис. 7.11. Перенос суммирующего элемента в структурных схемах Рис. 7.12. Перенос точки разветвления в структурных схемах
7.3. Структурные схемы СЛУ I33j| зывается ПФ разомкнутой системы или разомкнутого контура. Действительно, произведение передаточных функций, в данном случае Wx{s), W2{s), получается при размыкании в любом месте замкнутого контура. Перенос суммирующего элемента (сумматора) При переносе сумматора по направлению прохождения сигнала в переносимую ветвь на его входе необходимо включить передаточные функции звеньев от прежней до новой точки суммирования (рис. 7.11, а). При переносе сумматора в сторону, противоположную прохождения сигнала, в переносимую ветвь на его входе необходимо включить обратные передаточные функции звеньев от новой до прежней точки суммирования (рис. 7.11, б) Перенос точки разветвления При переносе точки разветвления вперед по направлению прохождения сигнала в переносимую ветвь необходимо включить обратные передаточные функции звеньев от новой до прежней точки разветвления (рис. 7.12, а). При переносе точки разветвления назад, в сторону противоположную прохождению сигнала, в переносимую ветвь необходимо включить передаточные функции звеньев от прежней до новой точки разветвления (рис. 7.12, б). Можно видеть, что правила преобразования при переносе суммирующего элемента и точки разветвления являются взаимно обратными. Задача преобразования сложной многоконтурной схемы заключается в приведении ее к одноконтурной с целью получения уравнения замкнутой системы. Если воздействий (возмущающих и задающих) несколько, то, применяя принцип суперпозиции, сначала находят передаточные функции от каждого воздействия. Выходной сигнал (изображение) равен соответствующей передаточной функции замкнутой системы умноженной на изображение входного воздействия. Затем суммированием определяется результат всех воздействий на выходной сигнал. Рассмотрим преобразование структурной схемы, состоящей из двух замкнутых контуров (рис. 7.13, а). В схеме действуют два сигнала, один из которых является задающим (X3(s)), а другой — возмущающим воздействием (Xe(s)). Необходимо определить передаточные функции Рис. 7.13. Структурная схема управления с двумя по каждому из сигналов, а также вы- контурами (а) и схема, полученная в результате ходной сигнал (Y(s)). преобразования (б)
134 Глава 7. Непрерывные линейные системы автоматического управления Часть схемы, показанную пунктиром на рис. 7.13, д, рассматриваем как звено с обратной связью. От исходной схемы переходим к новой, показанной на рис. 7.13, б. Передаточная функция WJis) равна: Передаточная функция по задающему воздействию: Произведение WJ^s) W3(s) WA(s) представляет собой передаточную функцию разомкнутого контура на рис. 7.13, б. Передаточная функция по возмущению: При выводе формул для W3(s) и We(s) использовались правила определения передатной функции звена с обратной связью и определения передаточной функции последовательно соединенных звеньев. Подставив в формулы для W3(s) и We(s) выражение для передаточной функции WJ,s), окончательно находим: и Выходной сигнал от действия сигналов X?s) и Xe(s) равен: Современные вычислительные программы имеют набор средств для определения передаточных функций замкнутых систем управления любой сложности. Тем не менее, важно знать основы упрощения исходных сложных структурных схем и уметь определять выходные сигналы по известным входным. 7.4. Временные параметры переходного процесса Переходный процесс выходного сигнала системы управления характеризуется несколькими параметрами, которые используются при разработке и эксплуатации изделия. Определяя параметры процесса, исходят из того, что на входе действует управляющий или возмущающий сигнал в виде единичного скачка 1(/). На рис. 7.14 показан переходной процесс сигнала y(t) и его параметры. Они носят следующие названия: 1) время нарастания tr (rise time) — время, необходимое для достижения выходных сигналов значения, близкого к новому установившемуся. Обычно время /^определяется при изменении сигнала у от 0,1 до 0,9;
7.4. Временные параметры переходного процесса 13 2) время, за которое выходной сигнал достигает максимального значения, называется tp (peak time); 3) время окончания переходного процесса ts (settling time) — время, за которое процесс заканчивается. Время ts несколько зависит от оценки (меры), когда именно переходной процесс считается завершенным. Приемлемые оценки окончания процесса составляют от 1 до 10% отклонения от нового установившегося значения y(t). Мы будем считать процесс завершившимся, когда значения y(t) входят в «трубку» ±1 % от установившегося значения. Выброс Мр — максимальное превышение выходным сигналом в переходном процессе установившегося значения. Параметр Мр (overshoot Mp) может выражаться в процентах. Количественно названные параметры зависят от конкретной реальной системы, свойства которой в переходном процессе определяются ПФ замкнутого контура. Удобно связать параметры /г, tp, tsnMpc передаточной функцией системы второго порядка, приняв эту систему за некоторую базу [73]. Получим ПФ системы второго порядка из анализа электрической цепи (LC-контура), часто применяемой в преобразовательной технике в качестве низкочастотного фильтра (рис. 7.15). ПФ схемы легко получается при использовании метода контурных токов и операторного метода (рис. 7.15, б) при условии, что начальные условия — ток в дросселе и напряжение на конденсаторе принимаются нулевыми. Искомая ПФ по напряжению: Рис. 7.14. Переходный процесс и параметры 4, /., /s и Л/Р Для схемы рис. 7.15, б составим систему алгебраических уравнений. (7.4.1) Рис. 7.15. Электрическая схема LC-контура (а) и ее операторное представление (б)
иП 36 Глава 7. Непрерывные линейные системы автоматического управления Применяя правило Крамера для тока I2(s), прежде всего необходимо найти определитель системы: Определитель Ап находится замещением второго столбца определителя А правой частью системы (7.4.1). Ток I2(s) равен: Искомая передаточная функция: Обозначим: — собственная (резонансная) частота LC-контура; - коэффициент затухания. Тогда ПФ ZC-контура примет вид: (7.4.2) Найдем корни знаменателя ПФ, называемые полюсами: Можно видеть, что первое слагаемое корней всегда отрицательное действительное число, а второе может быть или действительным, или мнимым в зависимости от того, меньше или больше единицы число ?. В тех случаях, когда выполняется неравенство О < ? < 1, корни s{ и s2 являются комплексными:
7.4. Временные параметры переходного процесса 13 Модуль мнимой части корней sx и s2 называется собственной частотой затухающих колебаний, которая ниже резонансной частоты контура: соп На комплексной плоскости корни sl9 s2 перемещаются по полуокружности с радиусом, равным модулю корней со0. Угол между мнимой осью и полюсом 0 равен arcsin ?, остальные обозначения показаны на рис. 7.16. Для схемы, показанной на рис. 7.15, д, действительная часть полюсов не может быть положительной, поскольку электрическая цепь является линейной и содержит только пассивные элементы. Близость комплексного полюса к мнимой оси означает большую колебательность переходного процесса при подаче единичного ступенчатого сигнала на Рис. 7.16. Расположение полюсов перевход схемы. При приближении полюса к мни- даточной функции LC-контура мой оси значение ?, стремится к нулю. В предельном случае, когда ? = 0, оба полюса мнимые и переходный процесс является незатухающим, колебания происходят с собственной частотой контура со0. При \ = 1, когда оба полюса действительные и равны между собой, процесс является апериодическим и без выброса выходного сигнала, превышающего установившееся значение. Поскольку изображение входного единичного скачка есть 1Д изображение выходного сигнала определяется с помощью (7.4.2): (7.4.3) Рис. 7.17. Переходный процесс на выходе LC- контура при воздействии единичного скачка С помощью разложения дробной на входе и различНых значениях коэффици- функции на простые дроби (7.2.13) и та- ента затухания ? блиц преобразования Лапласа определим реакцию LC-фильтра на единичный скачок входного напряжения: (7.4.4) На рис. 7.17 показано изменение сигнала на выходе LC -фильтра, построенное в соответствии с (7.4.4) для трех значений коэффициента затухания. Проведем ана-
иП 38 Глава 7. Непрерывные линейные системы автоматического управления лиз названных выше параметров переходного процесса, пользуясь рис. 7.17 и соотношением (7.4.4). Относительное время нарастания из рис. 7.17 для ? = 0,5 равно 1,8; оно изменяется незначительно для других значений ?. Поэтому приближенно можно считать, что (7.4.5) Чем ниже резонансная частота со0, то есть чем больше L и С, тем больше время нарастания tr. Время tp, при котором выходной сигнал становится максимальным, определим, найдя производную функции у по со0/из (7.4.4) и затем приравнивая ее к нулю. Полученное уравнение относительно угла co0tp после преобразований приводится к виду: Решение последнего уравнения: следовательно, (7.4.6) При одной и той же частоте со0 увеличение коэффициента затухания ? приводит к увеличению времени tp. Определим время окончания переходного процесса ts, приняв во внимание, что скорость затухания процесса определяется показателем экспоненты, входящей в (7.4.4). Если за момент окончания переходного процесса принять достижение выходным сигналом уровня, отличающегося от установившегося значения на ±1 %, то можно составить следующее уравнение: (7.4.7) Решая уравнение относительно времени ts, находим: (7.4.8) Наконец, определим выброс Мр (рис. 7.14), используя известное теперь время tp (или угол (u0tp) из (7.4.6). Подставив (?>0tp в (7.4.4), найдем: Таким образом, выброс Мр равен:
7.4. Временные параметры переходного процесса 13^ (7.4.9) Соотношение (7.4.9) справедливо для значений ? < 1, когда еще может существовать выброс Мр. Чем больше коэффициент затухания ?, тем меньше выброс выходного сигнала Мр при подаче ступенчатого импульса на вход. Если ПФ нескольких звеньев, включающих в свой состав ZC-контур с ПФ (7.4.2), имеет нули (корни числителя) или дополнительные корни знаменателя (дополнительные полюсы), реакция на ступенчатое воздействие на входе изменяется. Подключение дифференцирующего звена с передаточной функцией TpS + 1 к выходу LC- контура показано на рис. 7.18. РиС.7.18. Подключение дифференцирующего звена к Изображение выходного сигнала выходу LC-контура при воздействии единичного ступенчатого импульса на вход: (7.4.10) Постоянную времени TD свяжем с резонансной частотой контура со0: где к — коэффициент, показывающий расположение нуля, равного — l/TD по отношению к резонансной частоте. Для изображения выходного сигнала, преобразованного из (7.4.10) к виду: с помощью разложения рациональной функции на простые дроби и таблицы преобразования Лапласа, получим: где и На рис.7.19 показано изменение выходного сигнала в зависимости от угла a>0t для нескольких значений коэффициента к при коэффициенте затухания 0,5. Там же по-
140 Глава 7. Непрерывные линейные системы автоматического управления казана исходная кривая, соответствующая случаю к —> оо (TD = 0). Из рисунка можно сделать следующие выводы. Значения к, близкие к единице, приводят к уменьшению времени нарастания tr и возрастанию выброса Мр. Время окончания переходного процесса практически не изменяется. При больших значениях к (малая постоянная времени TD) дифференцирующее звено не изменяет временные параметры процесса. Теперь подключим к выходу LC- контура апериодическое звено с переда- Рис. 7.19. Переходный процесс на выходе точной функцией 1/(7/ + 1) (рис.7.20), дифференцирующего звена, подключенного имеющей статический коэффициент к IC-контуру при воздействии единичного передачи, равный единице. Выходной скачка на входе и различных значениях коэф- СИПШ1 fi операторной форме при ВОЗдей- фициента к ствии на вход единичного скачка определяется соотношением: (7.4.11) где m = 1/(7/о0) — коэффициент, показывающий расположение дополнительного полюса (равного — 1/7^) по отношению к резонансной частоте. Оригинал функции Y(s), определенный из (7.4.11), равен: где Расчеты, проведенные с помощью полученного соотношения для y(t), показывают следующее: при коэффициенте, соизмеримым с единицей, время tr возрастает, а коэффициент Мр снижается по сравнению с аналогичными параметрами LC-контура без апериодического звена. При достаточно большом значении т указанные параметры становятся близкими к тем, что получены для ZC-контура. На время t5 апериодическое звено практически не влияет. Полученные результаты объясняются достаточно просто: дифференцирующее звено при определенных значениях TD форсирует переходный процесс, что проявляется в уменьшении времени tr и возрастании коэффициента Мр, апериодическое звено, наоборот, замедляет переходный процесс, поэтому время tp растет, а коэффициент Мр уменьшается. При малых значениях TD и Та Рис. 7.20. Подключение апериодического звена к изменение во времени выходного выходу LC-контура сигнала определяется только исходными полюсами ПФ.
7.5. Частотные методы оценки свойств звеньев и систем 7.5. Частотные методы оценки свойств звеньев и систем Если звено или система автоматического управления являются линейными с параметрами, не зависящими от времени, отношение амплитуды выходного сигнала к входному и разность фаз между выходным сигналом и входным не зависят от амплитуды входного сигнала. Кроме того, предполагая входной сигнал синусоидальным, получим на выходе ту же частоту, что и на входе. В теории автоматического управления частотные методы находят широкое применение и используются не только для оценки свойств звеньев, но и для определения устойчивости системы. 7.5.1. Амплитудно-фазовые частотные характеристики Если в передаточной функции звена или системы произвести замену оператора s на мнимую частоту усо, получим амплитудно-фазовую частотную характеристику (АФЧХ). Таким образом, вместо передаточной функции W(s) получается другая функция комплексного переменного W(/co). Общепринятое международное название этой характеристики frequency response. Рассматриваемая характеристика может быть представлена в виде формулы, графика на комплексной плоскости или в виде кривых с использованием логарифмического масштаба. Функция W(j(d) позволяет судить о выходном сигнале системы при входном синусоидальном сигнале произвольной круговой частоты со. Многие элементы или части электрической или электронной схемы можно рассматривать с точки зрения системы управления. Например, линейная индуктивность L (не зависящая от протекающего в ней тока) описывается соотношением: где можно считать ток входным, а напряжение выходным сигналами этого звена. Передаточная функция цепи с индуктивностью: Сделав замену s =усо, получим АФЧХ: (7.5.1) Для любой частоты со АФЧХ, как комплексное число, характеризуется модулем и фазой. В данном случае АФЧХ для любой частоты — мнимое число с модулем со/, и фазой +90°. Мы подтвердили известный из электротехники факт, что напряжение на индуктивности (выход) опережает синусоидальный ток (вход) на 90°. При определенных выше входе и выходе индуктивность является идеальным дифференцирующим звеном. Точно так же емкость является идеальным дифференцирующим звеном, если входным сигналом считать напряжение на ней, а выходным — ток. Рассмотрим АФЧХ апериодического звена, передаточная функция которого равна: где К— статический коэффициент передачи звена.
|Г142 Глава 7. Непрерывные линейные системы автоматического управления Подстановка s =jg> в последнюю формулу после преобразований приводит к результату: (7.5.2) Записанная в показательной форме АФЧХ свидетельствует, что как модуль (М), так и фаза являются функциями частоты со. АФЧХ может быть представлена и в алгебраической форме, и для апериодического звена эта форма представления будет имеет вид: АФЧХ LC-контура или АФЧХ колебательного звена получим из выражения для передаточной функции (7.4.2): Предполагается, как и ранее, что коэффициент затухания ? изменяется в пределах от 0 до 1. При 2; > 1 ПФ (7.4.2) имеет два действительных корня (оба полюса — действительные числа в левой полуплоскости), вырождаясь в передаточную функцию двух апериодических звеньев, включенных последовательно. Выполнив необходимые преобразования, получим АФЧХ колебательного звена в показательной форме W(j(o) = Me1*, где (7.5.3 а) (7.5.3 6) Рассмотренные выше примеры относились к звеньям, которые называются минимально-фазовыми. Их характеризует определенное соответствие между изменением модуля и изменением фазы. Это соответствие нарушается для неминимально-фазовых звеньев, у которых в правой полуплоскости (ПП) комплексного переменного находятся нули или полюсы передаточной функции. Звенья с запаздыванием также относятся к неминимально-фазовым. Рассмотрим звено, знаменатель передаточной функции которого соответствует передаточной функции колебательного звена, а числитель — дифференцирующему звену с правым нулем:
7.5. Частотные методы оценки свойств звеньев и систем где Т — постоянная времени дифференцирующего звена с нулем в ПП: Используя результаты, полученные для АФЧХ колебательного звена, определим модуль и фазу неминимально-фазового звена: (7.5.4, а) (7.5.4, 6) Амплитудно-фазовые частотные характеристики используются для анализа не только отдельных звеньев, но также для анализа систем высокого порядка, в которых звенья включаются последовательно, параллельно или охватываются обратными связями. 7.5.2. Графическое изображение амплитудно-фазовых частотных характеристик В дальнейшем, говоря о различных представлениях АФЧХ и разновидностях этих характеристик, для краткости будем опускать слово «частотная». Следовательно, если мы говорим АФХ, понимаем, что это аббревиатура эквивалентна АФЧХ. Изображение АФХ на комплексной плоскости ведется по выражению, которое получается из соответствующей ПФ заменой s наусо при изменении со от нуля до бесконечности. На рис. 7.21 представлена АФХ идеального дифференцирующего звена, каким является, например, линейная индуктивность с нулевым активным сопротивлением. АФХ построена по выражению (7.5.1). На рис. 7.22 показана АФХ апериодического звена, построенная по соотношению (7.5.2) при К= 1 и постоянной времени Та = 0,04 с. Угол ф изменяется от нуля при со = 0 до —л/2 при со ^ оо. Аргумент W(jg>) апериодического звена остается отрицательным при любом (кроме нуля) значении частоты со, что означает отставание фазы выходного сигнала от входного. Модуль Мпринимает максимальное значение при со = 0, уменьшаясь при возрастании частоты. Рис. 7.21. АФХ идеального дифференцирующего звена
144 Глава 7. Непрерывные линейные системы автоматического управления Рис. 7.22. АФХ апериодического звена АФХ колебательного звена показана на рис. 7.23; она построена по соотношениям (7.5.3 а, 6) для % = 0,5. Угол ф остается отрицательным для любых значений со и становится равным —к при со -> оо, при этом модуль М стремится к нулю. На рис. 7.24 показана АФХ неминимально-фазового звена, построенная по выражениям (7.5.4, я, б) для значений я = 3и^ = 0,5. При со/со0 > п модуль АФХ согласно (7.5.4 а) превосходит модуль колебательного звена (7.5, я), но при этом фаза неминимально-фазового звена (7.5.4, б) меньше фазы, рассчитанной для колебательного звена согласно (7.5.3, б). В этом несоответствии между модулем и фазой при определенных частотах заключается отличие неминимально-фазовых звеньев от минимально-фазовых. Другой широко применяемый способ представления АФХ заключается в использовании логарифмических характеристик и построении графических зависимостей как модуля М, так и фазы ср Рис. 7.23. АФХ колебательного звена от частоты со. Впервые предложенные Боде (H.W. Bode), эти характеристики используют логарифмическую шкалу для аргумента (круговой или циклической частоты) и модуля (Л/) АЧХ, а также линейную шкалу для фазы (ср). Идея построения логарифмических характеристик оказалась исключительно плодотворной как для построения АФХ звеньев вручную, без проведения сложных расчетов, так и для построения АФХ систем высокого порядка, имеющих несколько полюсов и нулей передаточной функции. АФХ высокого порядка можно представить как произведение АФХ отдельных звеньев в полярных координатах: Так как из этого следует, что: Принято модуль АФХ при построении логарифмических характеристик выражать как величину L = 20/g I W(Ja>) I с размерностью дБ (децибелы). Фаза АФХ определяется в соответствии с (7.5.5) как сумма аргументов отдельных звеньев.
7.5. Частотные методы оценки свойств звеньев и систем 14! Рассмотрим на нескольких примерах построение логарифмической амплитудной характеристики (ЛАХ) и логарифмической фазовой характеристики (ЛФХ). На рис. 7.25 показаны ЛАХ и ФЧХ идеального дифференцирующего звена. Для этого звена свойственна ЛАХ с наклоном +20 дБ/дек и постоянный фазовый угол, равный +7с/2. На горизонтальной оси удобно показывать не логарифм текущей частоты со, а саму частоту в размерности рд/с, которая откладывается на рисунке в логарифмическом масштабе. ЛАХ и ФЧХ апериодического звена, построенные при К= 1 и Та = 0,04 с, показаны на рис. 7.26. На низких частотах модуль (L) равен нулю, а выше частоты 1/7^ = 25 рд/с снижается со скоростью примерно -20 дБ/дек. Приблизительно ЛАХ апериодического звена может быть представлен ломаной, состоящей из низко- и высокочастотных асимптот, которые пересекаются в точке, называемой точкой сопряжения (или частотой сопряжения), равной 1/Та. В общем случае ордината низкочастотной асимптоты равна 20lgK. Реальный коэффициент передачи звена в точке сопряжения ниже пересечения двух асимптот на 3 дБ, что следует из (7.5.2), если определить значение 20lgMдля частоты со = 1/ Та. ЛФХ апериодического звена строится в соответствии с аргументом АФХ (7.5.2). При частоте со, изменяющейся от нуля до бесконечности, угол ср изменяется от 0 до —7г/2. В точке сопряжения Ф = -я/4. На рис. 7.27 показаны ЛАХ и ФЧХ колебательного звена, построенные р^ 7 26. Логарифмические частотные ха- по (7.5.3 д, б) для значения коэффициен- рактеристики апериодического звена та ?, = 0,5. Можно выделить в ЛАХ низкочастотную и высокочастотную асимптоты, первая имеет нулевой наклон, а вторая наклон — 40 дБ/дек. Точка сопряжения асимптот на резонансной частоте со0. Фазовая характеристика показывает отрицательные углы ф, причем на частоте сопряжения угол равен —я/2 для любого значения ?, а при со -> оо угол ср = —тт. На рис. 7.28 показаны ЛАХ и ФЧХ неминимально-фазового звена, содержащего ноль в правой полуплоскости. Характеристики построены с использованием соотношений (7.5.4, а, б) для ? = 0,5 и п = 3. ЛАХ содержит высокочастотную асим- Рис. 7.24. АФХ неминимально-фазового звена с нулем в правой полуплоскости Рис. 7.25. Логарифмические частотные характеристики идеального дифференцирующего звена
W\ 46 Глава 7. Непрерывные линейные системы автоматического управления Рис. 7.27. Логарифмические частотные характеристики колебательного звена Рис. 7.28. Логарифмические частотные характеристики неминимально-фазового звена с нулем в правой полуплоскости птоту с наклоном -20 дБ/дек, а угол ф фазовой характеристики стремится к —Зл/2 при со -> оо. 7.5.3. Частотные критерии устойчивости Прежде всего определим понятие устойчивости. Под этим понимается свойство системы возвращаться в первоначальный установившийся режим или близкий к нему после выхода из него в результате какого-либо воздействия. Допустим, автоматическая система замкнута и на нее действует возмущение г. Тогда выходная величина у будет связана определенным образом с этим возмущением. В операторной форме можно записать: Передаточная функция замкнутой системы W3(s) определяется следующим образом: где W^s) — передаточная функция от возмущения г до выхода, Wp(s) — передаточная функция разомкнутого контура. Передаточную функцию замкнутой системы после преобразований с использованием выражений для W^s) и Wp{s) можно привести к отношению двух полиномов (в математике отношение двух полиномов, которое равномерно стремится к нулю при И —> оо, называется мероморфной функцией): (7.5.6) Решением дифференциального уравнения системы, на которое действует возмущение г, а выходной величиной является у, является сумма двух составляющих:
7.5. Частотные методы оценки свойств звеньев и систем где yycm(t) — частное решение неоднородного дифференциального уравнения, которое можно получить из (7.5.6) и описывающее вынужденный режим системы; yce(t) — общее решение однородного дифференциального уравнения, записанного здесь в операторной форме: (7.5.7) которое зависит от начальных условий: (7.5.8) где К( — коэффициенты, зависящие от начальных условий; X; — корни характеристического уравнения: (7.5.9) Система будет устойчивой в том и только в том случае, если каждый /-й член в (7.5.8) будет стремиться к нулю при f —> оо. Для этого в показателе экспоненты действительная часть корня Xi должна быть отрицательным числом, то есть находиться в левой полуплоскости. Корень Х( может быть действительным или комплексным, корни могут быть простыми или кратными, но это не меняет положения о нахождении корней характеристического уравнения в левой полуплоскости, если система устойчива. В том случае, если система имеет одну или несколько пар мнимых корней, эти корни породят гармонические незатухающие колебания. Система при этом находится на границе устойчивости и, как правило, оказывается неработоспособной. Существует несколько критериев, позволяющих без определения корней характеристического уравнения определять устойчивость системы. Мы рассмотрим наиболее широко применяемые частотные критерии, не затрагивая алгебраических. Критерий Найквиста Для понимания критерия Найквиста необходимо вспомнить несколько положений теории функций комплексного переменного. Основная теорема Коши. Если функция G(s) комплексного переменного s является аналитической [14, 36] в односвязной области Д то интеграл от этой функции вдоль всякого кусочно-гладкого замкнутого контура, находящегося в области Д равен нулю. Если С— простой замкнутый контур, a G(s) — аналитическая функция внутри этого контура и на нем, то: (7.5.10) где стрелка показывает направление обхода контура. Существует теорема Коши аналогичного содержания для сложного контура. Особенности функции комплексного переменного. Вычеты. Точки, в которых нарушается аналитичность функции, называются особыми. Если вблизи от особой точки 50 нет других особых точек, то такая точка называется
148 Глава 7. Непрерывные линейные системы автоматического управления изолированной. Аналитическая функция G(s) в достаточно малом круге с центром в изолированной особой точке s0 может быть разложена в ряд Лорана: (7.5.11) В интересующем нас случае особая точка s0 называется полюсом и поэтому в разложении (7.5.11) существует только конечное число членов с отрицательными показателями. Тогда из (7.5.11) для функции, имеющей в точке s0 полюс, следует: Поскольку А_п ф 0, можно записать: Полюс функции G(s) может быть л-го порядка, двойным (кратности 2), простым (кратности 1). В любом случае при s —» s0 имеем (s) -» оо. Если G(s) — отношение двух полиномов, а числитель и знаменатель аналитические функции, то за исключением полюсов функция G(s) будет аналитической. Она будет иметь особенности в тех точках, где знаменатель обращается в ноль. Вычеты функции G(s) (коэффициент Ал) для кратных и простых полюсов определяются формулами (7.2.10) и (7.2.11). Нам еще понадобится теорема вычетов, согласно которой для функции G(s) справедливо соотношение (7.2.8): Вычеты производной от логарифма мероморфной функции. Пусть G(s) — отношение двух полиномов, а в точке s0 имеем ноль кратности п. Тогда в окрестности точки 50: Функция cp(s) является аналитической и, кроме того, q>(s) * 0. Тогда производная G(s) может быть записана: Поэтому: Поскольку в окрестности точки s0 второе слагаемое не имеет вычетов (это слагаемое является аналитической функцией), получим:
7.5. Частотные методы оценки свойств звеньев и систем 14$) Таким образом, мы получили, что в данном случае сумма вычетов G\s)/G{s) равна числу нулей функции G{s) внутри контура С. Для функции G(s), имеющей полюс с кратностью р, можем записать: причем \|/(s) является аналитической функцией внутри С, a yj/(s) ф 0. Из выражения для \\t(s) получим дифференцированием: В результате отношение Второе слагаемое в последнем выражении — аналитическая функция. Проведенный анализ справедлив для любого числа нулей и полюсов любой кратности, находящихся внутри контура С В результате, используя теорему вычетов, получим для функции G(s)/G(s): (7.5.12) где Z — сумма нулей с учетом их кратностей, находящихся внутри контура С; Р— сумма полюсов с учетом их кратности внутри С. Принцип аргумента. Зная производную от логарифмической функции, можно записать: где LnG{s) = w — логарифм функции G(s), исходя из того, что exp(w) = G(s); w — некоторая функция комплексного переменного. Можно показать, что LnG{s) равен: где А — модуль функции G(s), к = ±\, 2..., ф — аргумент G(s) при к = 0. Таким образом, логарифмическая функция комплексного переменного является многозначной функцией. Поскольку значение LnG{s) остается справедливым для любого к, мы будем использовать обычное изображение lnG(s), полагая, что к = 0. Беря интеграл по замкнутому контуру, запишем: где в правой части показано приращение, получаемое логарифмической функцией, когда s описывает контур С.
Eie Глава 7. Непрерывные линейные системы автоматического управления Поскольку lnG{s) = In \G(s) I +jargG(s), a In \G(s) I, как непрерывная однозначная функция после обхода замкнутого контура С возвращается к своему начальному значению, получим: Следовательно, С другой стороны, вычет логарифмической функции определяется соотношением (7.5.12), откуда следует, что: (7.5.13) Таким образом, можно дать следующую формулировку правилу, известному как принцип аргумента. Если G(s) является мероморфной функцией, ограниченной замкнутым контуром, и если G(s) не имеет на этом контуре нулей и полюсов, то разность между числом нулей и полюсов функции G(s), лежащих внутри С, равна полному обороту вокруг начала координат, совершаемых вектором G(s), когда s описывает контур С. Для оценки устойчивости контур С выберем таким образом, чтобы он охватывал всю правую полуплоскость комплексного переменного (рис. 7.29). Тогда, если G(s) содержит нули или полюсы в правой полуплоскости, то в соответствии с принципом аргумента эта функция на G-плоскости охватит ноль (начало) координат. Имея передаточную функцию разомкнутой системы W(s) (коэффициенты при высших степенях числителя и знаменателя полагаем равными единице, а коэффициент передачи прямого тракта равным А), запишем передаточную функцию замкнутой системы при единичной обратной связи. Блок-схема системы показана на рис. 7.30. Рис. 7.29. s-плоскость и контур С, охватываю щий всю правую полуплоскость Рис. 7.30. Блок-схема системы с единичной обратной связью (7.5.14) Полюсы знаменателя замкнутой системы, определяющие ее устойчивость, это корни уравнения:
7.5. Частотные методы оценки свойств звеньев и систем Применим к функции 1 + KW(s) = 0 принцип аргумента. Если функция W(s) имеет нули или полюсы в правой ^-полуплоскости, то начало координат в плоскости W(s) будет находиться внутри контура, на котором находится функция W(s). Рассматривая функцию 1 + KW(s), можно видеть, что она смещается вправо на единицу по сравнению с KW(s). Теперь, если 1 + KW(s) имеет нули или полюсы в правой ^-полуплоскости, эта функция будет охватывать начало координат. Но из этого следует, что смещенная влево (по отношению к 1 + KW(s)) функция KW{s) должна в таком случае охватывать точку — 1; уО. Использование годографа Найквиста (Nyquist plot), представляющего собой АЧХ системы, дополненной точками, которые соответствуют частотам со от —оо до 0, позволяет применить широко известный частотный критерий устойчивости. Этот критерий назван критерием Найквиста по имени автора, предложившего его в 1932 г. Он позволяет, не вычисляя корней характеристического уравнения замкнутой системы, судить об ее устойчивости по передаточной функции и коэффициенту передачи разомкнутой системы. Выражение 1 + KW(s) можно записать следующим образом: (7.5.15) где a(s), b(s) — полиномы знаменателя и числителя передаточной функции разомкнутой системы. Допустим, что W(s) не имеет правых полюсов, то есть тех, что находятся в правой полуплоскости. Нули функции a(s) + Kb(s) являются полюсами W3(s), как это следует из (7.5.14), и если годограф KW(s) охватывает точку—1 ,уО, это означает, что среди полюсов W3(s) имеются правые, или, другими словами, имеются правые нули функции 1 + K\V(s). Охват начала координат годографом 1 + KW(s) будет происходить при этом по часовой стрелке. Теперь предположим, что существует один или несколько правых полюсов функции 1 + KW(s). Тогда годограф KW(s) охватит точку—1,/), причем столько раз, сколько правых полюсов, в направлении против часовой стрелки. Общее число охватов точки — 1,уО годографом K1V(s), равно числу правых нулей Z функции 1 4- KW(s) за вычетом числа правых полюсов (Р) передаточной функции разомкнутой системы W(s)\ Итак, для использования критерия Найквиста необходимо выполнить следующее: — нарисовать годограф Найквиста KW(s) для частотусо от —оо до + оо; — определить число охватов годографом по часовой стрелке точки — 1 ,уО (число N). Если охват этой точки годографом происходит против часовой стрелки, считать число N отрицательным; — определить из передаточной функции W(s) число полюсов разомкнутой системы в правой полуплоскости (число Р)\ — определить число полюсов в правой полуплоскости замкнутой системы (число 2), используя соотношение Z= N+ Р.
Ш} Глава 7. Непрерывные линейные системы автоматического управления Рис. 7.31. Блок-схема системы третьего порядка Система будет устойчивой, если не окажется правых полюсов (Z) замкнутой системы. Достаточно подробное изложение сути критерия устойчивости Найквиста изложено в [32]. Покажем применение критерия Найквиста на примерах. Передаточная функция разомкнутой системы третьего порядка (рис. 7.31) имеет вид: Статический коэффициент передачи обозначен как К. Полюсы передаточной функции разомкнутой системы определяются решением уравнения: которые равны: sx = — 1; s2 = —2; s3 = —3. Все корни находятся в левой полуплоскости, поэтому Р= 0. Годограф Найквиста при К= 1 показан на рис. 7.32. Охвата точки -1,у0, нет, поэтому N= 0. Число правых корней ZpaBHo: Правые корни характеристического уравнения (правые полюсы передаточной функции замкнутой системы) отсутствуют, следовательно, система устойчива. На рис. 7.33 показан годограф Найквиста для системы с той же передаточной функцией W(s), но при коэффициенте К= 70. Из рисунка следует, что охват точки — 1,у0 происходит два раза по часовой стрелке, поэтому N= 2. Число правых корней характеристического уравнения: Z=7V+P=2 + 0 = 2, поэтому в данном случае система неустойчива. Легко численно определить корни характеристического уравнения при К= 70: Вычисление корней приводит к результату: Рис. 7.32. Годограф Найквиста системы третьего порядка при малом коэффициенте усиления К. Корни характеристического уравнения замкнутой системы в левой полуплоскости Рис. 7.33. Годограф Найквиста системы третьего порядка при большом коэффициенте усиления К. Два корня характеристического уравнения замкнутой системы находятся в правой полуплоскости
7.5. Частотные методы оценки свойств звеньев и систем Действительно, получили два комплексных корня характеристического уравнения замкнутой системы с положительной вещественной частью. Передаточная функция разомкнутой системы третьего порядка имеет полюс в правой полуплоскости: На рис. 7.34 показан годограф Найквиста этой системы при К= 1. Охвата точки -1 ,у0 нет, поэтому N=0. Определим число правых корней характеристического уравнения замкнутой системы: следовательно из трех корней характеристического уравнения замкнутой системы один находится в правой полуплоскости. Сделаем проверку, определив численно корни уравнения: Результат вычислений показывает, что среди действительных корней гх — — 2,166; г2 = —0,783; гъ — 2,949 имеется один, находящийся в правой полуплоскости, что подтверждает анализ, проведенный с помощью критерия Найквиста. Теперь увеличиваем коэффициент усиления А'этой же системы до 50. Годограф Найквиста показан на рис. 7.35. Из рисунка следует, что N— 1, поэтому число Z равно: Таким образом, передаточная функция замкнутой системы имеет два полюса в правой полуплоскости, система неустойчива. Проверим полученный результат, определив корни характеристического уравнения для этого случая: Рис. 7.34. Годограф Найквиста системы третьего порядка с одним правым полюсом W(s) при малом коэффициенте усиления К. Один корень характеристического уравнения замкнутой системы находится в правой полуплоскости Рис. 7.35. Годограф Найквиста системы третьего порядка с одним правым полюсом W(s) при большом коэффициенте усиления К. Два корня характеристического уравнения замкнутой системы находятся в правой полуплоскости
154 Глава 7. Непрерывные линейные системы автоматического управления Действительно, два комплексных корня находятся в правой полуплоскости, что согласуется с критерием Найквиста. При проектировании САУ используются понятия запасов устойчивости. Запасом устойчивости по фазе называется угол ср3, на который фаза W(j(u) превышает угол -180°, при достижении | W(Jco)\ = 1 и при условии, что при дальнейшем повышении частоты со АФХ не выходит из круга единичного радиуса. Частота, при которой | 1V(j(u)\ = 1, называется частотой среза сос. Сказанное поясняется рис. 7.36. Запас устойчивости по фазе устойчивой системы — положительный угол, и наоборот, для неустойчивой системы угол ф3 является отрицательным. Запас по амплитуде (запас по модулю) — это коэффициент, на который следует умножить модуль АФХ устойчивой системы для того, чтобы она оказалась на границе устойчивости. Запас по амплитуде обозначим как Нм. На рис. 7.36 значение АФХ, при котором ее фаза равна -180°; равно -1/Нм. На этом рисунке //^.определяется в абсолютном значении, хотя, как мы увидим позже, удобнее выражать запас по амплитуде в дБ. На рис. 7.36 величина \/Нм составляет « 0,5. Из этого следует, что для достижения нестабильности системы необходимо увеличить модуль ее АФХ в 2 раза (то есть на 6 дБ). Логарифмический критерий устойчивости Критерий устойчивости Найквиста удобен при использовании логарифмических частотных характеристик. Многие системы устойчивы в разомкнутом состоянии. Для них критерий сводится к требованию, чтобы ЛАХ пересекла ось абсцисс раньше, чем фаза окончательно перейдет за —180°. Следовательно, на частоте среза сос фаза должна иметь угол больший, чем —180°. На рис. 7.37 показаны ЛАХ и ФЧХ системы, передаточная функция которой в разомкнутом состоянии была показана при рассмотрении критерия Найквиста: (7.5.16) Рис. 7.37. ЛАХ и ФЧХ системы третьего порядка при различных коэффициентах пере- Рис. 7.36. Определение запасов устойчивости дачи. ПФ разомкнутой системы — формула по фазе и амплитуде 7.5.16
7.5. Частотные методы оценки свойств звеньев и систем 15 Разомкнутая система третьего порядка имеет три действительных полюса в левой полуплоскости. На рис. 7.37 показаны две ЛАХ, соответствующие коэффициентам передачи К= 1 и К= 50. Фазовая характеристика, показанная там же, естественно, не зависит от коэффициентов Ки угол ср при высоких частотах стремится к —270°. Обе системы (при К= 1 и К= 50), как показывает рис. 7.37, в замкнутом состоянии оказываются устойчивыми, но в первом случае динамические свойства системы оказываются весьма плохими. Например, статическая ошибка системы рис. 7.30 находится из передаточной функции: после применения к ней теоремы о конечном значении в предположении, что сигнал v(/) — единичный скачок (V(s) = l/s). Поэтому при К= 1 и единичном скачке на входе на выходе системы получаем у = 1/7 « 0,14. Обратная связь в этом случае действует неэффективно вследствие малого коэффициента передачи разомкнутой системы. Значение ЛАХ при К= 1 в низкочастотной области равно—15,6 дБ, что означает получение выходного сигнала разомкнутой системы 0,167 (при единичном сигнале на входе). Рис. 7.38. ЛАХ и ФЧХ системы третьего по- При К = 50 замкнутая система, как рядка с одним правым полюсом разомкнутой следует из рис. 7.37, остается устойчи- системы при различных коэффициентах пере- вой, сос = Зрд/с, но запаса по фазе прак- Дачи- пф разомкнутой системы - формула тически нет, поскольку угол ф « —180°. С7-5-*7) Сигнал на выходе при единичном скачке на входе и / -> оо теперь равен: что значительно ближе к единичному входному сигналу; следовательно, статическая ошибка явно уменьшилась. Малый запас по фазе при К= 50 приводит к практической неработоспособности системы, в первую очередь, из-за слабого затухания колебаний на выходе. ЛАХ и ФЧХ системы, имеющей в разомкнутом состоянии полюс в правой полуплоскости, показаны на рис. 7.38. Передаточная функция такой разомкнутой системы рассматривалась ранее при изложении критерия Найквиста: (7.5.17) Угол ф при низких частотах равен -180° (180°), что определяется присутствием правого полюса, равного трем. На высоких частотах угол стремится к —270°, так же, как в системе третьего порядка с тремя левыми полюсами разомкнутой системы.
C2 Глава 7. Непрерывные линейные системы автоматического управления При К= \и К= 50 замкнутая система неустойчива, поскольку угол ф < 180° во всем диапазоне частот. При К= 1 имеем характеристическое уравнение замкнутой системы, которое, как было показано ранее, имеет один корень, лежащий в правой полуплоскости. Это свидетельствует о неустойчивости замкнутой системы. Результат совпадает с тем, что получен с помощью критерия Найквиста для той же системы. При ^=50 характеристическое уравнение замкнутой системы как было показано ранее, имеет два комплексных корня, находящихся в правой полуплоскости. Помимо определения устойчивости логарифмические характеристики позволяют достаточно просто и наглядно выяснить запасы по амплитуде и фазе проектируемой системы, ее статический коэффициент усиления, полосу пропускания и степень подавления возмущений, воздействующих в разных точках системы. 7.6. Устройства коррекции Устойчивость, рассмотренная в предыдущем параграфе, является одним из важнейших параметров системы, но не единственным. В устойчивой системе переходный процесс затухает, когда действует управляющее или возмущающее воздействие. Характеристики переходного процесса — время нарастания tr, время достижения выходной переменной максимального значения tp, время окончания переходного процесса ts и выброс Мр определялись ранее для единичного скачка, воздействующего на систему, и были показаны на рис. 7.14. Устойчивость системы и улучшение качества ее переходных процессов достигаются с помощью корректирующих устройств. Часто параметры объекта в системе преобразования энергии (телекоммуникационная станция, асинхронный двигатель с определенной нагрузкой, аккумуляторная батарея) не подлежат изменениям. Регулирующая часть — выходные преобразовательные каскады выбираются и проектируются вне зависимости от требований динамики, для этих устройств в первую очередь важны надежность, массо-объемные показатели, КПД и стоимость. Поэтому и устойчивость и качество переходных процессов достигаются построением системы управления, как правило замкнутой, с использованием устройств (цепей) коррекции. 7.6.1. Оценка переходного процесса по виду ПАХ замкнутой системы Для минимально-фазовых систем существует вполне определенная связь между Л АХ и ФЧХ. Поэтому для оценки переходных процессов в этих системах достаточно пользоваться только ЛАХ, рассматривая эту характеристику для разомкнутой и замкнутой системы. Для системы с единичной обратной связью (рис. 7.30) одна из возможных ЛАХ замкнутой системы показана на рис. 7.39. Характеристика на рис. 7.39 строится по соотношению:
7.6. Устройства коррекции 15 Характерными особенностями ЛАХ, показанной на рис. 7.39, является повторение уровня входного сигнала в низкочастотной области (в логарифмическом масштабе ноль), возможное присутствие резонансного пика Мг на резонансной частоте шг и определенная полоса пропускания, свойственная данной системе. Ни одна физически реализуемая система (механическая, электромеханическая или электронная) не успевает воспроизводить на своем выходе колебания, воздействующие на вход, при достаточно высоких частотах. Полоса пропускания соп/| Рис. 7.39. ЛАХ замкнутой системы с единич- (<ьш- bandwidth) - это диапазон частот Ной обратной связью гармонических колебаний, которые система пропускает до своего выхода. Понятие это инженерное, поэтому ыпп оценивают по-разному. Например, можно считать, что если на выходе амплитуда сигнала составляет 5% от амплитуды входного, то данная частота сигнала соответствует частоте пропускания. Мы же примем, как это делается в большинстве случаев, за полосу пропускания солл частоту входного сигнала, при которой выходной сигнал достигает уровня 0,707 от входного. В децибелах это значение составит —3, что показано на рис. 7.39. ЛАХ замкнутой системы определенным образом связана с временной характеристикой — реакцией на единичный скачок входного сигнала. Большее значение резонансного пика Мг на частотной характеристике соответствует большему выбросу выходного сигнала Мр (рис. 7.14). Частота среза сос разомкнутой системы тесно связана с частотой сол„ и для колебательного звена равна: Большее значение соя„ означает снижение времен tr, tp и ts переходного процесса. Резонансный пик Мг в ЛАХ замкнутой системы тесно связан с запасом по фазе (ф3), установленном в системе. Большее значение Мг соответствует меньшему значению ф3. Понятие коэффициента демпфирования системы (коэффициент затухания ? для замкнутой системы второго порядка) влияет и на Мг и на ф3. Обычно используют значение коэффициента демпфирования для оценки запаса по фазе. Если сигнал (например, возмущающее воздействие) действует на входе про- Рис. 7.40. Блок-схема системы, в которой извольного звена с передаточной функ- возмущающее воздействие F(s) = L{fB) при- цией W2(s) (рис. 7.40), передаточная ложено ко входу звена JK2(s)
|fi 58 Глава 7. Непрерывные линейные системы автоматического управления функция замкнутой системы отличается от аналогичной функции системы с единичной связью: Вследствие указанного отличия ЛАХи замкнутых систем также будут отличаться, причем тем сильнее, чем сильнее отличаются передаточные функции W2(s) и W(s). Рассмотрим некоторые устройства (звенья) коррекции, широко применяемые в системах управления. 7.6.2. Инерционное пропорционально-дифференцирующее звено Данное звено, значительно улучшающее качество переходного процесса в системе, может быть реализовано на основе различных физических принципов. Рассмотрим электрическую цепь, показанную на рис. 7.41. Для выяснения ее свойств нам понадобится вывести передаточную функцию вход — выход по напряжению. Чтобы не проводить вывод передаточной функции заново, Рис. 7.41. Реализация инерци- воспользуемся схемой, показанной на рис. 7.6, а. онного пропорционально- Для нее, отличающейся от схемы рис. 7.41 только дифференцирующего звена тем, что в нее включен конденсатор С2 параллельно в виде электрической схемы резистору R2, была получена следующая передаточная функция: где В H(s) положим С2 = 0, в результате получим передаточную функцию Я, (s) для схемы оис. 7.41: (7.6.1) где приняты обозначения: KKl = R2/R1— коэффициент передачи звена на низких частотах; Tzl = CXRX — постоянная времени, соответствующая частоте, на которой начинает действовать дифференцирующая цепь звена; Гр, = C{RX(R2 + R3)/Rs — постоянная времени, соответствующая частоте, на которой начинает действовать инерционная (апериодическая) цепь звена. При s = 0 имеем Я, (s) = КК1 (соответствует отсутствию конденсатора Сх в схеме — низкие частоты работы).
7.6. Устройства коррекции 159 При s —> оо имеем: (соответствует закорачиванию конденсатора С, в схеме — высокие частоты работы). Из соотношений для Tzl и Тр1 следует, что всегда выполняется неравенство Tzi > TpV Поэтому ЛАХ рассматриваемого звена в низкочастотной области имеет горизонтальную асимптоту, затем асимптоту с наклоном + 20 дБ/дек и горизонтальную асимптоту в высокочастотной области. Коэффициент Кп можно изменять с помощью резистивного делителя. Фазовая характеристика имеет подъем на участке между частотами l/Tzl и 1/Тр1. ЛАХ и ФЧХ звена показаны на рис. 7.42 Рис. 7.42. Логарифмические частотные при коэффициенте Кп = 1. характеристики инерционного пропорцио- Можно видеть, что максимальный нально-дифференцирующего звена подъем фазы cpm, имеющий место при частоте сот, удобно располагать в области частоты среза системы сос, обеспечивая тем самым больший запас по фазе ср3. Из соотношения (7.6.1) следует, что угол ср звена связан с текущей частотой со, постоянными времени Tzl и Тр1 следующим образом: (7.6.2) Исследуя зависимость ф от со на экстремум, получим уравнение относительно со,„: из которого следует: (7.6.3) то есть ©т — среднегеометрическое значение частот \/Тл и \/ТрХ. Записывая частоту со в логарифмическом масштабе, из (7.6.3) получим: Последний результат свидетельствует о том, что частота сот находится посредине частот 1/7^, и \/ТрХ при условии, что по оси абсцисс частота откладывается в логарифмическом масштабе. На основании (7.6.2), используя тригонометрические соотношения, получим:
160 Глава 7. Непрерывные линейные системы автоматического управления Рис. 7.43. Зависимость максимального угла срт инерционного пропорционально-дифференцирующего звена от отношения постоянных времени Тг и Тр (7.6.4) Зависимость фт от отношения Tzl/Tp] показана на рис. 7.43. Рассмотрим систему, показанную на рис. 7.44, в которой управление выходной переменной y(t) ведется с помощью задающего сигнала v(/) В операторной форме связь выходной и задающей величин для данной системы записывается в виде: где W(s) — передаточная функция неизменяемой части системы. Предположим, что звено с передаточной функцией W(s) является колебательным с коэффициентом передачи на нижних частотах, равным 50: Из W(s) и (7.4.2) следует, что резонансная частота со0 равна 31,6 рд/с и коэффициент затухания ? « 0,01. Расчет ЛАХ разомкнутой системы показывает, что замкнутая система будет устойчива. Однако на частоте среза угол ф фазовой характеристики оказывается близким к —180°, и работа реальной системы с практически нулевым запасом по фазе оказывается невоз- Рис. 7.44. Блок-схема системы можной — при любом воздействии сигнала v(/) на вы- воспроизведения выходного ходе происходят слабозатухающие колебания. Введем сигнала y(t) по задающему воз- инерционное пропорционально-дифференцирующее действию v(t) звено в систему за сумматор, как показано на рис. 7.45. Параметры этого звена: Ккх = 1 (предполагается, что мы можем изменить в нужную сторону коэффициент передачи звена на низких часто-тах); Tz] = 0,011 с; Тр1 = 0,75- Ю-3 с. В этом случае ЛАХ и ФЧХ системы с корректирующим звеном показаны на рис. 7.46. На фазо- Рис. 7.45. Коррекция исходной си- вой характеристике виден результат действия кор- стемы (рис. 7.44) с помощью инер- рекции — значительный подъем угла ф в области ционного пропорционально- частоты среза. Запас по фазе ф3 равен около 60°, дифференцирующего звена частота среза составляет 522 рд/с (83 Гц). Реакция
Рис. 7.46. ЛАХ и ФЧХ исходной системы с использованием корректирующего инерционного пропорционально-дифференцирующего звена 7.6. Устройства коррекции 16П§ Рис. 7.47. Временная характеристика системы по рис. 7.45 с корректирующим звеном скорректированной системы на единичный скачок показана на рис. 7.47. Параметр Мр не превышает 0,18. 7.6.3. Корректирующее звено с отставанием по фазе В противоположность рассмотренному звену, создающему опережение по фазе и эффективно действующему в области частоты среза, корректирующее звено с отставанием по фазе позволяет увеличить низкочастотный коэффициент усиления, тем самым снижая статическую ошибку на выходе системы. Рассмотрим операционный усилитель с цепью коррекции, показанный на схеме рис. 7.48. ^>ис# ^#*8# Операционный усилитель с цепью Знаки входного и выходного напря- к°РРекЦии жений, а также направления токов /, и /2 показаны условно. Записывая для узла а уравнение по первому закону Кирхгофа и учитывая, что иа = 0, получим в операторной форме: (7.6.5) где знак «минус» в выражении для Ueblx(s) отражает тот факт, что входной сигнал поступает на инвертирующий вход ОУ и фактическая полярность выходного напряжения не соответствует показанной на рис. 7.48. Из (7.6.5), опуская знак минус и с учетом выражения для Z^,, запишем передаточную функцию рассматриваемой схемы:
d2 Глава 7. Непрерывные линейные системы автоматического управления где (7.6.6) Рис. 7.49. ЛАХ и ФЧХ звена коррекции с отставанием по фазе Поскольку Тр2 > ТдИ соответственно 1/Тр2< 1/7^ в диапазоне частот \/Тр2... 1/ 7^, наклон асимптотического ЛАХ равен —20дБ/дек, и происходит снижение (отставание) фазового угла ср. На более высоких частотах свое действие проявляет дифференцирующее звено, и угол ф возвращается к нулю. Логарифмические частотные характеристики, построенные по (7.6.6) при значениях параметров Кп = 20, 7\= 110'4си Трг = 1-Ю"3 с, показаны на рис. 7.49. Продемонстрируем действие звена с отставанием по фазе, продолжив рассмотрение предыдущего примера. В схему на рис. 7.45 добавим звено с параметрами Кп = 20, Tz2 = 0,02 с и Тр2 = 0,2 с. Измененная блок-схема системы показана на рис. 7.50. Смысл постановки звена с отставанием по фазе в уже скорректированную систему (рис. 7.45) заключается в повышении коэффициента передачи на низких частотах, при этом частоты среза сос и угол запаса ф3 изменяются незначительно. Логарифмические частотные характеристики, соответствующие разомкнутой системе рис. 7.50, показаны на рис. 7.51. Сравнивая рис. 7.46 (где действует только опережающая коррекция) с рис. 7.51, можно видеть возрастание на 26 дБ коэффициента передачи на нижних частотах при одновременном уменьшении запаса по фазе примерно на 14°. Последствия изменения ЛАХ и ФЧХ можно видеть на временной характеристике, показывающей реакцию выходного (колебательного) каскада системы с двумя звеньями коррекции (рис. 7.52). Из сравнения временной характеристики рис. 7.47 (коррекция только с опережающим по фазе звеном) с рис. 7.52 видно некоторое увеличение выброса (параметр Мр), что связано с уменьшением запаса по фазе, и одновременно снижение статической ошибки (кривая y(t) на рис. 7.52 значительно ближе к задающему единичному сигналу, чем в случае работы только опережающего корректирующего звена). Отно- Рис. 7.50. Система с двумя звеньями коррекции: с опере- сительная погрешность пере- жением и отставанием по фазе дачи задающего сигнала
7.6. Устройства коррекции 163]| Рис. 7.51. ЛАХ и ФЧХ системы, состоящей из Рис. 7.52. Временная характеристика систе- колебательного звена и двух звеньев коррекции мы с двумя корректирующими звеньями снизилась с 1,8% при работе только опережающего корректирующего звена до 0,5% при добавлении в систему звена с отставанием по фазе. Как звено с опережением, так и звено с отставанием по фазе имеют разновидности, позволяющие несколько по-другому воздействовать на свойства замкнутой системы. 7.6.4. Пропорционально-интегродифференцирующее звено Звено, которое может эффективно увеличивать усиление на низких частотах и улучшать запас по фазе в области средних частот, принято называть пропорци- онально-интегродифференцирующим. Сокращенно оно называется ПИД (PID) звеном коррекции, или ПИД- регулятором. Его передаточная функция — сумма передаточных функций пропорционального, интегрирующего и дифференцирующего звеньев: (7.6.7) где Кр — общий статический коэффициент передачи, 7) и TD — постоянные времени интегрирующего и дифференцирующего звеньев. Преобразуя (7.6.7), можно получить еще два приближенных выражения, раскрывающие физический смысл этого звена: (7.6.8) (7.6.9) В первом случае (выражение (7.6.8)) ПИД-регулятор можно представить как последовательное соединение пропорционально-интегрирующего и реального дифференцирующего (пропорционально-дифференцирующего) звена. Во втором — как последовательное соединение интегрирующего и двух пропорционально- дифференцирующих звеньев с разными постоянными времени. Из-за присутствия дифференцирующего звена, что наглядно показывает выражение (7.6.7), быстрые изменения сигнала на входе звена будут передаваться на выход, и, следовательно,
164 Глава 7. Непрерывные линейные системы автоматического управления реакция ПИД-регулятора может быть более быстрой, чем реакция пропорционального звена. Используя как параметр отношение двух постоянных времени ПИД- регулятора, запишем (7.6.7) в виде: Рис. 7.53. Логарифмические частотные характеристики ПИД-регулятора Для значений: Кр = 10; 7) = 0,01 с; Л = 0,08 построим логарифмические частотные характеристики звена, показанные на рис. 7.53. На низких и высоких частотах ЛАХ имеет асимптоты с наклонами —20 и + 20 дБ/дек соответственно. Фазовый угол принимает нулевое значение на среднечастотном участке характеристики. 7.7. Метод корневого годографа Нули и полюсы передаточной функции замкнутой системы позволяют сделать вывод о переходных процессах, происходящих под действием возмущающего или задающего воздействия. Характер переходного процесса определяется взаимным расположением нулей и полюсов, ближайшим корнем или корнями, расположенными к мнимой оси. Изменение какого- либо параметра системы, например, коэффициента усиления, приведет к изменению положения полюсов на комплексной плоскости, и важно знать количественные соотношения между данными параметром и расположением корней. Изменение структуры или численных значений параметров корректирующих звеньев также приводит к изменению расположения и изменению числа нулей и полюсов замкнутой системы, влияя на качество переходного процесса. В 1948 г. Эвансом (W.R. Evans) был предложен графический метод, названный им Root Locus, позволяющий проследить влияние одного параметра системы на расположение корней характеристического уравнения (полюсов передаточной функции замкнутой системы). С развитием вычислительно техники, широким применением персональных компьютеров и прикладных программ применение метода Root Locus стало одним из основных инструментов разработчика системы управления. В отечественной литературе данный метод получил название метода корневого годографа. В [73] метод корневого годографа раскрыт достаточно наглядно и полно. Основу метода составляет выражение для передаточной функции замкнутой системы, которую можно представить в виде одноконтурной системы с единичной обратной связью: (7.7.1)
7.7. Метод корневого годографа 16! где W(s) = M(s)/N(s) — передаточная функция разомкнутой системы, M(s) и N(s) — полиномы числителя и знаменателя; К — коэффициент передачи разомкнутой системы, если коэффициенты при высших степенях полиномов равны единице. Порядок т полинома числителя M(s) должен быть не больше порядка п полинома знаменателя N(s). Полиномы M(s) и N(s) представим в виде: (7.7.2) (7.7.3) где Z/И р.— корни M(s) и N(s). Характеристическое уравнение замкнутой системы запишем из (7.7.1): (7.7.4) ИЛИ (7.7.5) Из (7.7.4) связь между Ки W(s) можно получить в виде: (7.7.6) Корневой годограф — геометрическое семейство точек переменной s на комплексной плоскости, построенное по выражениям (7.7.4—7.7.6) для всех действительных неотрицательных значений К. Такой корневой годограф принято называть «положительным», в отличие от «отрицательного», в котором значения К<0. Значения s на корневом годографе являются корнями характеристического уравнения и, следовательно, полюсами передаточной функции замкнутой системы. Из (7.7.6) следует, что для любой точки s корневого годографа передаточная функция W(s) является действительным и отрицательным числом, другими словами, фазовый угол W(s) равен 180° + 360%:, где А: — целое число. Используя (7.7.2) и (7.7.3), можно представить передаточную функцию W(s) в виде: (7.7.7) Для любой точки ^-плоскости фаза W(s) определяется с помощью ее нулей zt и полюсов Pj. (7.7.8) где arg(s — z) — фаза вектора, проведенного из нуля zt к точке s; arg(s — р) — фаза вектора, проведенного из полюса Pj к той же точке s. Поясним (7.7.8) с помощью сложения векторов на комплексной плоскости (рис. 7.54). Один из сомножителей числителя в (7.7.7) (s — z) получен сложением вектора s и вектора (—z,), или вычитанием из вектора s вектора zr Фаза результирующего векто-
166 Глава 7. Непрерывные линейные системы автоматического управления pa обозначена как ar%(s — z), это одна из полярных координат конца вектора (s — z). Определив аргумент каждого сомножителя числителя и используя правило, согласно которому при перемножении комплексных чисел их аргументы складываются, мы получим первое слагаемое в выражении (7.7.8). Аналогичным образом, рассматривая сомножители знаменателя в (7.7.7) и используя правило, согласно которому при делении комплексных чисел их аргументы вычитаются, получим второе слагаемое в (7.7.8). Таким образом, для точек комплексной плоскости, принадлежащих корневому годографу, получим: (7.7.9) Рис. 7.54. Сложение векторов на комплексной плоскости 7.7.1 • Свойства корневого годографа Рассмотрим только некоторые, наиболее важные свойства корневого годографа. Подробнее они рассмотрены в [17, 73,40]. Для наглядности проиллюстрируем свойства годографа с помощью простого примера. Пусть передаточная функция разомкнутой системы равна: (7.7.10) Данная передаточная функция имеет один действительный ноль в левой полуплоскости (z} = —4), два комплексных полюса (р\ = — 1 —j2;p1 = —\ +y2) и один действительный (ръ = —2). Свойство 1. При ^=0 корни характеристического уравнения, как следует из (7.7.5), являются корнями знаменателя передаточной функции разомкнутой системы, то есть ее полюсами. Поэтому годограф начинается при К= 0 в полюсах разомкнутой системы. Из рис. 7.55, где полюсы W(s) показаны крестами, а ноль — кружком, можно видеть, что начало годографа (где ^=0) действительно имеет место в полюсах р{, р2иру т ветвей годографа заканчиваются в нулях функции W(s). Там, где ветвь годографа заканчивается, коэффициент К-> оо. На рис. 7.55 видим одну такую ветвь годографа, поскольку в данном примере т = 1. Эта ветвь заканчивается в нуле функции W(s). Свойство 2. Участки годографа на действительной оси. Если точка s = s0 (так называемая исследуемая точка) принадлежит годографу и находится на действительной оси, то все комплексные нули и полюсы W(s) не влияют на значение суммарного угла от нулей и полюсов до этой точки. Вклад в этот угол вносят только нули и полюсы W(s), расположенные на действительной оси. Угол от каждого действительного нуля или полюса справа до точки s0 равен 180°, а слева от нее нулю. Сказанное поясняется рис. 7.56, где показана ветвь годографа
7.7. Метод корневого годографа 16' Рис. 7.55. Корневой годограф передаточной функции Рис. 7.56. Ветви корневого годографа, одна из них расположена на действительной оси, s0 — исследуемая точка; показаны направления векторов с фазами 0° и 180° на действительной оси, лежащая между точками —5 и —2. Слева от исследуемой точки может находиться любое число действительных нулей и полюсов (все они в сумме создадут нулевой угол), но справа от нее общее число нулей и полюсов должно быть нечетным. Только в этом случае фаза точки корневого годографа на действительный оси будет равна 180°. На рис. 7.56 имеем справа от s0 единственный ноль. Аналогичный результат виден из рис. 7.55, где единственный полюс, принадлежащий ветви годографа на действительной оси, находится справа от любой исследуемой точки s0, расположенной на этой оси. Свойство 3. Годограф имеет п-т асимптот, их расположение на плоскости определяется углами наклона и точкой пересечения, расположенной на действительной оси. Углы наклона асимптот: (7.7.11) где & = 0,1,2,...Я-/И-1. Точка пересечения асимптот: (7.7.12) Выражение (7.7.11) получается из аппроксимации передаточной функции W(s) при больших s выражением: (7.7.13) Учитывая, что п-т полюсов находятся очень далеко от исследуемой точки на асимптоте s0, которую можно представить как s0 = Re1*, и используя (7.7.13), получим: откуда и получается соотношение (7.7.11). Для примера (7.7.10) и годографа на рис. 7.55 имеем:
168 Глава 7. Непрерывные линейные системы автоматического управления Выражение (7.7.12) получим для системы, в которой п — т>2. Запишем характеристическое уравнение, используя (7.7.5): где г,,... гп — корни характеристического уравнения. Выполнив перемножение всех сомножителей (s-r), получим полином, коэф- п фициент которого при 5я"1 равен ~ХГ/ » что следует из курса линейной алгебры. В том случае, если п — т > 2, получим, следовательно: При этом, поскольку сумма полюсов с отрицательным знаком функции W{s) так же равна ах, получим: Нам осталось показать, каким образом можно представить сумму корней г, характеристического уравнения. При К^юот корней характеристического уравнения равны значениям z,-нулям функции W(s), что следует из 1-го свойства метода корневого годографа. Остальные п-т корней, как показывает аппроксимация (7.7.13), равны sa. Поэтому можно записать: Из последнего выражения найдем значение sa — точку пересечения асимптот: (7.7.14) Асимптоты годографа (если они имеются) пересекаются на действительной оси, поскольку сложение каждой пары сопряженных комплексных чисел приводит к действительному числу. Для передаточной функции (7.7.10) асимптоты корневого годографа пересекаются в точке, определяемой из (7.7.14): Свойство 4. Углы выхода ветви годографа из комплексного полюса (/?) и входа ветви в комплексный ноль (z). Для определения этих углов, которые помогают при ручных вычислениях определить попадание полюса (-сов) замкнутой системы в правую полуплоскость, следует воспользоваться соотношением (7.7.9).
7.7. Метод корневого годографа 16^ Угол выхода из полюса кратности q: (7.7.15) где /—число, равное 1, 2,...q, учитывающее кратность рассматриваемого полюса; st — точка на ^-плоскости, где находится полюс с номером /. Аналогично, применяя (7.7.9) для определения входа ветви годографа в ноль кратности #, получим: (7.7.16) где /—число, равное 1,2,... q, учитывающее кратность q рассматриваемого нуля; s, — точка, где находится ноль с номером /. Проведем расчет углов по формуле (7.7.15) для годографа рис. 7.55, W(s) определяется выражением (7.7.10). Начнем с 1-го полюса (р{ = — 1—/2, st = рх). Тоебуемые кооолинаты и паоаметоы для постановки в (7.7.15): Расчет угла для второго полюса (р? = — 1 +у2, s, = р?): Рис. 7.55 подтверждает выполненные расчеты. Зная свойства корневого годографа, можно даже без помощи компьютера представить его основные особенности и качественно показать начертание отдельных его ветвей. 7.7.2. Влияние цепей коррекции на корневой годограф системы Покажем, как с помощью корневого годографа можно сформировать свойства системы. Пусть неизменяемая часть имеет передаточную функцию: то есть является колебательным звеном. Поставим задачу получения определенных параметров переходного процесса в замкнутой системе при воздействии управляющего возмущения в виде единичного скачка:
170 Глава 7. Непрерывные линейные системы автоматического управления время нарастания (/г) равно 0,4 с; выброс Мр = 0,25. При заданных параметрах определим требуемые значения со0 и ?, которые, в свою очередь, связаны с комплексными полюсами замкнутой системы — доминирующими, то есть определяющими переходный процесс. Из (7.4.5) определим частоту со0: Коэффициент затухания ? определим, преобразовав (7.4.9): Доминирующие полюсы замкнутой системы, следовательно, должны быть рав- ны: Для коррекции, то есть для получения требуемых доминирующих полюсов, применим звено с опережением по фазе, имеющее передаточную функцию: где Рис. 7.57. Начальный участок корневого годографа системы с коррекцией (s+5,19)/(s+25), проходящий через точку г2 = — 1,8 + j4,12 Для того чтобы ноль звена коррекции влиял на параметры переходного процесса (tr и Мр) минимально, он должен как можно дальше находиться от полюсов г, и г2. Для эффективного действия звена коррекции отношение/?,/zx должно быть достаточно большим, и, следовательно, полюс рх на комплексной плоскости должен быть значительно левее нуля г, (эти ноль и полюс располагаются на действительной оси в левой полуплоскости). Выберем из указанных соображений рх = —25. Ноль zx звена коррекции определим из соотношения (7.7.9), положив в нем значение точки s равным г2 = —1,8 +У4,12, значения полюсов WH(s), равные —0,3 — у0,4 и —0,3 +у0,4, а также значение полюса звена коррекции.
7.7. Метод корневого годографа 17П| Рис. 7.58. Блок-схема системы с коррекцией опережения по фазе, спроектированная с помощью метода корневого годографа Из (7.7.9) запишем: Определив значения известных углов, из последнего соотношения получим Zi = —5,19. Корневой годограф с найденным значением полюса zx действительно проходит через точку с координатами г2 = —1,8 +у'4,12 (на рис. 7.57 показан начальный участок годографа, где корни WH(s) отмечены крестами и выделена точка г2). Коэффициент передачи К определим из (7.7.6), учитывая, что в этом выражении применительно к нашему случаю в W(s) включено и звено коррекции. Значение s в (7.7.6) опять принимается равным г2 (или г{): Блок-схема замкнутой системы показана на рис. 7.58, а ее реакция на единичный скачок — на рис. 7.59. Время нарастания tr, отсчитываемое по уровням 0,1 — 0,9, и параметр Мр оказались близкими к заданным. В заключение следует сказать, что метод корневого годографа позволяет определять не только коэффициент усиления К, но и другие параметры системы. 7.8. Метод пространства состояний В отличие от классических методов анализа и синтеза систем управления, основанных для непрерывных систем на дифференциальных уравнениях или преобразовании Лапласа, метод пространства состояний (state space) исходит из записи системы обыкновенных дифференциальных уравнений в нормальной форме: слева производные переменных, а справа от знака равенства переменные сигналы, воздействующие на систему. В данном методе используются понятия состояния системы и переменных состояния. Состояние системы, в суть которого вкладывается энергетический смысл, образует некоторое пространство. Можно представить, что система обладает некоторой совокупностью энергий, которая может определяться энергией потенциальной (положение объекта), кинетической (скоростью), энергией электрического поля (конден- Рис. 7.59. Реакция скорректированной систе- сатор с его зарядом и напряжением), мы на единичный скачок
Глава 7. Непрерывные линейные системы автоматического управления Рис. 7.60. Колебательное звено с двумя ис точниками входных сигналов и последова тельным сопротивлением конденсатора энергией магнитного поля (индуктивность, через которую проходит ток). В процессе работы системы каждый вид энергии, используемый в системе, изменяется во времени, отклоняясь от начального значения. В пространство состояний входят переменные состояния, определяющие конкретные виды энергий и образующие в общем случае «-мерный вектор. Общепризнано, что истоки метода пространства состояний восходят к работе A.M. Ляпунова, изданной в Харькове в 1892 г. и переизданной на французском языке в Тулузе в 1907 г. Дальнейшее развитие метод получил в работах Беллмана, Понтрягина, Калмана и др. [5, 34, 76]. Основные достоинства метода заключаются в следующем: - метод позволяет успешно анализировать системы, в которых и число входных сигналов и число выходных превышает единицу, то есть он пригоден для многосвязных систем; — метод допускает работу с нестационарными (параметры которых изменяются во времени), а также нелинейными системами; — метод позволяет использовать геометрические представления, в частности применять принципы векторного пространства при решении систем ОДУ; - метод показывает состояние всех переменных и влияние на них входных сигналов. 7.8.1. Запись уравнений по методу пространства состояний Силовая часть преобразовательного устройства с точки зрения электротехники представляет собой электрическую цепь, как правило, нелинейную. На примере достаточно простой, но часто используемой в преобразователях схемы, покажем получение уравнений по методу пространства состояний и обобщим полученные результаты. Колебательное звено, в котором имеются два входа (ивх и /), а в цепь выходной емкости включено последовательное сопротивление конденсатора (RK0Hd), показано на рис. 7.60. Источник тока отражает влияние тока i (возмущения) на работу звена. Используем для составления требуемых уравнений законы Кирхгофа. По 2-му закону: (7.8.1) По 1-му закону Кирхгофа: Из последнего соотношения получим: (7.8.2)
7.8. Метод пространства состояний I73j| (7.8.3) dur Из (7.8.1) и (7.8.2) исключим выражение ис + CRK0Hd —- и в результате получим dt выражение для тока в емкости схемы: (7.8.4) Приравнивая правые части (7.8.3) и (7.8.4), после преобразований получим для производной тока в индуктивности: (7.8.5) Уравнения (7.8.5) и (7.8.2) перепишем, выполнив простые преобразования: (7.8.6) (7.8.7) Если выходами схемы рис. 7.60 считать напряжение на сопротивлении R и ток iL, то, обозначив их как у2 и ур можно сделать запись в виде: (7.8.8) (7.8.9) Система уравнений (7.8.6), (7.8.7) представляет собой систему дифференциальных уравнений, записанных в нормальной форме: слева производные переменных состояния, а справа переменные состояния (/?, wc),умноженные на постоянные коэффициенты, и входные сигналы схемы ивх и /, также умноженные на постоянные, но уже другие коэффициенты. Форму записи уравнений (7.8.6), (7.8.7) и (7.8.8), (7.8.9) принято также называть уравнениями, записанными по методу пространства состояний, или уравнениями состояния. Запишем уравнения (7.8.6) и (7.8.7) в матричной форме, обозначив переменные состояния: х, = iL и х2 = ис как компоненты вектора х: (7.8.10) где дс = [хр х2]т, Т— знак транспонирования матрицы.
ЙП 74 Глава 7. Непрерывные линейные системы автоматического управления матрица коэффициентов, матрица связи входных сигналов с переменными состояния, и — вектор входных сигналов, который в данном случае состоит из двух компонент: и{ = ивхии2 = /. Таким образом, и = [w,, u2]T. Непосредственным перемножением матриц АХи Ви и сложением произведений получаются правые части уравнений (7.8.6) и (7.8.7). Вектор выходных сигналов у = \yl9 y2]Tполучим, записывая уравнения (7.8.8) и (7.8.9) в матричном виде: (7.8.11) где — матрица связи выходов системы с переменными со- — еще одна матрица связи — между вектором выхода и входными сигналами. Совсем нечасто один или несколько выходов системы находятся под непосредственным воздействием компонент вектора и и по этой причине, как правило, матрица D оказывается нулевой. В данном случае ток / влияет на у2, то есть на выходное напряжение ивых. Располагая записью уравнений в форме уравнений состояния (7.8.10), можно определить вектор jc, если воспользоваться интегралом свертки: (7.8.12) стояниями,
7.8. Метод пространства состояний 17! где матрица ср(/— т) называется переходной матрицей состояния, а первое слагаемое в правой части — реакция системы на ненулевые начальные условия переменных состояния дг(т). Программы, существующие в настоящее время, позволяют легко находить решение (то есть вектор jc) по (7.8.12) при известных матрицах А, Д известных входных сигналах (и) и начальных условиях. Уравнения состояния системы с одним входом и одним выходом могут быть получены из передаточной функции или дифференциального уравнения, применением блок-схемы моделирования [14]. Любая такая схема может быть построена на основе интегралов, безынерционных усилителей и сумматоров. В качестве примера рассмотрим опять колебательное звено (рис. 7.60), в котором для упрощения положим RK0Hd = 0, и, кроме того, будем считать ток /равным нулю. Применяя методы электротехники, получим две ПФ этого звена: (7.8.13) (7.8.14) где, напомним, co0 = 1/VZc — собственная резонансная частота /,С-фильтра; ?, = -J L/C /(2R) — коэффициент затухания. 7.8.2. Уравнения состояния в стандартной форме Общий метод нахождения уравнений состояния в так называемой стандартной форме получается из рассмотрения схемы моделирования, которую мы показываем на примере системы второго порядка (ПФ (7.8.13), (7.8.14), рис. 7.61). Здесь и, у — входной и выходной сигналы (скаляры); Ь0, Ьх и Ь7, а также а0, а, — неизвестные числа, входящие в уравнения состояния, которые еще предстоит определить; х, и х2 — переменные состояния, входящие в искомую систему уравнений. Мы располагаем одним дифференциальным уравнением (для рассматриваемого случая второго порядка) или передаточной функцией, которая задана в виде: (7.8.15) Используя известную передаточную функцию, запишем соответствующее дифференциальное уравнение, где р — символ дифференцирования:
176 Глава 7. Непрерывные линейные системы автоматического управления (7.8.16) коэффициенты <х0, а, и р0, р1? р2 — известны (см., например, (7.8.13), (7.8.14)). Из схемы моделирования (рис. 7.61) получим: Выражение для сигнала у продифференцируем: ру = х2 +?3w , или, выразив х2 черезх, и и: Вторая производная сигнала у: Последнее выражение перепишем, подставив в него значение производной х{: (7.8.17) В уравнение (7.8.16) подставим выражения для ру и у, определяемые через х19 х2, сигнал и и его производную, полученные из схемы моделирования. В результате имеем: Данное выражение после преобразований представим в виде: (7.8.18) Приравнивая правые части уравнений (7.8.17) и (7.8.18), получим соотношения для определения коэффициентов я0, av bv b2 и b3, входящих в схему моделирования: Выражения для определе-ния b3, b{ и Ь2 представим в матричном виде, считая известными коэффициенты <х0, а{, Р0, ^ и р2, входящих в ПФ (7.8.15): откуда, выполняя умножение левой и правой частей слева на обратную матрицу, получим: Таким образом становится известной матрица-столбец [В D]Т. Матрица А при нашем рассмотрении системы второго порядка принимает вид:
7.8. Метод пространства состояний I77j| что следует согласно записи дифференциальных уравнений для jc, и х2 из схемы моделирования. Наконец, запишем две матрицы— Си ГУ. С = [0 1] (выход у зависит только от переменной состояния х,, что можно видеть из рис. 7.61); D = Ьг = р2 (матрица /)-ска- ляр, входной сигнал и согласно рис. 7.61 поступает на выход Р™-7-61- Схема моделирования системы второго по- ^ , , рядка для получения уравнений состояния в стандарт- через блок с коэффициентом „ . J * , ч ^^ ной форме передачи Ь3). Для системы /i-го порядка и ПФ W(s)\ Матрицы А, В, Си Д определяемые рассматриваемым методом, записываются в виде: (7.8.19) (7.8.20) (7.8.21) (7.8.22) Возвращаясь к исходным данным примера, который мы начали рассматривать, передаточную функцию Wx (7.8.13) запишем в виде (7.8.15): откуда следует: (7.8.23)
|fl78 Глава 7. Непрерывные линейные системы автоматического управления Возьмем следующие данные для нашего примера: Тогда Таким образом, мы получаем следующие матрицы уравнений состояния: Рис. 7.62. Реакция тока дросселя на единичный скачок напряжения, построенная на основе уравнений состояния, определенных по схеме рис. 7.61 На рис. 7.62 показана реакция системы на единичный скачок входного напряжения, вычисленная по найденным уравнениям состояния с использованием матриц А, В, С, D. Выходная переменная (у) в соответствии со схемой моделирования совпадает с переменной состояния % и представляет собой ток в индуктивности L (схема рис. 7.60, в данном случае RKOItd = 0 и / = 0). Вычисление временной характеристики по передаточным функциям (7.8.13) или (7.8.23) приводит к результату, который полностью повторяет нарастание тока /L, показанное на рис. 7.62. Передаточную функцию (7.8.14) для получения уравнении состояния запишем в виде: (7.8.24) Для тех же данных, что и для передаточной функции Wx(s) (7.8.23), имеем значения коэффициентов: Следовательно, матрица А остается той же самой, а остальные равны: Расчет реакции системы (в данном случае выходной сигнал ивш = ис), выполненный с использованием полученных матриц состояния, показывает, как и при расчете тока iL, полное совпадение с результатом расчета по передаточным функциям (7.8.14) или (7.8.24).
7.8. Метод пространства состояний 17$Г 7.8.3. Уравнения состояния в управляющей канонической форме (control canonical form) Покажем, каким образом уравнения в данной форме можно получить, располагая передаточной функцией системы. Пусть мы имеем ПФ системы третьего порядка: Данной ПФ соответствует схема моделирования, показанная на рис. 7.63, и можно видеть ее отличие от схемы, позволяющей получить уравнения состояния в стандартной форме (рис. 7.61). На рисунке показаны переменные состояния х,,х2 и х3, которые через коэффициенты числителя формируют выходной сигнал у. Рис. 7.63. Схема моделирования системы третьего по- Из рис.7.63, принимая во рядка для получения уравнений состояния в управляю- внимание действие интеграто- щей канонической форме ров, получим следующую систему уравнений: (7.8.25) Из (7.8.25) получим матрицы, представляющие уравнения состояния в управляющей канонической форме: Индексы «ук», указывают, что матрицы составлены для управляющей канонической формы. Докажем, что схема моделирования на рис. 7.63, приведенная здесь без обоснования, действительно соответствует исходной передаточной функции W(s). Из первого уравнения (7.8.25) выразим входной сигнал в операторной форме: (7.8.25, а) Запишем второе и третье уравнения (7.8.25) в операторной форме и подставим результат в (7.8.25, а). После преобразований получим: Далее запишем четвертое уравнение (7.8.25) в операторной форме, исключив из него переменные Xx(s) и X2(s). В результате получим:
180 Глава 7. Непрерывные линейные системы автоматического управления Из последних двух выражений получим исходную передаточную функцию W(s), что свидетельствует о том, что схема на рис. 7.63, позволившая получить уравнения состояния, является корректной. Такая схема может быть легко изменена для системы любого порядка, и в общем случае матрицы уравнений, состоящие в управляющей канонической форме, записываются следующим образом: В управляющей канонической форме матрица Вук имеет первую компоненту единицу, а остальные — нули. Матрица — строка Сук строится по коэффициентам числителя передаточной функции. Пример. Получим матрицы уравнений состояния для передаточной функции Wx(s) (7.8.23) в управляющей канонической форме для прежних исходных данных: а, = 105 рд/с; а0 = 1010 (рд/с)2; р, = 104 рд/(с-Ом); ро = 109 (рд/с)2/Ом. Имеем в данном случае: 7.8.4. Уравнения состояния в модальной форме Еще один вид уравнений состояния, называемый модальной (или нормальной) формой, основан на понятии характеристических чисел и характеристических векторов матрицы. Допустим А — квадратная матрица л-го порядка, с элементами atj. Матрица XI— А, где /— единичная матрица, называется характеристической. Определитель характеристической матрицы, равный нулю, приводит к характеристическому уравнению: (7.8.26) где неизвестные значения X — корни уравнения — называются характеристическими числами (собственными значениями) матрицы А. Характеристическая матрица получается из равенства: (7.8.27) которое можно представить как преобразование вектора jc с помощью матрицы А в другой вектор и, в свою очередь, этот вектор сохраняет прежнее направление в векторном пространстве (такое же, как направление вектора х). Уравнение (7.8.27) запишем в виде системы линейных однородных уравнений:
7.8. Метод пространства состояний или в матричной форме: (7.8.28) Система однородных уравнений (7.8.28) имеет решение отличное от нуля (то есть все элементы вектора х не равны нулю), если определитель \Х1— А\ = 0. Из этого условия и вытекает характеристическое уравнение (7.8.26). Далее полагаем, что корни уравнения (7.8.26) — различные. Каждому корню ^уравнения (7.8.26) соответствует вектор jc, называемый характеристическим (собственным вектором) матрицы А. Характеристические векторы образуют столбцы матрицы Г, называемой преобразующей (или модальной) матрицей. Вопрос заключается в том, каким образом эти столбцы могут быть найдены. Определение преобразующей матрицы Для определения матрицы Гсначала напомним несколько основных понятий о матрицах. Если А — квадратная матрица, а Су — алгебраическое дополнение элемента ау матрицы А, то обратная матрица АА равна: где AdjA — присоединенная матрица; \А[ — определитель матрицы А (при условии, что|Л|*0). Присоединенная матрица образуется из алгебраических дополнений Су/: Если в исходной матрице А каждый элемент atj заменить его алгебраическим дополнением Cip а полученную матрицу транспонировать, то в результате получится матрица AdjA. Алгебраическое дополнение элемента aip то есть (С0), равно минору aiJ9 взятому со знаком (— 1)'Ч Таким образом, Определитель \М0\ называется минором элемента av и получается вычеркиванием /-и строки иу-го столбца в определителе |Л|. Оставшиеся п — 1 строк и столбцов образуют определитель \М^. Пример. Найти присоединенную и обратную матрицы для матрицы А: Алгебраические дополнения матрицы А:
182 Глава 7. Непрерывные линейные системы автоматического управления Образуем матрицу алгебраических дополнений: Присоединенная матрица: Отметим, что присоединенная матрица для исходной матрицы второго порядка образуется просто: нужно элементы аи и а22 поменять местами, а у элементов ап и а1Х оставив их на тех же местах, поменять знаки. В нашем примере определитель матрицы А. Обратная матрица для А Произведение матрицы А на ее обратную матрицу Л-1 есть единичная матрица /: Выполним проверку решения нашего примера: Для пояснения модального представления матриц рассмотрим некоторую квадратную матрицу А я-го порядка. Для матрицы А находится неособая матрица Г(ее определитель не равен нулю): и обратная ей матрица с помощью которых можно представить матрицу А в виде: (7.8.29) где Л — диагональная матрица, составленная из характеристических чисел (в случае простых характеристических чисел матрицы Л). Матричное преобразование (7.8.29) называется преобразованием подобия. Из него следует (после умножения слева обеих частей на матрицу Iм и затем справа на матрицу 7):
7.8. Метод пространства состояний (7.8.30) Вообще, при преобразовании подобия двух матриц Fn А(А = TFT1) характеристические числа инвариантны к этому преобразователю, то есть матрицы Fn A имеют одинаковые характеристические числа. Характеристический вектор, соответствующий характеристическому числу \ матрицы А = TFT1 и умноженный справа на матрицу Т\ является характеристическим вектором матрицы /'для того же характеристического числа \. Воспользуемся (7.8.29) и получим из этого выражения обратную матрицу Ал. Для этого возведем обе части равенства в степень -1: (7.8.31) При выводе (7.8.31) использовались свойство ассоциативности матриц при их умножении и дважды правило перестановки для произведения обратных матриц. Обратная диагональная матрица Л1 имеет на главной диагонали элементы, равные обратным значениям характеристических чисел, то есть 1 /Х19 1Д2,... 1 /Хп. Произведение трех матриц, входящих в правую часть последнего равенства, можно представить с помощью следующего разложения: (7.8.32) где Г; — /-й столбец матрицы Г, к, — /-я строка матрицы Г1. Напомним, что А,, — характеристическое число исходной матрицы А. Каждый элемент суммы, входящий в (7.8.32), представляет собой матрицу размерности п. Равенство (7.8.32) легко проверить при рассмотрении, например, матриц второго порядка. Располагая определением обратной матрицы и соотношением (7.8.32), вернемся к нахождению характеристических векторов матрицы А. Обратная матрица [XI— А\_1 записывается в виде: (7.8.33) Все элементы присоединенной матрицы Adj \Х1— Л| являются полиномами от X степени не выше (п— 1), поскольку они являются алгебраическими дополнениями матрицы [XI-А]. Определитель \Х1— Л| — полином л-й степени. Поэтому каждый элемент обратной матрицы (XI— А)~1 является рациональной функцией (отношением двух полиномов) и может быть разложен на элементарные дроби. Поскольку мы рассматриваем случай простых корней Х19 Х2,... Хп характеристического полинома Р(Х) (7.8.26), указанное разложение записывается в виде: (7.8.34) где Adj^Xfl-A] — присоединенная матрица при подстановке в нее значения X = Xi9 F(X) — производная полинома Р(Х) при подстановке в нее значения X = Хг
184 Глава 7. Непрерывные линейные системы автоматического управления Таким образом, согласно (7.8.34) обратную матрицу [XI-A]_| можно представить в виде суммы п матриц л-го порядка, в каждую из которых входит множитель \/(Х-Х). Теперь воспользуемся выражением (7.8.32), полученным для произвольной квадратной матрицы А, и применим его к характеристической матрице [XJ-A]. У исходной матрицы А имеется преобразующая матрица (назовем ее опять 7) и обратная ей матрица Г1. Согласно (7.8.31) и (7.8.32) запишем: (7.8.35) где, как и прежде Г, и к, — /-й столбец матрицы Ги /-я строка матрицы Тх соответственно. Из (7.8.34) и (7.8.35) устанавливаются п равенств, позволяющих определить матрицу 71 (7.8.36) \ ¦ S Слева и справа в последнем выражении квадратные матрицы л-го порядка. Произведение /-го столбца (f.) на /-ую строку (Kj) — это матрица размерности п х п: (7.8.37) Все столбцы матрицы Г; к,, как показывает (7.8.37), содержат один и тот же вектор г., но они отличаются только постоянным множителем — это может быть к,., или к;2 или любой из компонентов матрицы-строки к,. Отсюда следует, что вектор г. в соответствии с (7.8.36) пропорционален любому столбцу присоединенной матрицы Adj[XxI— А], причем коэффициент пропорциональности определяется значением отдельного компонента матрицы — строки к,.. Таким образом, задание вектора tt равным или пропорциональным любому столбцу матрицы Аф'[Х/— А] позволяет определить один столбец матрицы Г, соответствующий корню А.,.. Пример. Для матрицы А второго порядка определить преобразующую матрицу Т. Характеристическое уравнение: Р(Х) = \Х1-А\ = 0; Характеристические числа матрицы А:
7.8. Метод пространства состояний 18! Присоединенная матрица: При корне Хх = — 1 присоединенная матрица равна: При корне Х2 = 5 присоединенная матрица равна: Образуем матрицу Т из столбцов матриц Adj[K{I— А] и Adj[k2I— А]. Столбцы матрицы образуют базис характеристических векторов, в данном случае в двухмерном пространстве (на плоскости). Характеристические векторы образуют только направления, поэтому столбцы матриц Adj[XJ— A\ nAdj[k2I—A\ могут быть умножены на любое произвольное число. Не следует только брать столбец матрицы Adj[kxI— A\, если он состоит из одних нулей. Таким образом, можно сформировать матрицу Гв данном примере, например, так: Проверим, удовлетворяет ли найденная матрица Т матрицей данного примера. Используем (7.8.29): При кратных корнях характеристического уравнения определение преобразующей матрицы становится более сложной процедурой. В [14] показано, каким образом определяется матрица Тс использованием присоединенной матрицы Adj[X-I— А] (дефект характеристической матрицы q = 1) или производной матрицы [Adj[XI-A]} , ^-кратность корня (дефект матрицы q > 1). Под дефектом характеристической матрицы [^/— А] понимается разность: где п — порядок характеристической матрицы, г— ее ранг. Располагая матрицей Т, можно перейти от исходной системы уравнений состояния к системе в модальной форме. В исходной системе (в предположении, что корни характеристического уравнения IX,,/— А\ = 0 простые): выполним следующие изменения: умножим обе части первого матричного уравнения слева на обратную преобразующую матрицу Г-1:
186 Глава 7. Непрерывные линейные системы автоматического управления Образуем новый вектор, связанный с вектором переменных состояния х соотношением q = Tlx. Тогда последнее уравнение запишется в виде: или, поскольку Т— преобразующая матрица: где Л — диагональная матрица с элементами А,,, Х2,... Хп, которые являются характеристическими числами матрицы А. Поскольку уравнение относительно выходного вектора у также изменяется при переходе к новому вектору q, окончательно система уравнений, называется системой уравнений в модальной форме и запишется в виде: гдсА = Т1АТ,Вт=ГВ,Ст=С9. Рассмотрим пример. Ранее были определены матрицы А, В, С и D для колебательного звена, выходом которого является ток iL, а входом напряжение и^ (ПФ 7.8.23). Теперь получим уравнения состояния в модальной форме для тех же исходных данных. Из матрицы Аух запишем характеристическое уравнение: Характеристические значения матрицы АуК: X, = -50-Ю3 +у86,6-103; Х2 = -50103-у86,6103. Присоединенная матрица (находится из матрицы [XI— АуК]: Формируем матрицу Гиз столбцов присоединенной матрицы: Произведя преобразования с комплексными числами, получим: Произведение матриц:
7.8. Метод пространства состояний 18 где пара комплексных корней занимает четыре клетки и по главной диагонали расположены действительные их части. Если преобразующую матрицу Г со столбцами, содержащими комплексные числа (как в нашем примере) применить для определения матриц Вт и Ст, в результате получатся опять матрицы с комплексными числами. Чтобы избежать этого, в качестве преобразующей матрицы (71) используется матрица, сформированная следующим образом. Один столбец матрицы Г, содержащий алгебраическую сумму действительной и мнимой частей собственного значения исходной матрицы А (или Аук), теперь образует два столбца матрицы 71, при этом действительное число в столбце матрицы занимает два столбца матрицы 71, причем во втором ее столбце оказывается ноль. Сказанное поясним на нашем примере. Матрица Тимеет первый столбец который переходит в матрицу 71 в следующем виде: Все столбцы преобразующей матрицы Т, соответствующие действительным собственным значениям (X) исходной матрицы, переходят без изменения в преобразующую матрицу 71. Таким образом, при действительных А.,, имеем: 71 = Т. Теперь с помощью сформированной для нашего примера матрицы 71 определим матрицы Вт, Ст и Dm. Существуют различные представления матриц Вт и Ст9 которые получаются из различных исходных форм уравнений состояния, например, из стандартной или управляющей канонической. 7.8.5. Управление на основе обратных связей от переменных состояния Проектирование на основе метода пространства состояний позволяет эффективно использовать сигналы, получаемые либо от датчиков переменных состояния, либо от вычислительного устройства, оценивающего эти переменные в контуре управления. Здесь, не рассматривая подробно данный подход (детальное описание метода пространства состояний можно найти в [73, 14]), поставим задачу определения коэффициентов передачи от каждой переменной состояния к управляющему входу. При этом мы называем желаемое расположение полюсов замкнутой системы,
|П 88 Глава 7. Непрерывные линейные системы автоматического управления Рис. 7.64. Блок-схема, показывающая формирование управляющего сигнала от переменных состояния в частности, гарантирующее устойчивость системы. Рассмотрим блок-схему, показанную на рис. 7.64. Сигнал обратной связи (и) формируется от переменных состояний (вектор дс) с помощью матрицы-строки К: (7.8.38) Представим значение и из (7.8.38) в уравнение, описывающее систему в разомкнутом состоянии (7.8.10). В результате получим: (7.8.39) Уравнение (7.8.39) соответствует замкнутой системе (рис. 7.64), а ее полюсы определяются из характеристического уравнения: (7.8.40) Данное уравнение п-й степени от s нельзя решить, поскольку значения К19 К2, ...Кп, входящие в него, неизвестны. С другой стороны, характеристическое уравнение может быть записано в виде: (7.8.41) где 5,3,52з, ...sn3 — корни замкнутой системы, которые можно расположить в требуемых точках комплексной плоскости. Располагая уравнениями (7.8.40) и (7.8.41), можно сопоставить коэффициенты при степенях s и, следовательно, определить требуемые значения параметров матрицы-строки К. Пример. Передаточная функция системы: В замкнутой системе располагаем полюсы: sl3 = —10; s23 = —3. Получим матрицы системы в управляющей стандартной форме, используя выражения (7.8.19-7.8.22). В нашем случае: а0 = 8;а, = 6;Р0= 1,4;р, = 0,1. Коэффициенты матрицы А: а0 = а0 = 8; ах = а, = 6; Матрицы В и D определим, решая систему уравнений:
7.8. Метод пространства состояний I89j| В результате получим: Матрица С в данном примере не используется. Характеристическое уравнение замкнутой системы (7.8.41) при известных теперь корнях записывается в виде: (7.8.42) Характеристическое уравнение (7.8.40) при известных матрицах Л, В записывается в виде полинома от s: Приравнивая определитель нулю, получим: (7.8.43) Приравнивая коэффициенты при степеняхsуравнений (7.8.42) и (7.8.43), определим Кх и К{. Обеспечив полученные коэффициенты передачи Кх и К2 от переменных состояния к управляющему сигналу и, получим заданное расположение корней замкнутой системы s]3 и s2y Существуют и другие способы определения коэффициентов матрицы-строки К, в частности, один из них основан на применении формулы Акерманна [59, 73]. Формула Акерманна использует матрицу управляемости и матричный многочлен: (7.8.44) Е-1 — матрица, обратная матрице управляемости; Р3(А) = А" + рзХАпЛ + рз2А"'2 + ... + pjl— матричный многочлен, где коэффициенты р3],рз2, ••• рзп входят в характеристическое уравнение (7.8.41); Расчет по формуле (7.8.44) для исходных данных последнего примера приводит к результату: что совпадает с полученными ранее значениями.
ГЛАВА 8 ДИСКРЕТНЫЕ СИСТЕМЫ Ранее рассматривались линейные непрерывные системы, в которых любые сигналы — входные, выходные или промежуточные — представляли непрерывные функции времени. Более широко в настоящее время в системах управления применяются способы передачи сигналов, при которых используется их дискретизация. Дискретизация сигнала означает замену непрерывного сигнала их дискретными значениями. Дискретные системы автоматического управления по виду квантования подразделяются на три типа: — импульсные, в которых один или несколько сигналов дискретизируются (или квантуются) в заранее фиксированные моменты времени. Эти моменты времени друг от друга отличаются на величину Тф называемую периодом дискретизации (квантования). В современных системах таких периодов дискретизации может быть несколько; — релейные, в которых один или несколько сигналов дискретизируются по уровню; — цифровые, в которых один или несколько сигналов дискретизируются и по времени и по уровню. Квантование по уровню в цифровых системах происходит вследствие дискретности сигнала на выходе АЦП, ошибок квантования в цифровых фильтрах и дискретности сигнала, вызванной недостаточной разрядностью цифрового ШИМ. В данной главе рассматриваются импульсные линейные системы, модели которых широко используются при проектировании преобразователей энергии. Для общности оставляется термин «дискретные системы». Различные эффекты квантования по уровню и влияние их на работу системы управления с цифровым контроллером, рассмотрены в последующих главах. В транзисторных преобразователях, принципиально относящихся к дискретным системам, основанных, как правило, на широко-импульсной и реже — частотной модуляции, управляющая часть, отвечающая за процессы регулирования, может выполняться как на аналоговом, так и дискретном принципах. Несмотря на то, что преобразователи традиционно выполнялись и продолжают выполняться с управляющей частью, основанной на операционных усилителях, резисторах, конденсаторах и некоторых других аналоговых компонентах, все больше этих устройств, включающих в себя ККМ, DC-DC преобразователи и инверторы, используют цифровые контроллеры. В определенных областях - при мощности уже несколько сотен ватт и более - одно- и трехфазные инверторы, преобразователи с выходом на постоянном токе с гальванической развязкой, а также ККМ выполняются с управляющей частью, построенной на основе цифрового сигнального процессора (ЦСП, DSP — общепринятая международная аббревиатура).
8.1. Блок-схемы непрерывной и дискретной систем управления 8.1. Блок-схемы непрерывной и дискретной систем управления Обычная система непрерывного управления показана на рис. 8.1 в упрощенном виде и включает в себя объект (показан на рисунке вместе с необходимыми входными усилителями мощности), цепь обратной связи (ОС) и управляющую часть (контроллер). Предполагается, что в цепь ОС могут поступать сигналы от различных входных датчиков и элементов их обработки. К блок-схеме, показанной на рис. 8.1, можно свести системы управления преобразователями энергии, если контроллер выполняется на аналоговых элементах. Вход системы на рис. 8.1 — управляющий сигнал, выход — управляемая переменная. Блок-схема дискретной системы, основанная на использовании цифрового контроллера (вычислителя), включает в себя элементы преобразования аналогового сигнала в цифровой (АЦП, ADC — analog digital converter) и преобразования кода контроллера в аналоговый сигнал (ЦАП, DAC — digital analog converter) (рис. 8.2). Рис. 8.1. Упрощенная блок-схема системы непрерывного управления; e(t) — сигнал ошибки Рис. 8.2. Блок-схема дискретной системы управления Вместо двух АЦП, работающих от аналоговых сигналов на входе и в цепи ОС, может быть использовано только одно такое устройство, включаемое после сумматора. При широтно- или частотно-импульсной модуляции, применяемой в преобразователях, можно не включать в схему ЦАП, поскольку сигнал приходит через драйверы на управление силовой частью непосредственно от цифрового контроллера. 8.2. Разностные уравнения - основа описания дискретных систем Дискретные системы описываются с помощью разностных уравнений подобно тому, как непрерывные системы описываются дифференциальными уравнениями. Чтобы пояснить суть разностного уравнения, рассмотрим рис. 8.3, на котором показано устройство задержки D (delay — задержка), усилитель с коэффициентом передачи а и сумматор. Устройство задержки — элемент, с помощью которого входной сигнал задерживается на одну единицу дискретного времени. Для упрощения мы опускаем на
WT\ 92 Глава 8. Дискретные системы рисунке символ Td — период дискретного времени, сохраняя только номер этого периода к. Выход сумматора, показанного на рис. 8.3, может быть записан: откуда следует: (8.2.1) Уравнение (8.2.1) — это линейное разностное уравнение первого порядка. Общая форма разностного уравнения л-го порядка записывается в виде: или в сокращенном виде: (8.2.2) Общее решение разностного уравнения подобно решению дифференциального уравнения, содержит решение однородного уравнения (с правой частью равной нулю), решения, называемого Рис. 8.3. Блок-схема, поясняющая разностное вспомогательным, и частное решение, уравнение первого порядка зависящее от правой части уравнения (8.2.2) (вынужденное решение). При равенстве нулю правой части уравнения общее решение совпадает со вспомогательным. Такое решение определяет свободное движение. Для определения вспомогательного решения должны быть известны начальные условия, число которых равно порядку уравнения. Пример. Решим разностное уравнение: Для определения вспомогательного решения составим характеристическое уравнение: корни которого равны: Вспомогательное решение записывается в виде: где постоянные коэффициенты определяются начальными условиями. В данном случае корни характеристического уравнения действительные и различные числа. Поскольку их модули меньше единицы, вспомогательное решение будет стремиться к нулю, а процесс в дискретном времени будет затухающим при любых значениях С, и С2.
8.1. Блок-схемы непрерывной и дискретной систем управления При кратных действительных корнях характеристического уравнения процесс будет затухающим, если модуль этих корней также меньше единицы. Наконец, при комплексных корнях характеристического уравнения требуется, чтобы корни находились в круге единичного радиуса, другими словами, модуль комплексного корня должен быть меньше единицы. Если корни характеристического уравнения лежат внутри круга единичного радиуса, устойчивость линейной дискретной системы считается асимптотической. Вспомогательное решение разностного уравнения стремится при этом к нулю с течением времени. При нахождении нескольких корней характеристического уравнения на окружности единичного радиуса и при условии, что эти корни простые, а остальные корни находятся внутри единичной окружности, линейная дискретная система остается устойчивой, но эта устойчивость не является асимптотической. Считается, что система в этом случае находится на границе устойчивости. Частное решение неоднородного разностного уравнения для данного примера будем искать в виде полинома; где коэффициенты А0иА] определим, подставив частное решение в исходное уравнение: После преобразования левой части последнего уравнения получим Приравнивая коэффициенты левой и правой частей последнего уравнения при к и кР, получим значения коэффициентов А$ и А{. Таким образом, общее решение исходного разностного уравнения записывается в виде: (8.2.3) Допустим, в нашем примере заданы начальные условия: Подставляя в (8.2.3) поочередно два значения у(—1) и у( —2), получим систему из двух уравнений, из которой определим: Другой подход к решению разностного уравнения заключается в использовании выражения (8.2.2), в котором в левой части следует оставить только у(к). Задавая последовательно значения к = О, затем к = 1 и так далее, можно определить методом рекурсии решение для любого значения к. Компьютер легко справляется с решением данным методом. Пример. Используя последовательно итерации, определить решение уравнения:
194 Глава 8. Дискретные системы при Перепишем исходное уравнение в виде: Тогда: Очевидно, что данное решение описывает расходящийся процесс, с каждым шагом значение выходной величины возрастает в 1,8 раза, поскольку 1,8 — корень характеристического уравнения - больше единицы. Более подробные сведения о разностных уравнениях и методах их решения можно найти в [14, 19, 93]. 8.3. Дискретная свертка Рассмотрим сначала единичную импульсную функцию, полезную при описании дискретных сигналов (выборок), следующих с определенной фиксированной частотой. Эта функция имеет значение, равное единице при к = 0 и ноль для всех других целых значений к. Ее можно описать следующими равенствами: (8.3.1) Индекс «1» введен для того, чтобы отличить единичную импульсную функцию с амплитудой, равной единице, от8-функции, рассмотренной в предыдущей главе. Функцию д^к) называют также символом Кронекера. Дискретный сигнал х(к) может быть представлен с помощью функции 8,(fc): (8.3.2) Из последнего соотношения следует, что при всех значениях п, кроме равного к, значения функции х равны нулю. Полезность уравнения (8.3.2) заключается в том, что любой дискретный сигнал может быть представлен в виде бесконечного ряда смещенных единичных импульсов, умножаемых на х(п). Другими словами, сигнал х(?)представляет собой модулированную последовательность 8,-функций. Теперь предположим, что входной сигнал v(k) некоторой линейной дискретной системы представляет последовательность единичных импульсов 5,(к). или
8.3. Дискретная свертка iqJ% Тогда выходной сигнал системы у(к) должен быть равен Н(к), где h(k) — реакция на единичный входной импульс или 8-функцию в дискретный момент к (импульсная переходная функция, рассмотренная в гл. 7). Итак, Пользуясь свойствами линейности и инвариантности системы (неизменности ее структуры во времени), запишем: — если входной сигнал v(k) приводит к появлению сигнала на выходе у(к), то av(A:) приводит к появлению сигнала ау(к), для любого значения v(k) и условии, что a — константа; — если v(k) порождает у(к), то v(k — п) порождает у(к — л), другими словами, при задержке во времени входного сигнала выходной сигнал не изменяет своей формы, но также задерживается во времени. Входной сигнал v(k) с помощью функции 8Х можно представить в виде бесконечного ряда (используется соотношение (8.3.2)): (8.3.3) Тогда на выходе должен появиться сигнал: (8.3.4) Последнее выражение называется суммой дискретной свертки и при условии, что верхний предел суммы может быть ограничен, а нижний начинается от момента «ноль», записывается в виде: (8.3.5) (8.3.6) Часто сумма дискретной свертки обозначается в более простом виде: (8.3.7) 8.4. z-преобразование и обратное z-преобразование При использовании ^-преобразования упрощается нахождение свертки, решение разностных уравнений сводится к алгебраическим вычислениям, анализ и синтез дискретных систем при использовании ^-преобразования также упрощается. Двухстороннее ^-преобразование сигнала ДА:), определяемого в моменты к = 0, ±1; ±2,..., содержит две суммы, как показывает следующее соотношение: (8.4.1) Символ А: соответствует дискретным моментам времени 0, +Td, +2Td, которые следуют с периодом дискретизации Td. Если считать, что учтены граничные уело-
196 Глава 8. Дискретные системы вия в момент времени t = О, можно считать ДА:) = 0 при всех к < 0. В этом случае первый член в правой части (8.4.1) равен нулю, и мы переходим к одностороннему z-преобразованию, которое записывается в виде: (8.4.2) ^-преобразование получается в результате применения преобразования Лапласа к непрерывной функции ДО» модулирующей, в свою очередь, периодическую последовательность импульсных 5-функций [43]. Переменная гв (8.4.1) и (8.4.2) является комплексной экспоненциальной функ- sT цией: z = е \ К системам, которые в дальнейшем рассматриваются, применимо одностороннее ^-преобразование (8.4.2). К другим системам, например, стохастическим, следует применять двухстороннее z-преобразование. Функция F(z) является изображением решетчатой функцииДА:). Рассмотрим несколько примеров ^-преобразования простых решетчатых функций. Пример 1. Дискретная ступенчатая функция описывается выражением: Определить ^-преобразование этой функции. Используя формулу (8.4.2), получим: (8.4.3) Ряд представляет собой геометрическую прогрессию со знаменателем г1 и будет сходиться к сумме при условии, что |г1 < 1: Поэтому ^-преобразование дискретной ступенчатой функции равно: (8.4.4) Неравенство \zl\< 1 означает, что при комплексных числах, лежащих за пределами круга с центром в точке 0 и радиусом равном 1 последовательность (8.4.3) будет сходиться к сумме (8.4.4). Область сходимости ряда — площадь вне этого круга. Обычно записывают:/(к) <-» F(z), следовательно и(к) Пример 2. Определить ^-преобразование единичной импульсной функции (8.3.1). Используя определение ^-преобразования (8.4.2), получим:
Пример 3. Найти ^-преобразование сигнала аки(к). 8.4. z-преобразование и обратное ^-преобразование . Q_ (8.4.5) Знаменатель геометрической прогрессии равен I — I, поэтому получим: (8.4.6) Область сходимости ряда: й > |д|. Пример 4. Определить, каким образом изменится ^-преобразование дискретного сигнала flk) при умножении его на к. ^-преобразование F(z) сигналаДЛ:) считаем известным. Возьмем производную функции F(z)'. В последнем равенстве справа под знаком суммы мы видим ^-преобразование сигнала kf(k). Поэтому можно записать: (8.4.7) Пусть дискретный сигнал равен как-и(к). Используя (8.4.6) и (8.4.7), получим: (8.4.8) Пример 5. Определить ^-преобразования дискретных сигналов (ак)'-и(к) и (ак)"'и(к) (дифференцирование по основанию а). Производная сигнала ак равна как\ поэтому из (8.4.8) определим: (8.4.9) Вторая производная сигнала акравна к(к — \)ак2, поэтому, дифференцируя по «а» обе части соответствия (8.4.9), получим: (8.4.10) Используя соответствия (8.4.9) и (8.4.10), определим г-преобразование сигнала кги(к).
fifl 98 Глава 8. Дискретные системы Положим в (8.4.10) а = 1, тогда имеем: В (8.4.9) также положим а = 1, что приводит к результату: Из двух последних соотношений получим окончательно: В табл. 8.1 представлены несколько функций дискретного времени и их z-изображения. Td — период дискретизации. Таблица 8.1 Таблица ^-преобразований некоторых функций N9 п/п 1. 2. 3. 4. 5. 6. 7. 8. № Td) \,к = 0 0,**0 \,к=п 0,к*п u(kTd) \9к>п 0,к<п Щ (kTf e-akTd sin($kTd) costfkT,) m i zn z z-\ Tdz (<-02 Td2z(z+1) (z-if z z-eaT< zsin|}7^ Z2-2zcos$Td+\ z(z-cos$Td) z2-2zcos$Td+l Область сходимости kl>i Ым kl>i \z\>*-akT' Ы>1 kl>i 8.4.1. Свойства z-преобразования Ниже представлены несколько важных свойств ^-преобразования, которые помогают при его использовании, упрощая необходимые выкладки.
8.4. z-преобразование и обратное z-преобразование 1. Сходимость. Ряд (8.4.2) сходится абсолютно (то есть сходится ряд, составленный из абсолютных величин исходного ряда) при \z I > с, где с называется радиусом сходимости. Например, решетчатая функция /(&)= ек не имеет z-изображения, поскольку для этой функции радиус сходимости бесконечен. 2. Умножение на постоянный множитель. 3. Линейность. Если/|(А;) и/2(к) — функции дискретной переменной, F{(k) и F2(k) представляют соответственно их ^-преобразования, а а и р — константы, то имеет место равенство: 4. Свертка. ^-преобразование от свертки двух последовательностей (необязательно входного сигнала и реакции на единичные импульсы) является произведением ^-преобразования каждой из этих последовательностей: где Пример. Пусть/|(&) = к, тогда z-преобразование этой функции равно Fx (z)= j. (z-l) Пусть f2(k) = е-3*7*, z-преобразование равно (табл. 1): Свертка в z-области функций fx(k) и/2(к): 5. Смещение аргумента решетчатой функции. Пусть мы имеем: Тогда для ДА: — п) последовательности, смещенной на п тактов (п > 0) вправо, имеем ^-соответствие:
100 Глава 8. Дискретные системы Действительно, сделаем замену: т = к — л, в результате получим: Поскольку при всех т < 0J{m) = О (одностороннее z-преобразование) мы получим окончательно: В том случае, если исходная последовательность J{к) смещается на т тактов влево, имеем соответствие: Докажем это свойство для т = 1. Из определения ^-преобразования имеем: Положим в правой части последнего равенства п = к + 1: 6. Теорема о начальном значении. Согласно данному свойству можно определить ДО) последовательности fik)9 располагая ^-изображением этой функции F{z). По определению ^-преобразования: Можно видеть, что ДО) — первый член ряда функции Дк), подвергнутой ^-преобразованию. Поэтому Для определенияДО) по изображению F{z) необходимо существование предела при z -> °°. Пример. Определить начальное значение последовательности, которая соответствует ^-преобразованию:
8.4. z-преобразование и обратное z-преобразование 201 j| Применим теорему о начальном значении: Функция F(z) соответствует оригиналу e~akTd (табл. 8.1). При к=О значение оригинала равно 1, что совпадает со значением, определенным с помощью теоремы о начальном значении. 7. Теорема о конечном значении. Конечное значение последовательности J(k) можно определить с помощью данной теоремы Для существования указанного предела при z-> 1 необходимо, чтобы функция (z - l)F(z) была аналитической на и вне единичного круга, другими словами, полюсы функции F(z) находились бы внутри круга единичного радиуса. В [14] теорема о конечном значении связывается с нахождением предела , что эквивалентно определению 8.4.2. Обратное z-преобразование Известны три способа определения исходной последовательности по имеющемуся ^-преобразованию. 1. Получение исходной последовательности в виде бесконечного ряда. По определению ^-преобразования: Задача заключается в определении коэффициентов перед степенями z. Проще всего это делается с помощью деления числителя F{z) на его знаменатель. При этом многочлены числителя и знаменателя необходимо записывать по возрастающим степеням от г1, то есть как z°, r1,... Zm. Пример. Приведем функцию F(z) к виду: Производим деление:
|о02 Глава 8. Дискретные системы Из произведенного деления следует, что исходная последовательность будет следующей: ДО) = 0;ЛО = 0;Л2) = 0;ДЗ) = 4;Д4) = 20;Д5) = 64;Д6) = 168.... Последовательность расходящаяся, поскольку ее z-изображение содержит полюс, равный 2, выходящий за пределы круга единичного радиуса. 2. Разложение z-изображения на элементарные дроби. В этом методе используется разложение функции F(z)/z на элементарные дроби с последующим применением таблицы ^-преобразования. Метод применим при простых, кратных и комплексных полюсах функции F(z)/z- Пример. Функцию, приведенную в предыдущем примере преобразуем к виду Формулы разложения дробно-рациональной функции на простые множители показаны в 7-й главе. Применим их к функции, F{z)/Az учитывая кратность корня z = 1:
8.4. z-преобразование и обратное z-преобразование 203> После разложения функции F{z)/4z на элементарные дроби имеем: Используя табл. 8.1, находим: В одном из предыдущих примеров (5) было определено соответствие: На основании последнего соотношения получим: Оригинал изображения z/(z - 2) определим с помощью результата, полученного в примере (3): Таким образом, используя свойство линейности z-изображения, запишем: Подстановка в правую часть последнего выражения значений к = 0, 1, 2, 3, 4, 5,6... приводит к результатам, полученным с помощью непосредственного деления. Достоинством разложения ^-изображения на элементарные дроби является получение оригинала в замкнутой форме, а не в виде ряда. 3. Определение оригинала с помощью интеграла обратного преобразования. Интеграл обратного z-преобразования выводится из интеграла обратного преобразования Лапласа и определяется выражением: (8.4.11) При одностороннем ^-преобразовании областью сходимости служит вся Z-плоскость, которая находится вне круга со всеми полюсами функции F{z). Используя теорему вычетов (7.2.8), функцию^*:) можно записать:
|fi04 Глава 8. Дискретные системы (8.4.12) Полюсы, где функция F(z) не является аналитической, находятся в области \z I < р, где р — радиус сходимости. Пример. Воспользуемся выражением (8.4.12) для определения обратного z-преобра- зования функции: Корни знаменателя (полюсы функции f{z))' равны: Подынтегральная функция (8.4.11): Вычет в полюсе z{ — 1' Вычет в полюсе z2 = 2: Согласно (8.4.12) получим оригинал обратного ^-преобразования: 8.5. Передаточная функция дискретной системы и ее частотная характеристика На рис. 8.4 показана линейная система, получающая сигнал от идеального импульсного элемента (ключа), замкнутого в течение Рис. 8.4. Линейная дискретная система с бесконечно короткого промежутка времени идеальным импульсным элементом и не- и переключающегося с постоянным перио- прерывной частью, имеющей импульсную дом. На этом рисунке h(t) - реакция систе- переходную функцию h(t) мы на импульсную 5-функцию. Изображение по Лапласу непрерывного выходного сигнала y(t) равно: где H(s) — изображение импульсной переходной функции h(t).
8.4. z-преобразование и обратное z-преобразование На основании последнего уравнения можно перейти к ^-преобразованию выходного сигнала y(t)\ (8.5.1) Соотношение (8.5.1) позволяет определить выходной сигнал в моменты квантования ключа для различных входных сигналов, полагая при этом все начальные условия нулевыми. Обозначив через W(z) реакцию системы h(f) в ^-преобразованном виде (W(z) = Z{h(t)})9 получим передаточную функцию дискретной системы в виде: (8.5.2) Если входной сигнал является единичной импульсной функцией 5,(А:), то ^-преобразование этого сигнала, то есть V(z)9 является единицей, что следует из предыдущего параграфа. В таком случае, как это следует из (8.5.2), Y(z) = 1- W(z) = W(z). Если входным сигналом является единичный скачок, то его ^-изображение равно z/(z— 1) (табл. 8.1). Тогда Передаточная функция дискретной системы позволяет выполнить переход к разностному уравнению этой системы. Просто выполнить и обратный переход — от имеющегося разностного уравнения к передаточной функции W(z) и затем решить разностное уравнение. Пример. Записать разностное уравнение, используя заданную передаточную функцию W(z). Начальные условия нулевые. По формуле (8.5.2) найдем: Используя свойство смещения аргумента решетчатой функции {/{к— п) <-> znF(z)), получим разностное уравнение: Полюсы W(z) определяют свободное (вспомогательное) движение дискретной системы. Кратные полюсы W(z) на единичной окружности в z-плоскости или нахождение полюсов W(z) вне единичного круга означают, что свободная составляющая системы при / -> оо неограниченно возрастает. Система при этом является неустойчивой. Характеристическое уравнение относительно z, получаемое приравниванием знаменателя W(z) к нулю, дает ответ на вопрос об устойчивости.
106 Глава 8. Дискретные системы 8.5.1 • Частотная характеристика дискретной системы Ключевой идеей, связанной с передаточной функцией как непрерывной, так и дискретной систем, является переход к частотной характеристике, помогающей проводить анализ и синтез динамических свойств. Поскольку при ^-преобразовании комплексная величина z равна e*Td, подстановка s =усо позволяет изменять частоту со для получения частотной характеристики. Если для непрерывных систем оператор s равнялсяую, то частота со могла изменяться от минус до плюс бесконечности. Для дискретных систем используется функция ei(oTd, которая является периодической. Применяя формулу Эйлера, можно представить эту функцию в виде: откуда следует, что периодичность функции е^равна 2л. Частоты со в диапазоне неравенств — n/Td <(o<n/Td полностью определяют поведение функции W(el(iiTd), где функция ei<uTdзаменяет величину z- Функция ei<aTd представляет комплексное число в полярной форме для фиксированного значения со. Поэтому, если частота со, стартуя в точке — n/Td9 будет непрерывно возрастать до значения п/Тй, в комплексной плоскости (соответствующей г-плоскости), будет описан круг радиуса 1. Таким образом, мнимая осьусо плоскости s переходит в круг радиуса 1 плоскости Модуль и фаза функции W(z) в зависимости от частоты со, изменяющейся в пределах от —n/Td до n/Td, представляют две частотные характеристики. Обычно для физически реализуемых систем I W(z) I является четной функцией частоты со, а q>( WU)) — нечетной. Поэтому достаточно построить частотные характеристики дискретной системы в полосе частот 0 < со < n/Td (О < со < к для единичного периода). Частотные характеристики можно строить в линейном масштабе частоты со, но удобнее использовать логарифмический, при этом значения I W(z) I обычно показывают в децибелах. Пример. Дана передаточная функция непрерывного звена W(s)\ (8.5.3) где Поскольку оригиналом изображения по Лапласу l/(s + а) является функция еа\ используя табл. 8.1, найдем, что решетчатой функции e~akTd соответствует г-преобразование z/ (z — ^~aTd). Таким образом, передаточная функция дискретного звена, соответствующего данной W(s), равна: (8.5.4) На рис. 8.5 и 8.6 представлены ЛАХ и ФЧХ соответственно непрерывного звена с передаточной функцией (8.5.3) и дискретного звена с передаточной функ-
8.5. Передаточная функция дискретной системы и ее частотная характеристика цией (8.5.4) при периоде квантования Td = 0,01 с. На входе дискретного звена действует решетчатый сигнал. Вертикальные полосы на рис. 8.6 соответствует круговой частоте со = 2nf/2 = n/Td = = со//2 = 314рд/с. Эта частота является предельной на графике вследствие периодичности функции ej(uTd. Из рисунков можно видеть различие в частотных характеристиках звеньев как в низкочастотной, так и в высокочастотной областях. Обычное соответствие, наблюдаемое для линейных непрерывных систем между амплитудно-частотной и фазо- частотной характеристиками, теперь нарушается, что видно из поведения фазовой характеристики дискретного звена (рис. 8.6) на частотах, приближающихся к со = соу2 = я/ Td. Можно использовать билинейное преобразование, переводящее плоскость z в плоскость w, что позволяет, **с-8-6- Логарифмические частотные харак- как и для непрерывных систем, приме- эристики апериодического звена, переда- нять аппроксимацию в виде асимптот ?™ функция в ^образованном виде ЛАХ. В таком случае к передаточной функции дискретной системы, полученной в виде ^-преобразования, применяется соотношение: Круг единичного радиуса плоскости z переходит в мнимую ось плоскости и>. Для построения логарифмических частотных характеристик используется псевдочастота v, связь которой с круговой частотой со можно определить, подставив в (8.5.5) выражение z = ^ d и положив w =jvTd / 2. В результате получим: (8.5.6) где, как обычно, Td - период дискретизации. При использовании псевдочастоты v имеет место несоответствие наклонов амплитудных характеристик фазовым углам при значениях v -> оо. Пример. Переведем передаточную функцию рассмотренную в предыдущем примере, с помощью билинейного преобразования (8.5.5) из плоскости z в плоскость w.
108 Глава 8. Дискретные системы Подставив в последнее выражение w =jvTJ2, после преобразований получим: (8.5.7) На рис. 8.7 показаны логарифмические амплитудная и фазовые характеристики звена, построенные по (8.5.7) в зависимости от псевдочастоты v для значений параметров, приведенных в предыдущем примере. Сопряжение высокочастотной горизонтальной асимптоты соответствует псевдочастоте vconp = 2/Тдк. 8.6. Передаточная функция дискретной системы: аппроксимация в s-области Один из распространенных в настоящее время методов анализа и проектирования дискретной системы заключается в выборе тем или иным способом передаточной функции устройства управления непрерывной системы, а затем в создании дискретного звена, достаточно похожего по своим свойствам на звено непрерывное. Казалось бы, существует для этого очевидный путь: в передаточной функции W(s) выполнить замену, используя Рис. 8.7. Логарифмические частотные характеристики апериодического звена, построенные с помощью билинейного преобразования и формулы (8.5.7) соотношение: Оператор s выражается через натуральный логарифм: Поэтому получаемая передаточная функция W(j) при такой замене s на z получается громоздкой, ненаглядной и неудобной при расчетах и преобразованиях. Существуют различные способы «подгонки» функции W(z) под исходную передаточную функцию непрерывной системы W(s). Мы остановимся только на двух из них. 8.6.1. Аппроксимация на основе численного интегрирования методом трапеций Проблема перехода от передаточной функции по Лапласу к передаточной функции в г-форме тесно связана с численным интегрированием. Интегратор в непрерывной системе соответствует передаточной функции 1/5, и во временной области, если y(t) — выходной сигнал, a x(t) — входной, имеем:
8.6. Передаточная функция дискретной системы: м« аппроксимация в s-области Поскольку x(t) можно представить как Ay(t)/At, то принимая приращение времени /за период квантования равным Td, запишем связь между входной и выходной величинами в виде: где значения кик — 1 означают моменты выборки на данном периоде и на предыдущем. На самом деле, правильнее записывать >>(кTd), у(кТ— Td) и т.д. Предыдущее уравнение можно записать в виде: (8.6.1) что соответствует численному интегрированию методом трапеций. В (8.6.1) перейдем от решетчатых функций к ^-преобразованию, полагая, что у(к) <-> Y(z), у (к-1)<-> — Y(z). To же самое относится и к входному сигналу в моменты к и к — 1. В результате получим: Преобразуя последнее выражение, получим передаточную функцию интегратора в виде: (8.6.2) Поскольку для интегрирующего звена в ^-области Y(s)/X(s) = \/s , из (8.6.2) получим соответствие между s и с (8.6.3) Интегрирование с использованием метода трапеций для аппроксимации передаточной функции W{s) принято называть методом Тастина (Tustin method). Для операции дифференцирования используется операция, обратная описанной выше: Перейдем к ^-преобразованию при операции дифференцирования:
10 Глава 8. Дискретные системы Передаточная функция, соответствующая операции дифференцирования, принимает вид: (8.6.4) Для пропорционального звена с коэффициентом передачи Кр естественно сохраняется этот же коэффициент при ^-преобразовании: (8.6.5) В правой части соотношений (8.6.2) и (8.6.4) могут присутствовать соответствующие коэффициенты передачи К, для интегратора и KD для дифференцирующего звена. Аппроксимация по методу Тастина сводится к подстановке в передаточную функцию выражения для s или z (8.6.3). Кроме того, иногда необходимо выравнивать коэффициенты передачи W(s) и W(z) в низкочастотной области при s -» 0 и Интегрирование по методу трапеций переводит всю левую полуплоскость s в круг единичного радиуса плоскости z. Этого не удается сделать использованием, например, метода интегрирования с помощью прямоугольников. Описываемый здесь метод носит название билинейного преобразования, поскольку применяются соотношения (8.6.3), а также называется методом Тастина. Пример. Для передаточной функции (8.6.6) Определить эквивалентную функцию W(z) при Td = 0,02 с. Выполняем замену: s = , проведя необходимые преобразования: (8.6.7) Для передаточной функции (8.6.6) конечное значение выходного сигнала соответствует пределу limW(j) в предположении, что на входе звена действует еди- ничная ступенчатая функция. Аналогично, конечное значение выходного сигнала, получаемого с помощью функции (8.6.7) и соответствующее пределу решетчатой
8.6. Передаточная функция дискретной системы: -. .^\ аппроксимация в s-области у^^М функции при к -> оо, равно lim W (z) при условии, что на входе действует единичная ступенчатая функция, имеющая z-преобразование z/(z — 1). В данном случае можно видеть из (8.6.6) и (8.6.7), что в низкочастотной области (при s -> 0 и г-» 1) обе передаточные функции принимают одно и то же значение,ы равное двум. 8.6.2. Апроксимация методом подбора нулей и полюсов (matched pole-zero method) В этом методе производится подбор нулей и полюсов передаточной функции W(s) к соответствующим нулям и полюсам в плоскости z с использованием соотношения z == esTd. Пример. Возьмем передаточную функцию непрерывной системы: (8.6.8) Положим период дискретизации Td=0,01 с и, поскольку sz +8 = 0 (индекс z означает ноль), используем соотношение z = esTd, переходя к переменной в плоскости z откуда получим: Тогда Аналогично находятся полюсы zpX и zp2 передаточной функции W{z)\ Zpl=e-T'=0,99;zp2 = 0,985. Функция W(s) переходит в передаточную функцию W(z), имеющую вид: (8.6.9) Определим низкочастотный коэффициент передачи KD, входящий в (8.6.8), с помощью которого выравниваются коэффициенты передачи непрерывного и дискретного звеньев при со -> 0. В нашем случае: Из последних двух соотношений, учитывая равенство получим:
\ 12 Глава 8. Дискретные системы Рис. 8.8. Дискретная система в упрощенном виде При найденном значении KD у обеих передаточных функций — W(s) и W(z) будут одинаковые характеристики в низкочастотном диапазоне. 8.7. Анализ дискретной системы Рассмотрим систему на рис. 8.8. На рисунке показаны цифровой процессор, объект и два датчика. В общем случае датчики могут воспринимать различные аналоговые сигналы (напряжения, тока, температуры или какие-то другие). Цифровой процессор работает с выборками сигналов, поступающих от датчиков. Выборки (samples) следуют с определенной тактовой частотой/= 1/ Td, задаваемой тактовым генератором (clock). Перевод непрерывных сигналов в дискретные производится с помощью АЦП (ADC). АЦП выполняет две функции: собственно дискретную выборку из непрерывного сигнала с периодом Td и преобразование сигнала выборки в цифровой код. Сигнал в цифровом коде часто сохраняется (удерживается) до следующего момента выборки. В последующих главах свойства АЦП и их возможности рассматриваются более подробно. В контроллер, показанный на рис. 8.8, производится запись разностного уравнения, которое решается цифровым процессором. Разностное уравнение заменяет передаточную функцию корректирующего звена непрерывной системы, а физически контроллер в цифровом виде выполняет с некоторым приближением функцию, реализуемую аналоговыми элементами электронной схемы. Данные, полученные в результате работы контроллера и записанные в цифровом виде, относятся к моментам выборки кТ^ Теперь дискретный сигнал в цифровом виде необходимо преобразовать в аналоговый сигнал, что выполняется цифро-аналоговым преобразователем (ЦАП, DAC — digital-analog converter). ЦАП хранит в преобразованном виде сигнал, относящийся к моменту времени кTd, до следующего момента выборки (к + 1)7^. Общепринятое название устройства, выполняющего такое хранение, - формирователь, или фиксатор нулевого уровня, или ZOH (zero order holder). Может также применяться формирователь первого порядка — с линейно изменяющимся сигналом за время Td и гораздо реже — формирователь с более сложным временным сигналом. Аналоговый сигнал, имеющий вид прямоугольных ступенек, с выхода ZOH поступает на объект с передаточной функцией W(s). Для управления преобразователями мощности с широтно-импульсной модуляцией сигнал с выхода контроллера в цифровом процессоре непосредственно преобразуется в длительность им-
8.7. Анализ дискретной системы 213 Рис. 8.9. Непрерывная система, содержащая звено коррекции WK(s) и объект W(s) пульса, занимающего определенную долю периода дискретизации Td. Таких импульсов и соответственно ШИМов может быть несколько — по количеству силовых ключей, входящих в силовой регулятор (объект). Особенности создания ШИМ-сигнала в цифровом процессоре рассмотрены в последующих главах. Возвращаясь к рис. 8.8, отметим, что существуют два общепринятых подхода к определению требуемого разностного уравнения, решаемого контроллером [73]. В последующих параграфах рассмотрим их подробно. 8.7.1. Метод дискретных эквивалентов В этом методе (discrete equivalents technique), называемом также методом непрямого проектирования (indirect design) [93], сначала используется обычный подход, принятый при создании непрерывной системы. Определяется передаточная функция корректирующего звена, удовлетворяющего требованиям технического задания по точности в установившемся режиме и переходным процессам. Затем применяется переход от передаточной функции по Лапласу к z- преобразованному виду. Эта методика позволяет получить приемлемые результаты, если частота поступления Рис. 8.10. Логарифмические амплитудная и фа- выборок достаточно велика — при- зовая характеристики системы по рис. 8.9 мерно в 20 раз больше полосы пропускания замкнутой системы. В свою очередь, полоса пропускания (<ош) лежит в пределах (d<:(oBW&2(oc, где сэс(рд/с) — частота среза разомкнутой системы. Рассмотрим несколько примеров. Пример 1. В схеме, показанной на рис. 8.9, заменить передаточную функцию контроллера WK(s) на дискретный эквиваленте передаточной функцией WK(z) при следующих данных: Обратная связь единичная. На рис. 8.10 показаны амплитудная и фазовая частотные характеристики разомкнутой системы. Из рисунка можно видеть, что частота среза (сос) составляет 9 рд/с, а фазовый запас равен 58°. Замену контроллера произвести методом трапеции (Тастина) и сравнить две системы: исходную непрерывную и дискретную (рис. 8.11). Выберем круговую частоту квантования равной 20 сос для близкого совпадения непрерывной и дискретной систем, тогда получим: ayd = 9-20 = 180 рд/с. Частота квантования:
14 Глава 8. Дискретные системы 2 I-*"1 Подставив в формулу для WK(s) значение s = —j-——, получим: тл+z- Разностное уравнение, соответствующее передаточной функции WK(z) кон- троллера: Для разомкнутой схемы с дискретным контроллером, показанной на рис. 8.11, запас по Рис. 8.11. Дискретная система при замене непрерыв- фазе составил « 50° при частоте ного звена коррекции на дискретный эквивалент среза 9 рд/с. Замыкая единичной обратной связью системы, показанные на рис. 8.9 и 8.11, и подавая на вход каждой единичный скачок, получим изменение выходного сигнала y(t) для непрерывной системы (рис. 8.12) и для системы, в которой контроллер с передаточной функцией WK(s) заменен его дискретным эквивалентом (рис.8.13). Пример 2. Дана передаточная функция непрерывного звена коррекции: (8.7.1) где сог и (йр — частоты нуля и полюса соответственно, причем оэг < со,. Передаточная функция (8.7.1) может использоваться в аналоговых системах управления преобразователями, в частности, в корректирующей цепи усилителя ошибки по напряжению в ШИМ-контроллерах ККМ. Для заданных значений: Л 1 . V , Рис. 8.12. Реакция замкнутой единичной Рис. 8.13. Реакция замкнутой единичной об- обратной связью непрерывной системы ратной связью системы с дискретным кон- (рис. 8.9) на единичный входной скачок троллером (рис. 8.11) на единичный входной скачок
8.7. Анализ дискретной системы -. К = 105; сог = 2ш-800 = 5027 рд/с; сор = 2я-6000 = 37700 рд/с и Td = 20 мкс (/ = 50 кГц), где 7^ и/— период и соответственно частота квантования, определить дискретные эквиваленты корректирующего звена, применяя различные методы аппроксимации. 1. Метод подбора нулей и полюсов. Для этой аппроксимации используем равенства, учитывая, что z = esT": Следовательно, исходная передаточная функция WK(s) переводится в плоскость z согласно соотношению: (8.7.2) где буквы в индексе означают аппроксимацию методом подбора нулей и полюсов. Коэффициент передачи Kd определим, приравнивая WK(s) из (8.7.1) при 5 = 0 и WK(z) при z = 1. Множители в знаменателях s и (z— 1) при этом не учитываем. Тогда коэффициент Доказывается равным: Поскольку исходная передаточная функция WK(s) содержит интегратор, определение коэффициента Kd данным способом является весьма приближенным и в дальнейшем его можно скорректировать. 2. Метод трапеций. В результате подстановки s из выражения в соотношение (8.7.1) получим: (8.7.3) где Wk7(z) — аппроксимация передаточной функции по методу трапеций (Тасти- на). 3. Метод ^-преобразования. Исходная передаточная функция (8.7.1) не сложная, поэтому определим ее, применяя ^-преобразование. Предварительно функцию WK(s) раскладываем на простые множители и находим с помощью таблиц ^-преобразований отдельные слагаемые. В результате после преобразований получим:
Глава 8. Дискретные системы (8.7.4) На рис. 8.14 показано несколько амплитудных и фазовых частотных характеристик, построенных по соотношени- ям (8.7.1—8.7.3). При построении характеристик по методу подбора нулей и полюсов коэффициент Kd был уменьшен по сравнению с расчетным. Из рис. 8.14 можно видеть, что аппроксимированные амплитудные характеристики близки к исходной ЛАХ звена WK(s) вплоть до половинной частоты кванто- Рис. 8.14. Частотные характеристики аппрок- вания, отмеченной вертикальными ли- симирующих звеньев в сравнении с исходны- ниями. Фазовая характеристика, по- ми характеристиками, построенными для строенная методом подбора нулей и функции к(5) полюсов, значительно отклоняется от исходной при со —> &J2. Что касается передаточной функции WK(z) (соотношение 8.7.4), то ее амплитудная частотная характеристика близка к ЛАХ исходного непрерывного звена, а фазовая характеристика совпадает с аналогичной характеристикой, полученной с помощью выражения (8.7.2). Далее, на основе одной из характеристик — (8.7.2), (8.7.3) или (8.7.4) — записывается разностное уравнение, которое в виде программы заносится в цифровой процессор. 8.7.2. Метод прямого (непосредственного) проектирования дискретной системы В данном методе проектирование всей системы сразу проводится дискретными методами. Это означает, что модель объекта, который является, как правило, непрерывным звеном (преобразователь с нагрузкой, управляемый ШИМ, также, по сути, является непрерывным звеном из-за низкочастотного фильтра), переводится в ^-область. Контроллер системы также проектируется в дискретном виде, причем основы создания корректирующей цепи, менее или более сложные, заимствуются из теории и практики непрерывных систем. Методы анализа — логарифмические частотные характеристики, метод корневого годографа — остаются в силе, однако интерпретация и самой системы, и по- Рис. 8.15. Дискретная система с единичной обратной связью
8.7. Анализ дискретной системы 21 лученных результатов анализа оказывается различной. Можно различными способами перевести объект, описываемый в виде передаточной функции W(s), к дискретному звену с передаточной функцией W(z), и некоторые из этих способов мы рассматривали. Практически, учитывая, что перед объектом используется формирователь Рис. 8.16. Сигналы на входе и выходе форми- (фиксатор различного уровня), следует рователя (ZOH) перевод объекта к дискретному звену производить по определенным правилам. Рассмотрим систему, показанную на рис. 8.15 и включающую в себя идеальный ключ, дискретный контроллер (WK(z)), фиксатор нулевого уровня (ZOH) и объект, как непрерывное звено. В обратной связи могут использоваться дискретные или непрерывные звенья, в данном случае на рисунке показана единичная обратная связь. Формирователь нулевого уровня (ZOH) преобразует сигнал в виде последовательности 5гфункций, модулированных дискретными значениями сигнала на выходе цифрового контроллера в дискретные моменты времени кTd, в прямоугольные импульсы. Входные и выходные сигналы ZOH показаны на рис. 8.16. ZOH обладает определенной передаточной функцией, которую можно получить следующим образом. Прямоугольный импульс единичной амплитуды на выходе длительностью Td можно представить как сумму двух импульсов различной полярности (рис. 8.17). Такой сигнал может быть представлен как изображение по Лапласу, если учесть, что отрицательный импульс начинается с запаздыванием на период Td от начального момента времени. Изображение единичного положительного импульса есть 1/5, а отрицательного и смещенного вправо на период (запаздывающего на период) — -e~sTd/s (одно из свойств преобразования Лапласа). Следовательно, передаточную функцию ZOH, как реакцию на единичный 8,- импульс, можно представить в виде: Рис. 8.17. Одиночный импульс единичной амплитуды как сумма двух импульсов различной полярности (8.7.5) Передаточная функция (по Лапласу) двух последовательно соединенных звеньев - ZOH и объекта — запишем в виде (обозначение W0(s))\ (8.7.6) Из формулы (8.7.6) получим передаточную функцию звеньев (ZOH и объекта) в ^-преобразованном виде. Для этого правую часть (8.7.6) представим в виде двух
18 Глава 8. Дискретные системы слагаемых. Первое слагаемое тогда запишется как Z , а второе — с учетом запаздывающего элемента e~sTdкак —zx.z\ (здесь применено одно из свойств г-преобразования, а именно: отставание решетчатого сигнала на один период). В результате, ZOH и объект представляются как одно дискретное звено с передаточной функцией: (8.7.7) где обозначение W0(z) — подразумевает, что учтена передаточная функция ZOH. Теперь можно представить дискретную систему в виде схемы, показанной на рис. 8.18, в которой подразумевается, что входной (V) и выходной (У) сигналы Рис. 8.18. Дискретная система с единичной обратной свя- получены с помощью соот- зью, W0(z) — передаточная функция объекта с учетом дей- ветствующих АЦП как ре- ствия формирователя (ZOH) шетчатые сигналы. Для дискретных систем справедливы правила преобразования структурных схем, установленные для непрерывных систем. Передаточная функция замкнутой системы, показанной на рис. 8.18, равна: (8.7.8) Определим с помощью (8.7.7) дискретную передаточную функцию апериодического звена с учетом ZOH. Задана передаточная функция Передаточная функция, которая должна повергнуться ^-преобразованию: Применяя разложение дробно-рациональной функции на простые дроби, получим из последнего выражения: Теперь учтем свойство линейности ^-преобразования и тот факт, что изображению по Лапаласу 1/(5 + 1/7",) соответствует оригинал егх/Тх (табл. 7.1). В свою очередь, решетчатой функции e~kTJTx соответствует ее ^-преобразование z/(z—erTd/Tl) (табл. 8.1). Поэтому передаточную функцию W0(z), используя (8.7.7),
8.7. Анализ дискретной системы 219" Рис. 8.19. Частотные характеристики звена Рис. 8.20. Частотные характеристики двух зве- с передаточной функцией W0(z), замкнутая Ньев с передаточной функцией W0(z). При система устойчива К = 100, Td = 0,01 с замкнутая система на границе устойчивости; при К = 50, Td = 0,05 с замкнутая система неустойчива можно записать: (8.7.9) гдев=1/Т,. Построим по (8.7.9) логарифмические частотные характеристики при следующих данных: На рис. 8.19 показаны частотные характеристики звена с передаточной функцией W0(z) (8.7.9), полученные при замене комплексной переменной z на ё«т*. Предположим, что корректирующее звено на рис. 8.18 имеет передаточную функцию WK(z), равную единице, и обратная связь в системе по-прежнему единичная. В этом случае характеристики на рис. 8.19, построенные для разомкнутой системы (для передаточной функции W0(z)), показывают, что система устойчива. Действительно, фазовая характеристика достигает —180°, когда усиление разомкнутой системы < 1. На рис. 8.20 показаны частотные характеристики, соответствующие двум звеньям при WK(z) = 1 и единичной обратной связи: — К= 100; 7^= 0,01 с — при повышении коэффициента А'до 100 система находится на границе устойчивости; — К= 50; Td = 0,05 с — при уменьшении частоты квантования система становится неустойчивой, хотя коэффициент достался таким же, как на рис. 8.19. Выполним анализ рассмотренной системы с помощью метода корневого годографа (Root Locus method). Характеристическое уравнение получим из (8.7.9): (8.7.10)
120 Глава 8. Дискретные системы Рис. 8.21. Корневой годограф системы с периодом квантования 0,01 с. При К = 50 система устойчива, при К = 100 — на границе устойчивости На рис. 8.21 показан корневой годограф для периода квантования Td = 0,01 с. Круг единичного радиуса на плоскости z определяет границу устойчивости. Годограф располагается на действительной оси, начинаясь при значении К=0. Значение z при этом (корень характеристического уравнения) принимает значение е~аТ= 0,98 и находится внутри единичного круга. При К = 50 точка на корневом годографе находится вблизи нуля, следовательно система устойчива. При К = 100 система находится на границе устойчивости, поскольку точка на корневом годографе принимает значение — 1. На рис. 8.22 построен корневой годограф при Td = 0,05 с (частота квантования в 5 раз меньше, чем в предыдущем случае). При А"= 50 точка на корневом годографе принимает значение « -4, следовательно, полюс замкнутой системы вышел за пределы единичного круга, и система оказывается неустойчивой. Рассмотрим более сложную передаточную функцию объекта W{s)\ Рис. 8.22. Корневой годограф системы с периодом квантования 0,05 с (в пять раз больше, чем на рис. 8.21). При К = 50 полюс замкнутой системы равен —4, система неустойчива Для получения дискретной передаточной функции объекта с учетом ZOH необходимо определить согласно (8.7.7) ^-преобразование функции W(s)/s: Для выполнения г-преобразования W(s)/s применим сначала разложение дробно-рациональной функции на простые дроби. В результате получим: Применяя к каждому из слагаемых в правой части последнего выражения Z-преобразование и учитывая множитель (1 — г1), окончательно получим выражение для W0(zY (8.7.11)
8.7. Анализ дискретной системы где По соотношению (8.7.11) на рис. 8.23 построены частотные характеристики W0(z) при Td = 0,001 с и частотные характеристики по передаточной функции W(s). Из передаточной функции замкнутой дискретной системы (8.7.8) можно получить требуемую передаточную функцию корректирующего звена: (8.7.12) Казалось бы, располагая известной (или заданной) функцией замкнутой системы W3(z) и передаточной функцией объекта W0(z), из (8.7.12) легко определить требуемую передаточную функцию WK(z). Как показано в [93], такой путь не учитывает возможные «подводные камни». Во-первых, заданная передаточная функция W3(z), а также получаемая из (8.7.12) WK(z) должны быть обе и устойчивыми, и физически реализуемыми. Во-вторых, если Wiz) содержит нули ™т~ Лгт„,т„„тТЛ™ ™,™ ж, ~ „тж^^т^^^тж Рис. 8.23. Частотные характеристики объекта вне единичного круга в ?-плоскости, , v (как непрерывного и дискретного звеньев), они должны компенсироваться нулями применено соотношение (8.7.11). Период W3(z). В противном случае, эти нули, как квантования Td = 0,001 с показывает (8.7.12), появляются как полюсы Wx(z), и, следовательно, корректирующее звено становится неустойчивым. Поэтому более простой путь реализации корректирующего звена — задать WK{z) в виде передаточной функции, обладающей определенным и проверенным действием, например, звена, позволяющего создать опережение фазы на своем выходе или ее отставание. В заключение рассмотрим, каким образом один из распространенных видов коррекции — звено с опережением по фазе — может быть применено в дискретной системе при прямом проектировании. В непрерывной системе такое звено обладает, как известно, передаточной функцией: (8.7.13)
W222 Глава 8. Дискретные системы где coz и сор — частоты нуля и полюса — действительные числа, причем со^ > сог; к в этой формуле — статический коэффициент передачи. Рассматриваемое корректирующее звено обеспечивает и более быструю реакцию и увеличивает запасы устойчивости системы. Фаза на выходе при условии, что ыр > сог, опережает фазу на входе. В первом приближении максимум фазы опережающего звена должен быть расположен на частоте среза той системы, которая скорректирована (назовем ее со^). Если известна дискретная передаточная функция объекта (W0(z)), подбор параметров корректирующего звена, проводимый прямым методом проектирования в ^-области, частично напоминает аналогичную процедуру для непрерывной системы. Соответствующая (8.7.13) дискретная передаточная функция корректирующего звена записывается в виде: (8.7.14) что может быть показано, например, применением метода подбора нулей и полюсов. Для упрощения дальнейшего изложения можно считать, что в дискретном звене коррекции (8.7.14) коэффициент передачи на нулевой частоте равен единице. Из этого условия получим: (8.7.15) Если мы сумеем определить значения zx и z2, то тем самым мы спроектируем дискретное звено коррекции. Применим билинейное преобразование к (8.7.14) fe=(l + w)/(l-w)): (7.8.16) Поскольку мы приняли, что при билинейном преобразовании, переводящем . L плоскость z в плоскость w, справедливо равенство: w = /v—, где v — псевдочастота, получим равенства: Здесь vz и vp — псевдочастоты нуля и полюса в плоскости w с обычной размерностью 1/с. Из последних двух равенств определим значения zx и z2, считая, что vz и vp известны.
8.7. Анализ дискретной системы 22$* Поскольку псевдочастоты нуля и полюса корректирующего звена обычно располагаются в области средних частот, (то есть выполняется соотношение) можно в дальнейших расчетах принять, что vz& сог и vp« cop. Коэффициент передачи Къ соответствии с (8.7.15) и найденными значениями zx и z2 определяется по соотношению: Осталась невыясненной небольшая деталь. Необходимо назвать частоты сог и со^ с тем, чтобы провести расчет z{,Z2h К. Частота среза скорректированной системы (со^) в первом приближении может быть выбрана равной 1,5 • сос, где сос - частота среза исходной системы без коррекции (например, сос частотной характеристики объекта). Проведенный в гл. 7 анализ звена коррекции с опережением по фазе позволяет завершить проектирование аналогичного по своему действию дискретного звена. Порядок расчета частот сог и со, может быть следующим. 1. Частота сотах — частота, на которой достигается максимальный угол коррекции (фтах), располагается на частоте со^, заранее выбранной. Поэтому получаем: 2. Задается максимальный угол коррекции (ртах = 35—40 °С. Этот угол соответствует частоте (от. Т со 3. Введя обозначение а = — = —-, по графику рис. 7.43 определим значение а Т со для выбранного (заданного) угла ср^. На графике рис. 7.43 использованы обозначения Тг1 и Тр1, которые в рассматриваемом случае совпадают с Tz и Тр. 4. Используем соотношение (7.6.3), которое представим в виде: (8.7.17) Кроме того, имеем: (8.7.18) Значение сотах задается; значение а определяется с помощью графика 7.43. Из (8.7.17) и (8.7.18) определим: Теперь при известных значениях coz и &р определяются zl9 z2 и .AT (в формулах для zx, и z2 принимается, что vz» coz и vp& <ор).
:24 Глава 8. Дискретные системы Располагая дискретной передаточной функцией звена коррекции (8.7.14), строятся частотные характеристики дискретного звена (объекта) вместе с частотными характеристиками звена коррекции. По результатам построения частотных характеристик разомкнутой системы и проведения эксперимента вносятся необходимые дополнения и изменения в параметры корректирующего звена. 8.8. Метод пространства состояний для дискретных систем В данном методе дискретная система описывается двумя матричными уравнениями, первое из которых относится к переменным состояниям, а второе — к выходному сигналу. (8.8.1) (8.8.2) где к — номер периода; A, B,C,D— постоянные матрицы, поскольку рассматриваются стационарные системы. Уравнения (8.8.1), (8.8.2) напоминают соответствующие уравнения непрерывной системы, записанные по методу пространства состояний и рассмотренные в 7-й главе. Решение уравнения (8.8.1) аналогично решению соответствующего уравнения для непрерывной системы и записано здесь без периода Td для краткости: (8.8.3) где jc(0) — вектор начальных условий переменных состояния; Ак — переходная матрица состояния дискретной системы. Непосредственно из (8.8.3) следует важность матрицы At- — она позволяет определить вектор х на к-м шаге при известном значении jc(0). 8.8.1. Определение переходной матрицы состояния Существует несколько возможностей определения матрицы Ак. Одна из них основана на использовании теоремы Кэли-Гамильтона [14]. Согласно этой теореме, если P(z) — характеристический многочлен матрицы А, то, подставив эту матрицу в указанный многочлен, получим Р(А) = [0], где справа от знака равенства фигурирует нулевая матрица. Говорят, что матрица А удовлетворяет собственному характеристическому уравнению. Из теоремы Кэли-Гамильтона следует, что произвольный матричный многочлен N(A) можно заменить многочленом от А с наивысшей степенью (п — 1), где п — порядок матрицы А. То же самое относится к произвольному скалярному многочлену — его можно заменить многочленом от z с наивысшей степенью (п — 1). Поясним сказанное примером. Задана матрица А:
8.8. Метод пространства состояний - - ^ для дискретных систем характеристическое уравнение которой Корни этого уравнения: zx = 1; Z2 = 3. Пусть дан многочлен от матрицы: (8.8.4) По теореме Кэли-Гамильтона имеем: Отсюда, выполнив преобразования с умножением матриц, можно получить: Кроме того, имеем: Следовательно, и, подставив значения А3 и А2 (8.8.4) можно N(A) записать в виде: Аналогично, скалярный многочлен: с помощью характеристического уравнения приводится к виду: Таким образом, матричный полином N(A) третьей степени (8.8.4) удалось свести к полиному первой степени, то есть к степени п — 1, где п — порядок исходной матрицы А. Коэффициенты полиномов N(A) и N(z) после понижения порядка равны между собой. В общем виде, матричный многочлен любой степени, в том числе и Ак, можно записать в виде: (8.8.5) (8.8.6) Рассмотрим следующий пример. Дана матрица третьего порядка:
j|fi26 Глава 8. Дискретные системы Из характеристического уравнения этой матрицы найдем ее собственные значения: Применим соотношение (8.8.6), подставив в левую часть скалярную величину Для определения коэффициентов а0, а{иа2ъ нашем примере запишем уравнения с известными значениями z{, Z2 и zy' Решая последнюю систему уравнений, получим: Согласно (8.8.5) матрицу А" запишем в виде: Подставляя в последнюю матрицу известные теперь значения д0, ах и а1У окончательно получим: Вторая возможность определения матрицы Л* связана с ^-преобразованием переменных. Из уравнения (8.8.1), полагая вектор сигналов и(кТ) равным нулю и для упрощения, считая Td = 1, имеем: Применив к последнему уравнению ^-преобразование, получим: Перенося X(z) в левую часть и выполнив несложное матричное преобразование, получим:
8.8. Метод пространства состояний -^ для дискретных систем (8.8.7) Из последнего выражения запишем переходную матрицу состояния в виде z-преобразования: (8.8.8) Оригинал O(z) и есть искомая матрица Ак. (8.8.9) Выполнить обратное ^-преобразование можно разными способами, мы покажем здесь применение метода вычетов, не требующего таблиц ^-преобразования и разложения дробно-рациональной функции на простые дроби. Рассмотрим ту же исходную матрицу А, для которой определялась переходная матрица состояния Ак в последнем примере с использованием метода Кэли-Гамильтона. Характеристическая матрица: Обратная матрица (zJ-AY1. умноженная на z, равна В соответствии с методом вычетов (8.8.10) где в нашем случае F(z) — каждый элемент матрицы (zJ-A)[z- Согласно (8.8.10) получим:
028 Глава 8. Дискретные системы Таким образом, по результатам вычислений запишем матрицу^: Полученный результат, достигнутый с помощью ^-преобразования и метода вычетов, полностью совпал с результатом по методу Кэли-Гамильтона. 8.8.2. Управляемость дискретной системы Свойство управляемости является одним из важнейших, как для непрерывной, так и для дискретной систем. Оно заключается в том, что выход системы у, состоящий из линейной комбинации переменных состояния х19 х2,...хя, должен полностью контролироваться (управляться) входным сигналом и. Это в свою очередь означает, что входной сигнал должен воздействовать на каждую переменную состояния X;, составляющую выходной сигнал. Для каждой системы можно найти огромное количество форм уравнений состояния (некоторые из них рассмотрены в гл. 7), причем одни из них представляют систему контролируемую, в то время как другие — неконтролируемую. Тем не менее, управляемость является свойством, которое присуще самой системе, а не ее форме представления в виде уравнений состояния. В предыдущей главе упоминалась матрица управляемости Е, с ее помощью можно определить, является данная система управляемой или нет. Существует теорема [93, 73]: Система является управляемой тогда и только тогда, если матрица, определяемая как: (8.8.11) имеет ранг п. В (8.8.11) входят только матрицы А, В уравнения состояния. Предполагается, что матрица А имеет порядок п.
8.8. Метод пространства состояний Мо% для дискретных систем >v§asJ} Покажем определение матриц системы в управляемой форме, располагая исходными произвольными матрицами А, В, Си D. Нам понадобится передаточная функция дискретной системы, которую определим, предполагая, что система имеет один вход и один выход, то есть и и у скалярные величины, не матрицы- столбцы. Из (8.8.1) и (8.8.2) запишем (полагая Т= 1): (8.8.12) (8.8.13) Полагая начальные условия нулевыми, выполним z-преобразование (8.8.12): Выполнив матричные преобразования, из последнего уравнения получим: (8.8.14) Уравнение (8.8.13) после ^-преобразования обеих его частей и подстановки в него (8.8.14) запишется в виде: Из последнего соотношения определим передаточную функцию дискретной системы: (8.8.15) Как и в случае непрерывной системы, W(z) можно представить в виде отношения двух полиномов. (8.8.16) Располагая передаточной функцией в виде (8.8.16), исходные и произвольные матрицы, описывающие систему в пространстве состояний, преобразуем в управляющую каноническую форму аналогично тому, как это было показано для непрерывных систем в гл. 7. При р„ = 0 в (8.8.16) матрицы Аук9 Вук, Сук, DyK равны: Рассмотрим пример. Дана система, описываемая исходными матрицами:
;30 Глава 8. Дискретные системы Определить матрицы, описывающие систему в управляющей канонической форме. Используем (8.8.15) для определения передаточной функции W(z). Нам потребуется обратная матрица [zJ— A]~\ где Определив присоединенную матрицу Adj[zJ— A\, получим: Подставив полученную матрицу [zJ — A]~l в (8.8.15), получим передаточную функцию W(z): (8.8.17) По известным теперь коэффициентам числителя и знаменателя W(z) определим матрицы дискретной системы в управляющей канонической форме: Управляема ли система, представленная полученными матрицами^, Вук, Сук? На этот вопрос дает ответ матрица Е, которую также запишем с индексом «ую>. Ранг матрицы Еук равен 2, поэтому полученная система управляема. 8.8.3. Задание полюсов в замкнутой дискретной системе Метод пространства состояний позволяет задать расположение полюсов в замкнутой дискретной системе аналогично тому, как это выполняется для непрерывной системы. Применим закон управления (8.8.18)
8.8. Метод пространства состояний „ -^ для дискретных систем >^^Л где К— матрица-строка (К = \_К1Г К2, ... АГЛ]) и К{, К2,... К^ — коэффициенты передачи от каждой из переменной состояния. Подставляя в (8.8.1) и(к) из (8.8.17) и полагая Td= 1, получим: (8.8.19) что аналогично уравнению замкнутой непрерывной системы (7.8.39). Характеристическое уравнение замкнутой системы из (8.8.19): (8.8.20) С другой стороны, характеристическое уравнение можно записать в виде: (8.8.21) Полюсы замкнутой системы zl3, z2j, ••• zn3 располагаются в требуемых точках комплексной плоскости с помощью коэффициентов передачи Kv К2,... Кп. Приравнивая коэффициенты при одинаковых степенях z в (8.8.20) и (8.8.21), определяются требуемые коэффициенты передачи, входящие в матрицу- строку К. Пример. Возьмем матрицы из предыдущего примера, полученные в управляющей канонической форме. Расположим корни замкнутой системы следующим образом: Характеристическое уравнение согласно (8.8.21) будет иметь вид: (8.8.22) С другой стороны, воспользовавшись характеристическим уравнением в виде Таким образом, получено характеристическое уравнение замкнутой системы, содержащее неизвестные пока коэффициенты Кх и К2: (8.8.23) Приравниваем коэффициенты при одинаковых степенях z в уравнениях (8.8.22) и (8.8.23). В результате получим: ^, = 1,1;^ =1,6575. Проверим полученный результат, применив формулу Акерманна. Для нашей системы второго порядка:
32 Глава 8. Дискретные системы (8.8.24) где Р3(АуК) — характеристический многочлен (8.8.22) при подстановке матрицы Ayh вместо z\ Е^-1 — матрица, обратная матрице контролируемости. Матрица Е была получена в предыдущем примере: поэтому ее обратная матрица равна: Матричный многочлен Р3(Аук): Применим соотношение (8.8.24) для получения матрицы-строки К: Таким образом, с помощью формулы Акерманна получили тот же результат, что и при использовании предыдущего метода в данном примере. Некоторые важные вопросы, связанные с современным проектированием замкнутых систем на основе метода пространства состояний выходят за рамки этой книги.
ГЛАВА 9 СИЛОВАЯ ЧАСТЬ ПРЕОБРАЗОВАТЕЛЯ КАК ЗВЕНО СИСТЕМЫ АВТОМАТИЧЕСКОГО УПРАВЛЕНИЯ Выходной каскад преобразователя — его силовая часть — является важнейшим звеном системы автоматического управления (САУ), ее регулирующим элементом. Нагрузку преобразователя — двигатель, электронные блоки, сложные системы — обычно принято относить к объекту САУ, в которую входит преобразователь. Во многих случаях, особенно если нагрузка имеет электронный характер, удобно преобразователь совместно с нагрузкой считать объектом САУ. Существуют два основных подхода к представлению силовой части преобразователя как звена САУ. Первый, в котором силовая часть, работающая с ШИМ или ЧИМ, рассматривается как дискретное звено. Такой подход позволяет выявить особенности всей дискретной системы, в частности, определить границы устойчивости по точной модели. В [29, 23] показано, что понижающий импульсный стабилизатор напряжения — замкнутая система второго порядка — обладает границей устойчивости или даже двумя границами (в зависимости от типа ШИМ). Этот факт не соответствует привычным представлениям для многих непрерывных линейных систем, а именно: замкнутая система второго порядка границы устойчивости не имеет, она устойчива при любом, сколь угодно большом коэффициенте усиления. Кстати, в предыдущей главе было показано, что и в замкнутой дискретной системе первого порядка, работающей с фиксатором нулевого уровня (ZOH), также имеется граница устойчивости. Большой вклад в развитие динамики импульсных преобразователей, создание дискретных моделей выходных каскадов преобразователей был сделан в [7], где рассмотрены различные схемы силовой части и созданы их точные математические модели. К сожалению, изначальное рассмотрение силовой части преобразователя как дискретного звена САУ, учет точного значения фактора пульсаций — коэффициента усиления звена широтно-импульсной модуляции второго рода (ШИМ-2) — приводит к большим сложностям при проектировании замкнутой системы. Данный подход, по-видимому, сможет быть оправданным и плодотворным при использовании современных универсальных или специализированных программных средств. Второй подход представления силовой части импульсного преобразователя, работающего с любым типом ШИМ, с аналоговым или дискретным процессором в управляющей части, основан на замене силовой части как дискретного звена непрерывным. Помимо перехода к непрерывному звену, как математической модели, практически полезно и дальнейшее изменение — переход от нелинейного звена к линейному. Созданная модель преобразователя — непрерывная и линейная — может работать как с аналоговой управляющей частью, так и с дискретной, то есть в
34 Глава 9. Силовая часть преобразователя как звено системы автоматического управления модели системы управления может использоваться как ШИМ-контроллер, так и цифровой сигнальный процессор (ЦСП), выполняющий операции коррекции и получения ШИМ-сигнала. В данной главе рассматриваются на основе второго подхода непрерывные модели выходной части преобразователей. В дальнейшем будет показано, каким образом полученные модели используются при проектировании. 9.1. Непрерывные модели силовой части преобразователей Рассмотрению непрерывных моделей импульсных преобразователей, называемых также усредненными, посвящено большое число работ [47, 38, 90, 23]. Здесь будут кратко рассмотрены основы перехода к непрерывной линейной модели и показана методика получения таких моделей на конкретных примерах. Для систем второго и более высокого порядка в рассматриваемой методике удобно применять матричный метод. Учтем только два интервала работы преобразователя — импульс и паузу. Для А2-го периода переключений запишем для силовой части уравнения состояния: (9.1.1) (9.1.2) где Тп и Тп+1 — моменты начала л-го и (п + 1)-го периодов; дс = [л:рл:2,...х/1] и и = [м,, щ,... ик ] — векторы переменных и входных сигналов (k<n)\A{iA2 — основная матрица (матрица коэффициентов состояния) силовой части в интервалах импульса и паузы соответственно; ВХ,В2 — матрицы, связывающие входные сигналы (входы системы) с переменными состояния в интервалах импульса и паузы; dn = tun/T— коэффициент заполнения импульсов в п-м периоде;/^ — частота переключения. Умножая средние значения векторов и их производных в интервалах на dn и \-dn соответственно и суммируя результаты на периоде Тп, из (9.1.1) и (9.1.2) получим: где icp H,icp n — производные средних значений вектора jc в интервалах импульса и паузы; дспи, дспп и ипи, ипп — средние значения векторов х и и в интервалах импульса и паузы в п-м периоде соответственно. Левая часть последнего равенства есть средняя производная вектора jc в п-м периоде. В периодическом режиме преобразователя, если собственные частоты системы (например, резонансные частоты LC-фильтров, частоты сопряжения звеньев управ-
9.1. Непрерывные модели силовой части преобразователей 29 ляющей части) и частоты выходных сигналов намного меньше частоты коммутации, соблюдается равенство средних значений переменных на отдельных временных интервалах. Это означает, что в п-м периоде переключения выполняется равенства: Тогда выражение (9.1.3) принимает вид: (9.1.4) Обозначим усредненные за л-й период матрицы: и, переходя к непрерывному времени, получим: (9.1.5) В установившемся (периодическом) режиме имеем: (9.1.6) Преобразователь может находиться в периодическом режиме или выйти из него. Уравнение (9.1.5) представляет собой непрерывную модель силовой части (СЧ) преобразователя. Модель является нелинейной, поскольку векторы х и и умножаются на управляющий сигнал d(коэффициент заполнения), содержащийся в общем случае в матрицах Ad и Bd. Использование полученной модели для анализа динамики не всегда возможно в силу ее нелинейности и связанной с этим сложности определения устойчивости и других важных параметров. Компьютер, работая с нелинейной моделью, может ее линеаризовать в процессе решения конкретной задачи, при этом затрачивается дополнительное время и, кроме того, в некоторых случаях сходимость решения может нарушаться. 9.1.1. Линеаризация непрерывной модели силовой части преобразователя Известно, что любая нелинейная система (непрерывная или импульсная) может быть заменена линейной внутри достаточно малой окрестности относительно ее рабочей точки (в данном случае выбранная рабочая точка — заданный периодический режим преобразователя). В установившемся режиме непрерывной системы х = О, поскольку нет приращения переменных состояния, тогда из уравнения (9.1.6) определим: (9.1.7) (9.1.8)
(i36 Глава 9. Силовая часть преобразователя как звено системы автоматического управления где дс0, щ — векторы х и и в установившемся режиме; D — значение коэффициента заполнения в установившемся режиме; \DAX + (\-D)A2Y — матрица, обратная усредненной матрице \_DAl + (l-D)A2 J. Линейное уравнение СЧ в отклонениях получим, используя дифференциал функции нескольких независимых переменных. Если имеем матрицу как функцию нескольких переменных: то F = q>(x,u,d), где знак «~» показывает бесконечно малое изменение функции или переменной относительно значения в периодическом режиме; индекс «О» указывает на то, что данная производная относится к точке периодического режима. Применим последнее соотношение к уравнению (9.1.5): После определения частных производных в последнем выражении получим: (9.1.9) Таким образом, получены уравнения для установившегося режима (9.1.7) и уравнение для отклонений (9.1.9). Введем обозначения: (9.1.10, л) (9.1.10,6) (9.1.10, в) Окончательно, в общем виде получим два уравнения, описывающие поведение непрерывной линейной модели СЧ преобразователя: (9.1.11) (9.1.12) Сложив (9.1.11) и (9.1.12), получим общее линейное уравнение: (9.1.13) где д: = х\ х = л:0 + х\ и = и0 + и. Уравнение (9.1.13) определяет отклонения векторовхи нот периодического режима, в то время как уравнение (9.1.12), совпадающее с ним по форме, позволяет при моделировании определить как периодический режим (если время достаточно велико от старта), так и отклонения от него. В гл. 24 будет показан достаточ-
9.2. Непрерывная линейная модель импульсного ~ - понижающего регулятора напряжения но удобный метод получения непрерывной модели без использования матрице, В схемы преобразователя. 9.2. Непрерывная линейная модель импульсного понижающего регулятора напряжения Схема регулятора понижающего типа (ИРН-1) показана на рис. 9.1. На этом рисунке показан источник тока /, рассматриваемый как внешнее возмущение (изменение тока нагрузки). Рассмотрим модель понижающего импульсного регулятора напряжения в режиме непрерывного тока дросселя (НТ), учитывая эквивалентное последовательное сопротивление Rc, свойственное всем ти- Рис. 9.1. Схема ИРН-1, учитывающая эк- пам конденсаторов и особенно электроли- вивалентное последовательное сопротив- тическим. ление конденсатора /^ Для схемы рис. 9.1 векторы х и и определим следующим образом: Для интервалов импульса (ключ замкнут) и паузы составим уравнения по первому и второму законам Кирхгофа. Интервал импульса (tu) — ключ замкнут, диод закрыт. Из последнего уравнения получим: (9.2.1) (9.2.2) (9.2.3) Подставим С—- из (9.2.3) в (9.2.1) и после преобразований получим: (9.2.4) Уравнение (9.2.3) запишем в виде: (9.2.5) Уравнения (9.2.4) и (9.2.5) описывают состояние схемы в интервале tu. Из них
(238 Глава 9. Силовая часть преобразователя как звено системы автоматического управления определим матрицы для данного интервала: Интервал паузы (/„) — ключ разомкнут, диод проводит. Имеем для данного интервала два уравнения: Преобразуя (9.2.7) и используя (9.2.6), получим: (9.2.6) (9.2.7) (9.2.8) Уравнение (9.2.7) запишем в виде: (9.2.9) Последние два уравнения позволяют получить матрицы для данного интервала: Определим матрицы Лл, В0и ? согласно (9.1.10, а, б, в), (9.1.8) и учитывая, что в периодическом режиме внешнее возмущение по току равно нулю, а выходное напряжение и ток дросселя равны:
9.2. Непрерывная линейная модель импульсного понижающего регулятора напряжения В полученных матрицах U^ и D соответствуют выбранному периодическому режиму, в окрестности которого проводится линеаризация. Полученные матрицы входят в непрерывную линейную модель (НЛМ) понижающего регулятора напряжения согласно (9.1.12) и (9.1.13). Воспользуемся полученными матрицами AD, BD и Е, подставив их в (9.1.12). В результате получим систему дифференциальных уравнений, записанную в матричной форме: (9.2.10) Системе (9.2.10) соответствует эквивалентная схема замещения понижающего регулятора, показанная на рис. 9.2. Переход от системы уравнений к эквивалентной схеме производится на основании законов Кирхгофа. Первое уравнение (9.2.10) соответствует контуру электрической цепи, в котором имеются падения напряжения (L—, Второе соответствует двум узлам электрической цепи с токами /с,(1 — D)/?, iR и /. Схема на рис. 9.2 представляет собой обычную схему LC-фильтра, в которой дополнительно с источником входного напряжения действует сигнал — источник напряжения Uexd . Помимо схемы замещения при проектирована и источники напряжения (Du^, dUex). Рис. 9.2. Эквивалентная схема замещения как непрерывная линейная модель ИРН-1 нии может быть использована система уравнений ИРН-1 в операторной форме, полученная из (9.2.10): (9.2.11) С помощью системы уравнений (9.2.11) определяется несколько передаточных функций ИРН-1, который работает в режиме НТ. После преобразований уравнений (9.2.11) получается соотношение:
f240 Глава 9. Силовая часть преобразователя как звено системы автоматического управления определяющее три передаточные функции: «выходное напряжение — входное», «выходное напряжение — возмущение по току» и «выходное напряжение — управляющий сигнал». Например, последняя ПФ, получаемая из (9.2.12) при /= 0 и Uex = О, равна: (9.2.13) Рис. 9.3. Схема ИРН-2 В эквивалентной схеме замещения, как показывает рис. 9.2, учет сопротивления конденсатора Rc не вызывает каких-либо трудностей — это сопротивление остается таким же и на том же месте, что и в исходной схеме. В передаточных функциях учет этого сопротивления сводится к появлению сомножителя (sCRc + 1) в числителе, слагаемого Rq/R в коэффициенте при si1, а также дополнительного слагаемого CRC в коэффициенте при s знаменателя. 9.3. Непрерывная линейная модель повышающего импульсного регулятора напряжения Схема регулятора (ИРН-2) показана на рис. 9.3. Также, как для понижающего регулятора, в схеме показан источник тока /, рассматриваемый как внешнее возмущение. Вектор переменных состояния х и вектор входных воздействий и определяются, как и раньше: Используя законы Кирхгофа, составим уравнение для импульса и паузы, считая, что регулятор работает в режиме НТ дросселя. Интервал импульса (tu): Следовательно, для интервала импульса имеем:
9.3. Непрерывная линейная модель повышающего -. М импульсного регулятора напряжения ^^Л, Интервал паузы (О: Теперь определим матрицыЛ^, В0иЕ, используя (9.1.10, а, б, в) и (9.1.8): Из анализа периодического режима ИРН-2 следует, что где Uex, как и для понижающего регулятора, входное напряжение в выбранном режиме. Подставив полученные значения матриц в уравнение (9.1.12), получим: (9.3.1) Система (9.3.1) позволяет создать эквивалентную схему замещения повышающего регулятора, показанную на рис. 9.4. По сравнению с эквивалентной схемой ИРН-1 здесь можно видеть характерные особенности: появляются зависимые источники ((1— D)uc и (1—D)TL) и источники, зависящие от сигнала управления d. Первые два зависимых источника можно на схеме заменить условным трансформатором, работающим на любой частоте вплоть до постоянного тока. Источник Рис. 9.4. Эквивалентная схема замещения как непрерывная линейная модель ИРН-2
|fi42 Глава 9. Силовая часть преобразователя как звено системы —fJJ автоматического управления тока в правой части схемы, управляемый d, оказывает существенное влияние на поведение регулятора в динамике. 9.4. Непрерывная линейная модель несимметричного полумостового преобразователя На рис. 9.5 показана схема несимметричного полумостового преобразователя, в которой витки вторичных обмоток W2X и W22 равны (W2X = W22 = W2). Схема выполнена с LC-фильтром, индуктивность намагничивания трансформатора L^ приведена к первичной обмотке. Полагая ключи 71 и 72, диоды 7)1 и U1 идеальными элементами, а индуктивности рассеяния обмоток нулевыми, будем рассматривать двухинтервальный режим работы преобразователя, то есть режим НТ в дросселе. Векторы х и и определим следующим образом: В интервале tu замкнут ключ 71, проводит диод 7)1 и справедлива схема замещения, показанная на рис. 9.6. Поскольку в схеме преобразователя четыре реактивных элемента (включая индуктивность намагничивания), система дифференциальных уравнений должна быть четвертого порядка. С учетом знаков на обмотке W2, показанных на рис. 9.6, запишем уравнение по 2-му закону Кирхгофа: Напряжение на обмотке W2 в интервале импульса: где п = WJWX — коэффициент трансформации. (9.4.1) (9.4.2) Подставив ит из (9.4.2) в (9.4.1), получим дифференциальное уравнение: (9.4.3) Рис. 9.5. Схема несимметричного полумостового преобразователя с выходным LC-фильтром и равными вторичными обмотками трансформатора (Wlx = W22 = W2)
9.4. Непрерывная линейная модель несимметричного ^43% полумостового преобразователя ^-J} Для узла «а» на схеме рис. 9.6 запишем уравнение по 1-му закону Кирхгофа: (9.4.4) Из 2-го закона Кирхгофа для контура на первичной стороне преобразователя получим: Рис. 9.6. Схема замещения несимме- Для узла «б» составим уравнение по 1 -му за- тричного полумостового преобразо- кону Кирхгофа: вателя в интервале импульса (ги) Поскольку в данном интервале iW2= iL (принимаем, что положительный ток в обмотке входит в начало) и, кроме того, iwl = — niW2, получим для узла «б» уравне- (9.4.6) Из (9.4.3. ..9.4.6) запишем систему дифференциальных уравнений для интервала С Из системы (9.4.7) получим матрицы Ах и Вх\ (9.4.7) В интервале паузы /л, когда ток проводят ключ 72 и диод Ш, справедлива схема замещения, показанная на рис. 9.7. Составляя для схемы на этом этапе уравнения по 1-му и 2-му законам Кирхгофа, получим следующую систему дифференциальных уравнений:
144 Глава 9. Силовая часть преобразователя как звено системы автоматического управления (9.4.8) Рис. 9.7. Схема замещения несимметричного полумостового преобразователя в интервале паузы (/п) Из системы (9.4.8) определим матрицы А^ и В{. Теперь, для получения НЛМ преобразователя необходимо получить матрицы AD, BD и Ев соответствии с уравнениями (9.1.10 а, б, в). В результате вычислений получим: Используем уравнение (9.1.12), подставив в него найденные матрицы. В результате получим систему ДУ: (9.4.9)
9.4. Непрерывная линейная модель несимметричного -* - полумостового преобразователя В матрице En в системе (9.4.9) обозначения ?/си IL относятся к периодическому режиму, в окрестности которого справедлива НЛМ. Uex — входное напряжение в этом режиме. Для определения всех переменных в периодическом режиме из (9.1.11) выразим вектор х0, считая, что вектор и0 известен. (9.4.10) Если положить ток / равным нулю (что означает отсутствие постоянной составляющей в возмущающем токе /), тогда вектор и0 равен [ ?/вх 0]Т. Обратная матрица А~о равна: где Су— ненулевые алгебраические дополнения матрицы AD: LCC После перемножения матриц BD и «0 получим: После перемножения матриц согласно (9.4.10), получим для периодического режима: (9.4.11) Полученный результат — постоянные составляющие токов в индуктивностях и напряжений на конденсаторах — полностью совпадает с тем, что достигается дру-
H(i46 Глава 9. Силовая часть преобразователя как звено системы ^^"у автоматического управления гими методами, в частности, с показанным в [23]. Выражение для выходного напряжения преобразователя в (9.4.11) совпадает с известной регулировочной характеристикой несимметричного полумостового преобразователя: Постоянный ток в выходном дросселе L — это ток нагрузки: и поэтому выражение для тока IL в (9.4.11) оказывается справедливым. Постоянный ток в индуктивности /м (подмагничивание трансформатора) зависит от тока нагрузки и растет при уменьшении D: Постоянное напряжение на конденсаторе С( Uc) первичной стороны легко находится непосредственно из схемы преобразователя (рис. 9.5) и совпадает с полученным значением в (9.4.11). Зная значения отдельных компонентов вектора jc0, систему уравнений (9.4.9) представим в виде эквивалентной схемы замещения, показанной на рис. 9.8. Переходя к операторной форме записи системы уравнений (9.4.9) при нулевых начальных условиях, можно определить передаточную матричную функцию всей системы, а также передаточные функции по отдельным воздействиям. Можно также определить требуемые передаточные функции непосредственно из схемы замещения (рис. 9.8). В данном параграфе был рассмотрен пример достаточно сложного преобразователя, схема которого содержит четыре реактивности. Значительно проще составляются непрерывные модели симметричных схем, содержащих трансформаторы: мостовых, полумостовых, двухтактных, с дросселем на входе и других. Силовой части каждого преобразователя соответствует, следовательно, своя непрерывная линейная модель, позволяющая вести проектирование с учетом дискретной или аналоговой управляющей части. Рис. 9.8. Эквивалентная схема замещения как непрерывная линейная модель несимметричного полумостового преобразователя
9.5. Непрерывная линейная модель понижающего импульсного регулятора <*aj^ напряжения в режиме прерывистого тока дросселя \^Jy 9.5. Непрерывная линейная модель понижающего импульсного регулятора напряжения в режиме прерывистого тока дросселя В некоторых случаях, как, например, при работе ИРН-1, система управления оказывается чувствительной к режиму работы силовой части. Поведение замкнутой системы становится ощутимо различным при непрерывном и прерывистом токах в дросселе. Поэтому приходится проводить анализ (моделирование) системы, учитывая особенности СЧ преобразователя в режиме прерывистого тока. Выполним построение непрерывной линейной модели ИРН-1 в данном режиме, что является необходимым для проектирования замкнутого обратными связями преобразователя. Данный вопрос неоднократно рассматривался в литературе [47, 38, 33, 7] для разных схем преобразователей. Казалось бы, можно использовать полученные результаты, проводя проектирование устройств на их основе. Однако это затруднительно сделать по следующим причинам: в [47] приводится эквивалентная схема замещения ИРН-1 в режиме прерывистого тока, но не дается пояснений к введенным в нее параметрам и отсутствуют расчетные формулы для них. Некачественные перевод и рецензирование книги, видимо, явились причиной такого результата. В [38] параметры эквивалентной схемы поясняются, но сама схема и расчетные формулы содержат ошибки. Поскольку формулы даются без вывода, возникает сомнение в возможности использования как полученной эквивалентной схемы, так и расчетных формул для практической работы. В [33] авторы ограничились записью без вывода только регулировочной характеристики ИРН-1 в режиме прерывистого тока, при этом допущенная опечатка в формуле качественно влияет на результат. Динамика ИРН-1 в этом режиме не рассмотрена. Наконец, в [7] динамические характеристики ИРН-1 в режиме прерывистого тока получены и исследовались на основе многомерной импульсной системы с использованием специализированной программы. Замкнутая система не содержала цепей коррекции. Там же методом сведения к непрерывной системе (усреднением) получены выражения для статических характеристик: регулировочной, внешней и зависимости среднего тока дросселя от коэффициента заполнения, однако схема замещения, необходимая при проектировании, не приведена. 9.5.1. Получение непрерывной нелинейной модели Работа ИРН-1 в режиме прерывистого тока происходит в трех интервалах: импульса (/м), паузы (tn) и отсечки (f„J. Интервал tu Ключ в интервале tu (рис. 9.1) замкнут, диод заперт и в этом интервале справедлива эквивалентная схема, показанная на рис. 9.9. Используя законы Кирхгофа, запишем для схемы следующую систему уравнений: Рис. 9.9. Эквивалентная схема ИРН-1 в интервале импульса
Z48 Глава 9. Силовая часть преобразователя как звено системы автоматического управления (9.5.1) Матрицы А, В в этом интервале находим из системы уравнений (9.5.1): Интервал tn Для данного интервала справедлива схема, показанная на рис. 9.10. Для этой схемы запишем систему ДУ: Рис. 9.10. Эквивалентная схема ИРН-1 в интервале паузы Матрицы схемы для этого интервала: (9.5.2) Рис. 9.11. Эквивалентная схема ИРН-1 в интервале отсечки Интервал tomc В данном интервале происходит разряд конденсатора на нагрузку при нулевом токе дросселя. Эквивалентная схема показана на рис. 9.11, где транзистор и диод показаны как разомкнутые ключи, не проводящие ток. Система уравнений для данного интервала оказывается наиболее простой: Матрицы А, В в интервале tomc находим из системы (9.5.3): (9.5.3) Определим усредненные матрицы за весь период переключения Т. и
9.5. Непрерывная линейная модель понижающего импульсного регулятора ..^» напряжения в режиме прерывистого тока дросселя >^^Л где коэффициенты заполнения для каждого интервала равны: После несложных вычислений определяются матрицы Ad и Bd\ где d1=d] + d2 Поскольку матрицы Ad и Bd теперь известны, запишем усредненную за период систему дифференциальных уравнений, сводящую исходную импульсную систему к непрерывной, в матричной форме: В системе (9.5.4) переменные iL и ис — средние значения за период переключения, а входное напряжение и^ считается неизменным в данном промежутке времени. В рассматриваемом режиме работы ток в индуктивности изменяется, как показано на рис. 9.12. (9.5.4) Рис. 9.12. Диаграмма тока в индуктивно- Средний ток в дросселе за интервал tu, tn, сти м* рассматриваемого режима а также за интервал tL = tu + tn обозначим как iw. где Соотношение (9.5.5) получено из рассмотрения интервала tu. Особенность системы уравнений (9.5.4) заключается в том, что производная тока дросселя (iL) равна нулю как в переходном режиме, так и в периодическом. Для последнего режима, кроме того, равна нулю производная от напряжения на конденсаторе. Поэтому для периодического режима из (9.5.4) получим: (9.5.6) где D„D2 — коэффициенты заполнения для интервалов С.иС.в периодическом режиме. Обозначив как Dz = D,+ D^ из (9.5.6) получим: (9.5.5)
250 Глава 9. Силовая часть преобразователя как звено системы автоматического управления (9.5.7) (9.5.8) Из (9.5.7) выразим суммарный коэффициент заполнения: (9.5.9) Поэтому ток дросселя в периодическом режиме можно записать, используя (9.5.8) и (9.5.9): (9.5.10) где М = ^-?- — коэффициент передачи напряжения регулятора от входа к выходу на постоянном токе. Коэффициент Мможно выразить из (9.5.7): (9.5.11) В [23] получена зависимость коэффициента Мдля ИРН-1 в режиме прерывистого тока от параметров преобразователя, которую приведем в принятых здесь обозначениях: Из последнего уравнения получим коэффициент Л/и коэффициент заполнения А: (9.5.12 а) (9.5.12 6) Таким образом, для периодического режима получены соотношения: Последнее выражение для 1Ш получено из (9.5.5). Перейдем к получению соотношений, позволяющих составить непрерывную линейную модель данной схемы в режиме прерывистого тока. Запишем (9.5.4) как систему двух дифференциальных уравнений в нормальной форме, учитывая, что производная тока iL равна нулю:
9.5. Непрерывная линейная модель понижающего импульсного регулятора ...^ напряжения в режиме прерывистого тока дросселя ^^-J} (9.5.13) 9.5.2. Линейная модель Выполним линеаризацию системы (9.5.13), отбрасывая при этом члены второго порядка малости, а также члены, относящиеся к периодическому режиму (то есть относящиеся к режиму постоянного тока схемы). После линеаризации получим: (9.5.14) (9.5.15) (9.5.16) где Из (9.5.13), (9.5.14), (9.5.15) и (9.5.16) получим два уравнения в отклонениях: (9.5.17) (9.5.18) Выполним над последними двумя уравнениями необходимые преобразования. Для этого выразим из (9.5.17) rfz, подставив полученное значение в (9.5.18): Ur Последнее равнение с учетом равенства IL0 = —— приведем к виду: (9.5.19) Согласно (9.5.5) имеем: Проведя линеаризацию последнего выражения, получим; (9.5.20) Уравнения (9.5.19) и (9.5.20) приводят к эквивалентной схеме замещения, показанной на рис. 9.13. Эта схема и является непрерывной линейной моделью ИРН-1 в режиме прерывистого тока. Согласно (9.5.19) в правой части схемы замещения показано сопротивление R/2 (R — сопротивление нагрузки). Схема на рис. 9.13 позволяет легко проводить моделирование режима прерывистого тока как в разомкнутой, так и в замкнутой
(jf^52 Глава 9. Силовая часть преобразователя как звено системы ^^^ автоматического управления системах управления. Другая форма представления непрерывной линейной модели — уравнения в операторной форме и передаточные функции. Для режима прерывистого тока ИРН-1 получим две передаточные функции. Для этого выразим из (9.5.20) iL и результат подставим в (9.5.19). После преобразований получим: (9.5.21) где Преобразование выражения (9.5.21) по Лапласу при нулевом начальном значении ис приводит к результату: Из последнего выражения получим две передаточные функции. По управле- нию: (9.5.22) По возмущению: (9.5.23) Полученные две передаточные функции наряду с эквивалентной схемой (рис. 9.13) описывают поведение ИРН-1 в режиме прерывистого тока как при изменении управляющего сигнала, так и при изменении входного напряжения. Рис. 9.13. Эквивалентная схема замещения как Для того, чтобы воспользоваться непрерывная линейная модель ИРН-1 в режиме полученными передаточными функ- прерывистого тока циями или эквивалентной схемой, необходимо задать режим работы схемы. Параметры силовой части известны из условий работы схемы и ее расчета в режиме непрерывного тока дросселя. Следовательно, для проведения расчетов в режиме прерывистого тока должно быть известно: — выходное напряжение, диапазон его изменения; — диапазон изменения входного напряжения; — номинальная мощность нагрузки; — частота работы ключа; — индуктивность дросселя (рассчитывается по заданным пульсациям тока при максимальном входном напряжении);
9.5. Непрерывная линейная модель понижающего импульсного регулятора - - -•«» напряжения в режиме прерывистого тока дросселя \g^J§) - емкость конденсатора фильтра (рассчитывается по заданному коэффициенту пульсаций). Рассмотрим пример. Импульсный стабилизатор напряжения, силовая часть которого выполнена на основе ИРН-1, должен работать при следующих условиях: Частота работы ключа 100 кГц; индуктивность дросселя 38 мкГн; емкость конденсатора 470 мкФ. Граничный режим работы схемы (переход из режима непрерывного тока в режим прерывистого) определяется из равенства [23]: (9.5.24) где ЯНгр — граничное сопротивление нагрузки, при котором режим непрерывного тока сменяется режимом прерывистого тока; D — коэффициент заполнения в пределах от нуля до Неравенство (9.5.25) означает, что при заданных RHn D ИРН-1 работает в режиме прерывистого тока, обратное неравенство — работа происходит в режиме непрерывного тока. Зададим режим прерывистого тока ИРН-1: Uex = 60 В; RH = 25 Ом. Постоянная времени т = — = 1,52• 10"6 с. R Поддерживается выходное напряжение Uc = 24 В. Определим Миз (9.5.11) и коэффициент заполнения импульсов (9.5.12 6): Коэффициент заполнения Д., необходимый для дальнейших расчетов, определим из (9.5.9): Поскольку Z)z < 1, это означает, что при заданных условиях работа действительно происходит в режиме прерывистого тока. Об этом же свидетельствует выполнение неравенства (9.5.25) (вместо D в формулу подставляется Д):
54 Глава 9. Силовая часть преобразователя как звено системы автоматического управления Вычисление коэффициентов, входящих в передаточные функции (9.5.22), (9.5.23) приводит к результатам: Поэтому передаточные функции ИРН-1 в заданном режиме работы оказались равными: Отметим, что ИРН-1 в режиме прерывистого тока оказывается гораздо более инерционным звеном, чем в режиме непрерывного. Действительно, из приведенного примера следует, что постоянная времени данного апериодического звена равна 4,4 мс. То же звено, но в режиме непрерывного тока имеет частоту сопряжения LC-фильтра, равную: и «постоянная времени» фильтра, равная —, значительно меньше 4,4 мс: Передаточная функция по возмущению (We(s)) имеет статический коэффициент передачи 0,4, что соответствует отношению выходного и входного напряжений в заданном режиме.
ГЛАВА 10 НЕКОТОРЫЕ ВОЗМОЖНОСТИ КОМПЛЕКСА MATLAB ДЛЯ АНАЛИЗА И ПРОЕКТИРОВАНИЯ СИСТЕМ УПРАВЛЕНИЯ ПРЕОБРАЗОВАТЕЛЯМИ ЭЛЕКТРИЧЕСКОЙ ЭНЕРГИИ В данной главе не планируется раскрыть все огромные возможности, предоставляемые комплексом MATLAB. Многое можно узнать из работ [ 15,44, 3], неоценимую помощь в знакомстве с различными разделами и приобретении навыков работы с MATLAB оказывает Help — специальная программа помощи пользователю, встроенная в комплекс. MATLAB содержит прикладную программу (среду) Simulink, позволяющую проводить структурное моделирование системы управления, и блоки библиотеки SymPowerSystems, которые обеспечивают имитационное моделирование самых различных электротехнических и силовых электронных устройств. Высокая скорость работы комплекса, свыше 900 встроенных математических функций, возможность работы с матрицами, возможность использования языков программирования высокого уровня, возможность выполнения проектирования с использованием особенностей ЦСП — все это далеко не полный перечень того, чем обладает MATLAB. В настоящее время MATLAB является комплексом номер один, способным оказывать действенную помощь как при анализе, так и при проектировании систем управления. Simulink, включающий в себя несколько мощных пакетов, таких как, например Control System Toolbox или Fuzzy Logic Toolbox, позволяет моделировать как линейные, так и нелинейные системы. Устройства, с трудом поддающиеся расчету, сложные для аналитического описания, могут без затруднений проектироваться при использовании Simulink. 10.1. Пакет для проектирования систем управления (Control System Toolbox) Особенностью Control System Toolbox является возможность создавать как передаточные функции, что требуется для описания систем управления классическими методами, так и имеющийся набор средств для проектирования системы методами пространства состояний (что принято сейчас относить к «современным» методам). Control System Toolbox позволяет вести работу с моделями как в непрерывном, так и в дискретном времени. Преобразование моделей непрерывных к дискретным и обратно производится с помощью функций:
56 Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии c2d — преобразование непрерывного представления в дискретное, d2c — преобразование дискретного представления в непрерывное. Модели систем управления могут быть представлены в виде передаточных функций, в виде уравнений пространства состояний, передаточных функций (или передаточных матричных функций — ПМФ) с явно выраженными нулями и полюсами (Zero-Pole-Gain Models), передаточных функций с использованием разложения на простые дроби (Partial Fraction Expansion). Модели могут преобразовываться из одного представления в другой. Примеры часто используемых функций преобразования: tf2ss — передаточная функция переводится в форму модели пространства состояний, ss2tf — модель пространства состояний переводится в передаточную функцию tf2zp — передаточная функция переводится в модель zero-pole-gain (или просто zero-pole), zp2tf - модель zero-pole переводится в передаточную функцию. Сложные модели могут быть образованы из отдельных блоков при использовании функций, некоторые из которых приведены ниже: append — группирует модели, объединяя их входы и выходы, connect — объединяет несколько блоков, feedback — создает систему с обратной связью из двух исходных моделей. Реакция модели в частотной области определяется с помощью нескольких функций. Некоторые из них: bode — построение диаграммы Боде (логарифмические частотные характеристики); nyguist — построение диаграммы Найквиста (амплитудно-фазовая характеристика на комплексной плоскости). Для проектирования различных регуляторов используется несколько функций, позволяющих применять для замкнутых обратными связями систем как классические, так и новые методы. К таким функциям относятся: damp — определение коэффициента демпфирования; margin — определение запасов по амплитуде и фазе; rlocus - построение корневого годографа lqr — проектирование линейно-квадратичного регулятора для систем, описываемых методом пространства состояний. 10.2. Пакет для обработки сигналов (Signal Processing Toolbox) Данный пакет представляет собой набор функций MATLAB, обеспечивающий настраиваемую структуру для аналоговой или цифровой обработки сигналов. Signal Processing Toolbox обеспечивает два вида инструментария: функции командной строки (command line functions) и набор интерактивного графического интерфейса (a suite of interactive graphical user interfaces). Несколько важных функций командной строки: signal and linear system models — сигналы и модели линейных систем;
10.3. Набор блоков и функций SymPowerSystem 25 digital and analog filter design — проектирование цифровых и аналоговых фильтров; parametric modeling — параметрическое моделирование; statistical signal processing and spectral analysis — статическая обработка сигналов и спектральный анализ; spectral transforms — спектральные преобразования. Набор интерактивного графического интерфейса предназначен для: — проектирования и анализа фильтров; — анализа и графического представления сигналов; — спектрального анализа; — фильтрации сигналов. Signal Processing Toolbox — это алгоритмы, содержащиеся в основном в m-файлах, алгоритмы позволяют решать разнообразные задачи, встречающиеся при обработке сигналов. 10.3. Набор блоков и функций SymPowerSystem Блоки SymPowerSystem (SPS) позволяют создавать модели по принципам, схожими с принципами создания моделей Simulink. Блоки содержатся в разделах библиотеки SPS.Несколько наиболее важных разделов библиотеки SPS: electrical sources — источники электрической энергии; elements — в данной библиотеке находятся пассивные элементы электрических цепей: RLC — цепи, трансформаторы, цепи со взаимной индуктивностью и др.; measurements - раздел библиотеки содержит датчики тока и напряжения, блок измерения для трехфазных систем, измеритель импеданса; power electronics — компоненты для силовой электроники; здесь имеются модели мощного полевого транзистора (MOSFET), IGBT — транзистора, диода, тиристора, модель универсального моста (однофазный или трехфазный, диодный или тиристорный); здесь же имеется модель управляемого трехуровнего преобразователя; extra library — раздел библиотеки с дополнительными устройствами, позволяющими моделировать и рассчитывать сложные электрические схемы с различными блоками управления; extra library содержит семь библиотек (MATLAB 7.0): — Discrete control blocks — блоки управления дискретными системами; — Discrete measurements — измерения и исследования сигналов в дискретных системах управления; — Measurements — измерения; этот раздел extra library содержит дополнительные средства измерения электрических параметров, выполнение анализа Фурье и расчет коэффициента нелинейных искажений; — Phasor Library — измерения и анализ величин векторного типа; — Additional Machines — дополнительные блоки двигателей постоянного тока; — Control Blocks - блоки управления — библиотека содержит фильтры, ШИМ- генератор, генераторы сигналов, управляющие выпрямителями; — Three-Phase Library — блоки, дополняющие трехфазные системы.
58 Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии 10.4 Годограф Найквиста, логарифмические частотные и временные характеристики (непрерывные системы) 10.4.1. Построение годографа Найквиста Функция nyquist рассчитывает и наносит на график годограф — амплитудно- фазовую характеристику (годограф Найквиста), выводя ее на экран. По умолчанию годограф строится как для отрицательных, так и для положительных частот (частота круговая, рд/с). Годографы Найквиста, построенные для разомкнутых систем, позволяют оценивать устойчивость систем, замкнутых обратной связью. Функция nyquist имеет несколько разновидностей, но все они пригодны для произвольной LTI модели, которая может быть непрерывной или дискретной, с одним входом и одним выходом (SISO) или многосвязной (MIMO). В последнем случае функция nyquist возвращает массив годографов, каждый из них соответствует i-му входу и j-выходу системы. Приведем пример построения годографа Найквиста. Для передаточной функции, заданной в виде: запишем операторы, которые могут быть представлены в командном окне или в виде т-файла: ск % очистка командного окна перед % выполнением программы num = [50 50*4]; % запись числителя den = [ 1 6 11 6]; % запись знаменателя sys = tf(num, den) % запись модели в виде % передаточной функции nyguist (sys) % получение годографа Найквиста В результате работы программы MATLAB строит годограф, показанный на рис. 10.1. Нижняя его половина построена для положительных значений частот со (стрелка показывает направление изменения частоты от 0 до <х>), а верхняя — для отрицательных. Крестиком nyquist Рис. 10.1. Годограф Найквиста, построенный с использованием функции nyquist (sys) помечает точку (-1,/)). Поскольку годограф не охватывает указанную точку, замкнутая система с заданной передаточной функцией W(s) будет устойчивой. Комментарии программы требуют знака %, запись числителя и знаменателя производится в ниспадающем порядке степени s, поэтому последним указывается коэффициент при s°. Если в конце оператора ставится знак «;», это означает, что результат данной строки не появляется в командном окне.
10.4Годограф Найквиста, логарифмические частотные и временные характеристики (непрерывные системы) 2?3 Рис. 10.2. Часть годографа Найквиста, построенная с использованием функции nyquist(sys, w); диапазоны частот: от 1 до 12 рд/с и от -12 до -1 рд/с Функция nyquist (sys, w) определяет частотный диапазон характеристик, который будет показан на графике. Перед вызовом этой функции должен быть указан интервал частоты, размерность частоты рд/с. Если в предыдущем примере записать операторы: w = (l,12) nyguist(sys, w), Рис. 10.3. Изменение масштаба годографа вблизи точки (—1, j0), используется опция «On» Рис. 10.4. Участок годографа Найквиста с маркером для выбранной точки получим часть годографа, показанную на рис. 10.2, отображающего амплитудно- частотную характеристику в диапазонах частот от 1 до 12 рд/с и от -12 до -1 рд/с. Масштаб годографа можно изменить вокруг существенно важной точки (—1, уО). Для этого с помощью правой кнопки мыши отображается меню и из него выбирается опция «Zoom On». Результат показан на рис. 10.3. Выбором любой точки годографа с помощью мыши активируется маркер, показывающий действительную и мнимую части характеристики для данной частоты. На рис. 10.4 показан участок годографа Найквиста с маркером данных для выбранной точки. Функция nyguist может показывать уровни постоянного усиления для замкнутой системы при единичной обратной связи. Другими словами, модуль амплитудно- частотной характеристики (10.4.2) остается постоянным для линии одного уровня. В (10.4.2) W(J(o) и W3(J(o) — амплитудно-фазовые характеристики разомкнутой и замкнутой систем. Данная опция (Grid-сетка) выполняется с помощью мыши
Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии при открытии меню правой кнопкой. На рис. 10.5 показана сетка на участке годографа Найквиста. 10.4.2. Логарифмические частотные характеристики Функция bode, так же как функция nyguist, рассчитывает амплитудно-фазовую характеристику, но построение графиков ведется на действительной плоскости с использованием логарифмического масштаба. Рассматриваются только положительные частоты. Функция bode рассчитывает амплитуду и фазу произвольной LTI модели при изменении частоты. Амплитуда и фаза по умолчанию выводятся в децибелах (дБ) и градусах. Графики логарифмических частотных характеристик (ЛЧХ), то есть графики bode, ис- Рис. 10.5. Участок годографа Найквиста с пользуются при анализе таких свойств уровнями постоянного усиления системы, как запас по усилению, запас по фазе, коэффициент передачи на нулевой частоте, полоса пропускания, устойчивость и др. MATLAB предлагает несколько вариантов использования функции bode. Здесь рассматриваются только некоторые из них. Для передаточной функции (10.4.1) применим функцию bode (sys). Программу в /я-файле составим следующим образом: clc Ws = t/[[50 50*4], [1 6 11 6]) % запись модели bode(Ws). В этой программе дано другое обозначение модели Ws, и, кроме того, числитель и знаменатель передаточной функции помещены в виде коэффициентов полиномов от s в функцию MATLAB tf. На рис. 10.6 показаны ЛАХ и ФЧХ рассматриваемого звена. Удобно наносить сетку на графики bode, для этого вызывается меню правой кнопкой и выбирается опция grid. Меню показывает и другие опции, которые позволяют определить свойства звена или системы. Функция bode (Ws, w) определяет частотный диапазон ЛАХ и ФЧХ. Необхо- Рис. 10.6. ЛАХ и ФЧХ, полученные с помощью Димо указать интервал частоты, записав, функции bode (Ws), опция grid например, для рассматриваемого примера:
10.4 Годограф Найквиста, логарифмические частотные и временные характеристики (непрерывные системы) Рис. 10.7. ЛАХ и ФЧХ, полученные с помо- Рис. 10.8. Логарифмические частотные харак- щью функции bode (Ws, w), опция grid теристики, полученные с помощью функции margin w = (0.1, 1еЗ)% bode(Ws, w). На рис. 10.7 показаны ЛАХ и ФЧХ с использованием функции bode(Ws, w). Логарифмические частотные характеристики могут быть получены для одномерных систем с помощью функции margin (запас), которая определяет запасы по амплитуде и фазе. В программе, которая использовала функцию bode (Ws), сделаем изменения: Ws = t/(50*[l 4],[1 6 11 6]) margin = (Ws) В результате получаются ЛАХ и ЛЧХ с указанием полученных запасов по амплитуде (Gm) и фазе (Рт), рис. 10.8. В данном случае MATLAB показывает бесконечно большой запас по амплитуде, поскольку фазовая характеристика не пересекает — 180°. Запас по фазе составил 17,3°, частота среза 7,1 рд/с. Частота среза и запас по фазе отмечены вертикальными линиями. 10.4.3. Временные характеристики Временную характеристику звена или системы, то есть реакцию выходного сигнала на единичный скачок по входу легко получить несколькими способами. Рассмотрим только два из них. 1. Создадим в Simulink модель замкнутой системы с передаточной функцией W(s) в разомкнутом состоянии (10.4.1). Модель системы показана на рис. 10.9. Нам понадобились блоки из библиотеки Simulink: Step (скачок), Transfer Fen (передаточная функция), Sum (сумматор) и Scope (осцил- Рис. 10.9. Модель замкнутой системы с передаточной функцией разомкнутой системы (10.4.1)
262 Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии лограф). Блок Step устанавливает по умолчанию время начала скачка (Step time) 1 с и значение скачка (Final value) — 1. На рис. 10.10 показана реакция системы на заданный входной скачок. Другие возможности получения временной характеристики из Simulink будут рассмотрены позже при изучении инструментального сред- ства LTI-Viewer. 2. Создадим m-file, в программе ко- Р||с 10 10 реакция замкнутой систеМы торого используем функцию (рИс. 10.9) на входной единичный скачок feedback, позволяющую создавать замкнутую модель, охваченную отрицательной или положительной обратной связью. Например, в системе, показанной на рис. 10.11, из двух моделей — sys 1 и sys2 — образуется одна с отрицательной обратной связью. Замкнутая **•101L м°Дели sysl и sys2 в системе, замкну- 11Лпат11 р„0 ?ЖЖЖЛЛ„, „„лтт „ „„„ той отрицательной обратной связью модель sys имеет вход и как * И входной вектор и у как выходной вектор сигнала. Обе LTI модели одновременно должны быть или непрерывными или дискретными с одним и тем же временем дискретизации. При отрицательной обратной связи синтаксис обращения к функции feedback следующий: sys = feedback(sysl, sys2) или sys =feedback(sysl, sysl, -1) Для системы с положительной обратной связью: sys=feedback(sys\, sysl, + 1). Статические коэффициенты передачи можно задавать в виде чисел, но, по крайней мере один из двух аргументов функции feedback яолжен быть LTI моделью. В том случае, если в замкнутом контуре обе модели являются статическими коэффициентами, можно применить следующий синтаксис для обращения к функции: sys=feedback(kl, tf{kl)). Для замкнутой единичной обратной связью системы с передаточной функцией (10.4.1) составим программу, результатом которой является временная характеристика. lVs = tf{[50*[l 4],[1 6 11 6]) Wsz = feedback's, 1) step(Wsz).
10.5. Метод корневого годографа (root locus), непрерывные системы ?Э Функция step (Wsz) в этой программе показывает на дисплее временную характеристику. Ее параметры можно определить, выбрав в меню опцию «Characteristics». При использовании этой опции на графике можно отметить: — максимальный выброс (peak response); — время установления (settling time); — время нарастания (rise time, no умолчанию от 0,1 до 0,9); — установившееся значение (steady- state). Опция «Grid» (сетка) также доступна. На рис. 10.12 отмечены параметры временной характеристики, которая получена с помощью функции step. Временная характеристика на рис. 10.12, естественно, не отличается от характеристики, полученной в Simulink. 10.5. Метод корневого годографа (Root Locus), непрерывные системы Функция Hocus производит расчет и графическое построение корневого годографа Эванса. Модель звена или системы должна быть разомкнутой и одномерной (SISO). Указывая расположение корней замкнутой системы как функцию коэффициента усиления к, ветви годографа позволяют косвенно судить и о временной характеристике, и о частотных свойствах системы. Функция Hocus, записанная в виде Hocus(sys), рассчитывает и выполняет графические построения корневого годографа для разомкнутой SISO модели. Функция Hocus(sys, к) выполняет построение годографа, если задан вектор А; коэффициентов передачи разомкнутой системы. Когда функция Hocus задается с выходными аргументами в виде [г, к] = = Hocus(sys) или г— Hocus(sys, к), возвращаются вектор к выбранных коэффициентов передач и комплексные корни, соответствующие этим коэффициентам. В качестве примера рассмотрим передаточную функцию (10.5.1) имеющую полюсы разомкнутой системы: Рис. 10.12. Реакция замкнутой системы с передаточной функцией (10.4.1) на входной единичный скачок. Характеристика получена с помощью функций feedback и step
CSS Глава 10. Некоторые возможности комплекса МЛ TLAB для анализа и проектирования систем управления преобразователями электрической энергии Запишем программу: lVs = tf{[l,conv([\ 0.2], [1 4 16]) rlocus( Ws) В результате работы программы на дисплее отображается корневой годограф, показанный на рис. 10.13, где можно видеть его отдельные ветви и три полюса разомкнутой системы, отмеченные знаком «х», откуда эти ветви начинаются. Размеры показанной комплексной плоскости можно изменить, записав функцию axis, в которой указываются сначала границы действительной оси, а затем мнимой. Например, запись, выполненная после функции rlocus(Ws): Рис. 10.13. Корневой годограф, построенный axis([-\0 10 -10 10]), означает, что по передаточной функции (10.5.1). Отмечены границы годографа будут выполнены полюсы разомкнутой системы одинаково по обеим осям. Меню, вызываемое правой кнопкой на поле годографа, позволяет наносить сетку с уровнями модуля и коэффициента затухания замкнутой системы, а также изменять вид графика. Небольшие изменения предыдущей программы: Ws = tf{[\,conv([l 0.2], [1 4 16]) rlocus( Ws) [К, p] = rlocfind(Ws) приводят к появлению на мониторе горизонтальной и вертикальной линий, в точке пересечения которых находится курсор. Перемещая курсор по одной из ветвей годографа, необходимо «кликнуть» на выбранной точке. В результате на мониторе будут отмечены (красным цветом) точки, в которых усиление разомкнутой системы ^соответствует выбранной точке. В командном окне появится информация о координатах выбранной точки, коэффициенте усиления и значениях полюсов замкнутой системы. Для точки, отмеченной курсором на горизонтальной оси и соответствующей значению, примерно равному —2,7, на мониторе будет выведено: selected point (выбранная точка) = — 2,73е + 000 — 31,Обе — 003/ К= 31.71*+ 000 р = -735.07^-003 + 3.50е + 000/ -735.07^-003 - 3.50е + 000/ -2.73е + 000 Полюсы, наиболее близко расположенные к мнимой оси, если только они не скомпенсированы нулями, оказывают наибольшее влияние на переходный процесс в замкнутой системе. Для указанных комплексных полюсов, равных примерно —0,74±3,5/, из корневого годографа получим, «кликнув» правой кнопкой на одном из них:
10.6. Представление моделей по методу пространства состояний Рис. 10.14. Модель замкнутой системы с передаточной функцией (10.5.1) и коэффициентом усиления К, определенным с помощью функций rlocus и rlocfind. Знаменатель den получен с помощью m файла Damping (коэффициент затухания): 0.205 Overshoot (максимальный выброс напряжения) (%): 51.8 Frequency (частота) (rad/sec): 3.58 Завершая рассмотрение этого примера, построим в Simulink модель замкнутой системы с передаточной функцией (10.5.1) и коэффициентом усиления К= 31,71, полученным в результате работы функции Hocus и выбранной точки —2,73; 0. На рис. 10.14 показана модель системы, входное воздействие в виде единичного скачка (step) в момент времени 1 с. Для удобства работы с моделью создадим m-file, присвоим ему имя и запишем команду: dev = conv([l 0.2], [1 4 16]) Рис. 10.15. Временная характеристика замкнутой системы, коэффициент К— 31,71 определен с помощью функций rlocus и rlocfind В этой строке записан знаменатель передаточной функции (10.5.1). Поэтому в модели (рис. 10.14)знаменатель передаточной функции представлен как den. Временная характеристика, построенная в результате работы модели, показана на рис. 10.15. 10.6. Представление моделей по методу пространства состояний В данном параграфе рассмотрено несколько функций, позволяющих проводить моделирование с использованием метода пространства состояний (state space). 10.6.1. Преобразование из передаточной функции в модель пространства состояний и обратно Получить модель пространства состояний можно, используя функцию tflss. Ее запись (синтаксис) следующая (-ий): [А, В, С, D] = tf2ss(b, a). Матрицы А, В, Си D, возвращаемые программой, являются представлением системы по методу пространства состояний в управляющей канонической форме. Исходная система, представленная в виде передаточной функции (или передаточ-
166 Глава 10. Некоторые возможности комплекса MA TLAB для анализа и проектирования систем управления преобразователями электрической энергии ной матричной функции), должна иметь только один вход. В приведенной записи функции tflss вектор а содержит коэффициенты знаменателя, которые являются одними и теми же в случае многосвязной системы. Коэффициенты записываются по убывающим степеням комплексной переменной s. В общем случае Ь в записи функции tflss — матрица, в которой содержатся векторы коэффициентов числителей передаточной матричной функции. Каждая строка матрицы соответствует своему выходу. Рассмотрим пример. Для схемы, показанной на рис. 10.16, с помощью методов электротехники получены две передаточные функции (они приведены в выражениях (7.8.13) и (7.8.14)). Записав каждую из них в стандартном виде: Рис. 10.16. Схема LC-фильтра, используемая в качестве примера получения передаточной матричной функции получим передаточную матричную функцию для данной схемы: (10.6.1) где входом является UJis), а выходом — вектор сигналов [lL(s) Uc(s)\T. Возьмем те же исходные данные, что использовались в примерах гл. 7: 5 = 0,5, ©0 = 105 рд/с; R = 10 Ом. Составим m-flle с обращением к функции tflss: Ksi = 0.5; От0= \е5; Л =10; a=[l 2*Ksi*OmO 0 OmO2]; [A, B, C, D] = tQss(b, a) MATLAB в командном окне вернет требуемые матрицы для представления данного звена в форме пространства состояний: Сравнение данных результатов с результатами 7-й главы, в которой представление звена в управляющей канонической форме получено вручную, без использования MATLAB, показывает их идентичность.
10.6. Представление моделей по методу пространства состояний Обратное преобразование — от представления в форме пространства состояний к передаточной функции (или передаточной матричной функции) — выполняется с помощью функции MATLAB ss2tf. Известные матрицы А, В, Си D используем в команде: [Ь, a]=ss2tf(A, В, С, Д ш)?где т — номер входного сигнала, для которого находится передаточная матричная функция; Л, а — числитель и знаменатель ПМФ, возвращаемой программой. Матрица числителя содержит столько строк, сколько выходов у данного звена или системы. Ниже показан m-file, в котором использованы матрицы/1, В, Си Д полученные в предыдущем примере. Л = [-100*3 -10*9; 1 0]; Ml; 0]; С = [10*3 1*9; 0 10*9]; /) = [0; 0]; [b, a]=ss2tf(A, В, С, Д 1) Результатом работы программы является ПМФ: 0 10*3 1*9 ~0 -29*42 10*9 а=1 100*3 10*9 Легко убедиться, что полученная передаточная функция с числителем Ь и знаменателем а полностью совпадает с выражением (10.6.1) при подстановке в него заданных значений ?, со0 и R. Число -29*-12 — погрешность вычислений, фактически этот коэффициент при первой степени s равен нулю. Еще одно преобразование позволяет модель пространства состояний (state- space) перевести в ПМФ, числители и знаменатель которой содержат в качестве сомножителей нули и полюсы. Функция ss2zp выполняет это преобразование. Рассмотрим m-file с использованием функции ss2zp, в качестве исходных взяты матрицы из двух предыдущих примеров: А = [-100*3 -10*9; 1 0] ; Ml; 0]; С = [10*3 1*9; 0 10*9];
168 Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии D=\0; 0]; [z, p, k] = ss2zp(A, В, С, D, 1) После перечисления матриц указывается номер входа, то есть номер столбца матриц В и D. В данном случае только один вход (напряжение на входе LC-контура ивх), поэтому последний параметр функции ss2zp единица (по умолчанию этот параметр считается единицей). В возвращаемых параметрах к — коэффициент перед отношением числителя и знаменателя каждой передаточной функции. В результате работы файла в командном окне появляется информация: р = к= Z= -50eM-86jSe3i 1*9 Empty matrix: 0-by-2 -50еУ-86,6е31 10е9 Строка Empty matrix: 0-by-2 означает, что MATLAB не обнаруживает нулей ни в первой передаточной функции, ни во второй. Передаточная матричная функция определяется выражением (10.6.1). Если для последней это вполне справедливо, поскольку в числителе данной передаточной функции находится выражение со20 (коэффициент при sравен нулю), то для первой это не так. MATLAB, сравнивая в числителе два выражения: со02/Л (коэффициент при 5°) и (aJil^R) (коэффициент при s), обнаруживает большое расхождение между ними — в пять порядков. Только по этой причине ноль первой передаточной функции, являющейся очень большим (по модулю) отрицательным числом, не принимается во внимание. MATLAB возвращает два комплексных полюса (р) и два коэффициента к для каждой из передаточных функций. В том, что полюсы и коэффициенты к определены правильно, можно убедиться несложными вычислениями по выражению (10.6.1), подставив в него исходные значения ?, со0 и R. 10.6.2. Получение матриц уравнений состояния в модальной форме Располагая матрицами уравнений состояния А, В, Си D, можно перейти к модальной форме их представления, упрощающей вычисления при проведении анализа и синтеза систем высокого порядка. Функция MATLAB tf2ss, как было показано ранее, выполняет преобразование, приводящее к получению матриц в управляющей канонической форме в пространстве состояний. Далее, при использовании функции ssсоздается модель (объект) в пространстве состояний. Существуют несколько различных способов обращения к функции ss, здесь мы рассмотрим один из них. Команда
10.6. Представление моделей по методу пространства _ , ^ состояний sys = ss(a, by cy d), где я, b,c,d— известные матрицы, создает модель в пространстве состояний. Перевод этой модели в модальную форму выполняется с помощью функции canon: \csys, 77] = canon(sys, 'modal1), где параметр 'mod аГ , указывает, что canon создает модель (csys) в модальной форме из известной модели sys. TI— параметр в левой части команды — матрица, обратная матрице преобразования (модальной матрице). В гл. 7 модальная (преобразующая) матрица, столбцы которой образуют собственные векторы матрицы А, была рассмотрена. Модальная матрица получается инвертированием (созданием обратной) матрицы 77. Наконец, имеющаяся в MATLAB функция eig находит собственные значения и собственные векторы. Например, команда [V,D]=eig(A) позволяет определить матрицу D — диагональную матрицу, на главной диагонали которой расположены собственные значения матрицы А. В гл. 7 эта матрица обозначалась как Л. Получаемая матрица V в левой части команды — модальная матрица, она идентична (для действительных корней) матрице 77"7 (матрица 77— параметр в левой части функции canon). Рассмотрим пример. Разомкнутую систему с передаточной функцией представить как систему в пространстве состояний в модальной форме. Запишем m-file с требуемыми командами: *=[i 7.5 з.5];%числитель; а= [l 16 68 80];% знаменатель; \А, В, С, D\ = tf 2ss\p, a) % получение матриц пространства состояний; sysu = ss(Af В, С, D) % получение модели системы в пространстве % состояний; [CsysNew, 77] =canon(sysGf 'modal') % получение модели в модальной форме; Т= inv(TI); % инвертирование матрицы Г7для получения % модальной матрицы [V,P] = eig(A): % получение диагональной матрицы Ри % модальной матрицы Ниже представлены результаты работы программы:
70 Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии а= xl х2 хЗ xl -16 -68 -80 х2 1 0 О хЗ О 1 О Ь= и\ xl 1 х2 О хЗ О с= xl х2 хЗ .И 1 7.5 3.5 d= и\ у\ О Continuous-time model. а = xl x2 хЗ xl -10 О О х2 0 -4 О хЗ 0 0-2 Ь = и\ xl -2.094 х2 -1.377 хЗ 0.2864 с= xl х2 хЗ ^1 0.2836 -0.6355 -1.637
10.6. Представление моделей по методу пространства -_ состоянии Continuous-time model. 77= -2.0938е+000 -12.5630ef000 -16.7506ef000 -1.3796ef000 -16.5227ef000 -27.5379ef000 286.4110e-003 4.0098e+000 11.4564ef000 -994.9879^-003 968.3641<M)03 872.871^-003 99.4988<?-003 -242.0910e-003 -436.4358^-003 -9.9499e-003 60.5228*M)03 218.2179e-003 -994.9879e-003 968.3641^003 872.871^-003 99.4988e-003 -242.0910^-003 -436.4358^-003 -9.9499e-003 60.5228^-003 218.2179^-003 -10.0000e+000 0.0000e-003 0.0000^-003 0.0000e-003 -4.0000e+000 0.0000^-003 0.0000e-003 0.0000^-003 -2.0000e+000 Первая четверка матриц (a, b,c,d)b командном окне — результат работы функции tflss. Матрицы соответствуют управляющей канонической форме модели и полностью согласуются с результатами, полученными в разд. 7.8.3. Следующая четверка матриц {а, Ь, с, d) представляет модель системы, полученную с помощью canon (sysG, 'mod al'). Теперь матрица а — диагональная, на главной диагонали находятся полюсы системы (собственные значения исходной матрицы а). Как в той, так и в другой форме матрица d является нулевой, что означает инвариантность выходного сигнала к входному воздействию. Другим результатом работы функции canon является получение обратной преобразующей матрицы TI. Инвертирование этой матрицы позволяет получить модальную матрицу Т, которая совпадает с матрицей V. Последняя матрица также является модальной, но она получена с помощью функции eig. Матрица Р, также полученная в результате работы функции eig, — диагональная матрица соб-
(i72 Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии ственных значений, совпадающая с матрицей а — результатом работы функции canon. Легко проверить, что знаменатель функции W(s) в данном примере имеет корни —10; -4; -2, что, естественно, совпало с результатами работы программы. 10.6.3. Применение формулы Акерманна MATLAB выполняет матричные вычисления любой сложности. В одном из примеров гл. 7 рассматривалась замкнутая система, построенная на основе уравнений состояния, а коэффициенты передачи от переменных состояния были определены вручную. Покажем на этом же примере, как применяется формула Акерманна, позволяющая найти те же самые коэффициенты в MATLAB. Для передаточной функции разомкнутой системы: (10.6.2) были определены матрицы системы в управляющей стандартной форме: Полюсы замкнутой системы располагаем в выбранных точках на действительной оси комплексной плоскости: 51з = —10; Бъ = —3. Определение матрицы — строки ^ по формуле Акерманна требует знания матрицы управляемости Е, но при расчете с помощью MATLAB необходимы минимальные сведения о системе. Рассмотрим программу в /я-файле. ^ _ Г_б _g. j q1 ; % матрица А системы В- [0,8; ОД] ; % матрица-столбец В связи с входным сигналом рс = [-10; -3] ; % задание вектора полюсов замкнутой системы К— acker(A, В, рс) %вызов функции аскеги получение матрицы-строки К. Итог работы программы появляется в командном окне: К= 6.3333е+0 19.3333е+0 Полученный результат полностью совпадает с тем, что был получен для данного примера в гл. 7. Теперь построим замкнутую систему в Simulink, используя матрицы А, В, С, D системы и коэффициенты передачи от переменных состояния. Для этого создадим несколько моделей в пространстве состояний и соединим их определенным образом в замкнутой системе.
10.6. Представление моделей по методу пространства состояний 273J В Simulink блок state-space представляет систему, поведение которой определяется матричными уравнениями: (10.6.3) Блок state-space принимает один входной сигнал (как вектор), обеспечивая на выходе также один сигнал в виде вектора. Длина входного вектора (число его элементов) определяется числом столбцов матриц В и D. Число элементов выходного вектора определяется числом строк матриц Си D. Матрицы, входящие в систему (10.6.3), задаются в диалоговом окне блока state-space. Поскольку блок К, в котором содержатся коэффициенты Кх и К2, воспринимает сигналы от вектора переменных состояния х (в данном случае х{ и xj, необходимо создать первый блок state-space таким образом, чтобы его выходной вектор был образован сигналами х1их2. Это легко сделать, задав матрицу С в виде диагональной единичной. Тогда 1-й блок state-space будет образован матрицами: Блок К образует второй блок state-space, в котором входной вектор содержит две компоненты (х, и х2), а выходной — только одну. Выходной сигнал блока К — это произведение матрицы-строки К (ее элементы известны после применения функции acker) и вектора х. Поэтому матрицы второго блока state-space записываются в виде: Коэффициенты Кх и К2 входят в матрицу Д остальные матрицы — нулевые. Рис. 10.17. Модель системы с передаточной функцией (10.6.2), заданной в форме пространства состояний
|г274 Глава 10. Некоторые возможности комплекса MATLAB для анализа и ^^^ проектирования систем управления преобразователями электрической энергии Наконец, третий блок state-space необходим для получения выходного сигнала у. Его входным вектором является вектор дг, а матрица связи D образуется повторением матрицы С, полученной из исходной передаточной функции. Матрицы, входящие в третий блок state-space: Схема модели, созданная в Simulink, показана на рис. 10.17. В каждый блок state-space внесем соответствующие матрицы, а с помощью блока step зададим единичное воздействие на вход. Реакция на выходе замкнутой системы показана на рис.10.18. Входное воздействие начинает действовать в момент времени 1 с. 10.7. Дискретные системы Здесь мы затронем самые необходимые, на наш взгляд, вопросы, требуемые для моделирования линейной дискретной системы. Эти и другие вопросы, относящиеся к проектированию преобразователей с помощью MATLAB, будут рассмотрены в последующих параграфах данной главы, а также в последующих главах. 10.7.1. Преобразования непрерывной системы в дискретную и обратно Функция eld Перевод непрерывной системы в дискретную (дискретизация) может быть выполнен функцией c2d, которая записывается в нескольких формах синтаксиса. Широко используются две команды: sysd=c2d(sys, Td) и sysd = c2d(sys, Td, method) . Рассмотрим первую команду функции c2d. sysd = c2d(sys, Td) дискрети- зирует LTI модель непрерывного времени sys, используя при этом фиксатор нулевого уровня (ZOH) на всех входах. Время квантования Td записывается в секундах. При записи в форме sysd = c2d(sys, Td .method) открывается доступ к разным методам дискретизации: 6zoh* — фиксатор нулевого уровня. В Рис. 10.18. Реакция замкнутой системы, заданной в форме пространства состояний, на единичный скачок
10.7. Дискретные системы 27! течение периода Td входы дискретного звена (системы) принимают постоянные значения сигналов; '/oh' — кусочно-линейная аппроксимация сигналов на входе; в течение периода Td сигнал является линейным — фиксатор первого порядка; 'tustirC (или Т) — билинейная аппроксимация по методу Тастина; 'prewarp' — аппроксимация по методу Тастина с компенсацией искажений на высоких частотах; в качестве еще одного параметра задается выбранная частота в размерности рд/с: sysd = c2d(sysc, td , 'prewarp ', wc); 'matched — используется метод подбора нулей и полюсов. Пример. Имеется некоторая цепь коррекции непрерывной системы: Перевести G(s) в z-форму, применив функцию c2d и используя аппроксимацию Тастина. Период квантования Td = 4-106 с. Составим программу: MATLAB возвращает передаточную функцию корректирующего звена в дискретной форме: (10.7.1) Полученный результат может быть записан также в виде передаточной функции цифрового фильтра: Запишем разностное уравнение, воспользовавшись полученной передаточной функцией и полагая, что на выходе звена действует сигнал у, а на входе — и. Из (10.7.1) имеем: Отсюда, зная, что
176 Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии получим: В последнем выражении к, к+ 1 и А: + 2 используются для сокращения записи вместо соответственно Td,(k+ \)Tdn(k+2)Td. При использовании выражений: и G(z) как передаточной функции цифрового фильтра разностное уравнение получается в виде: y(k)-l6y(k-\)+0,6y(k-2)=l2,26u(k)-22,36u(k-l)+\0,l8u(k-2) Разностное уравнение, записанное в виде: y(k)=l6y(k-\)-0,6y(k-2)^\2,26u(k)-22,36u(k-l)+\0,\Su(k-2), решается цифровым контроллером, и тем самым осуществляется коррекция в дискретной системе. Еще один пример использования функции eld. Передаточная функция по управлению ИРН-1 (понижающего регулятора) с учетом последовательного сопротивления выходного конденсатора фильтра определяется следующим образом: (10.7.2) где R — сопротивление нагрузки; Rc — последовательное сопротивление конденсатора. Используем следующие исходные данные для определения дискретной передаточной функции регулятора: Uex = 5 В; L = 1 мкГн; С = 1800 мкФ; Rc — 4 мОм; R = 0,1 Ом; период частоты работы ШИМ (7^) 4 мкс. Запись программы MATLAB:
10.7. Дискретные системы 27' Последняя команда возвращает z-преобразование функции W{s), причем на входе дискретной передаточной функции действует по умолчанию фиксатор нулевого уровня (ZOH). Результаты работы программы: (10.7.3) (10.7.4) Sampling time: 4*—6 Если в предыдущую программу добавить команду: step (\Vs, '—', Wz, '-*), на мониторе появляются две временные характеристики (рис. 10.19), позволяющие сравнить реакцию непрерывного и дискретного звеньев на единичный скачок. Функция die Функция die преобразует дискретные LTI модели в непрерывные. Синтаксис функции: В первом случае определяется непрерывная модель, эквивалентная дискретной модели с ZOH. Во втором — преобразуется дискретная модель в непрерывную при использовании одного из методов: zoh, Tustin, prewarp или matched. **• 10Л9' сРавнение временных характера тж t j стик непрерывного и дискретного звеньев, Использование «matched» допустимо Td _ 4 мкс только для односвязных систем (SISO). Пример. С помощью die преобразовать дискретную передаточную функцию, полученную в предыдущем примере и имеющую на входе ZOH, в передаточную функцию непрерывного звена. Для этого запишем команды: В результате работы программы получается передаточная функция которая, в другой форме записи, повторяет исходную передаточную функцию непрерывного звена в предыдущем примере.
|jfi78 Глава 10. Некоторые возможности комплекса MA TLAB для анализа и ^^^ проектирования систем управления преобразователями электрической энергии Функция die имеет несколько ограничений, в частности, она не работает с системами, имеющими полюсы в точке z = 0. 10.7.2. Частотные характеристики дискретных систем Функция bode позволяет получать частотные характеристики, выводимые на монитор, как непрерывной, так и дискретной систем, односвязной (SISO) или многосвязной (MIMO). MATLAB дает возможность использовать несколько команд с функцией bode, например: bode{sys) bode{sys, w) bode(sysl,'plotstyle\\- • • ,sysN,'plotstyleN') Команда bode(sys, w) определяет частотный диапазон, используемый при построении графика. Интересующий интервал частоты устанавливается заданием w= fyvmin, wmax}, либо отдельной командой, либо двумя значениями частоты (рд/с) после указания модели sys. Пример. Построим частотные характеристики ИРН-1 по передаточным функциям (10.7.3) и (10.7.4). Составим программу: bode(Ws, %g—\ Wz, 'ex1) В результате работы программы на мониторе появляются ЛАХ и ФЧХ двух звеньев: пунктирные линии относятся к непрерывному звену, а отмеченные знаком «х» — к дискретному. Редактирование выбором правой кнопкой мыши опций Grid и Properties позволяет нанести сетку на график, изменить пределы по осям, изменить в случае необходимости размерности переменных. Частотные характеристики ИРН-1 с заданными параметрами показаны на рис. 10.20. Вертикальные линии на рисунке отмечают половину круговой частоты квантования.
10.7. Дискретные системы 27^ Рис. 10.21. Корневой годограф для пере- Рис. 10.20. Частотные характеристики ИРН-1 даточной функции ИРН-1 (10.7.4) и круг как непрерывного (' ') и дискретного (Y) зве- единичного радиуса; границы осей уста- ньев новлены по умолчанию 10.7.3. Метод корневого годографа для дискретных систем Характеристическое уравнение замкнутой дискретной системы записывается в виде: (10.7.5) где К— коэффициент передачи; Wp(z) — передаточная функция разомкнутой системы, заданная в виде отношения двух полиномов. Корневой годограф (root-locus) определяет геометрическое место точек, удовлетворяющих уравнению (10.7.5) для различных К и показывающих расположение полюсов замкнутой системы. Функция Hocus используется для одномерных как непрерывных, так и дискретных систем. По команде Hocus (sys) производится расчет и строится график годографа разомкнутой одномерной модели sys. Возможно построение корневых годографов сразу нескольких LIT моделей sysl, sys2,... на одном графике, если применяется команда Hocus(sysl, sys2,...). Построим корневой годограф для передаточной функции ИРН-1 в режиме непрерывного тока, определяемой выражением (10.7.4) при времени квантования Td = 4 мкс. Составим программу: numz= [0.09901 -0.05191]; denZ= [l -1.952 0.9613]; WTptfinumz, denz, 4e-6) rlocus(}Vz). Корневой годограф, построенный в результате работы этой программы, показан на рис. 10.21. Полюсы разомкнутой системы находятся из уравнения:
Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии г2-1,95*+ 0,9613 = О и равны: zx = 0,976- уО,0934; z2 = 0,976 + у0,0934. Рис. 10.22. Корневой годограф для передаточной функции ИРН-1 (10.7.4) и круг единичного радиуса; границы осей установлены командой axes ([—1,5 1—1 1]) Root Locus Эти значения отмечены на рисунке, где кружком отмечен также ноль передаточной функции Wz. Одна ветвь годографа начинается от корня z, и после пересечения со второй ветвью уходит в бесконечность вдоль отрицательной действительной оси. Вторая — начинается от корня z2 и заканчивается в нуле функции Wz. Поведение ветвей подчиняются общим правилам метода корневого годографа. На рис. 10.21 показан также круг единичного радиуса и можно видеть, что начиная с некоторого значения коэффициента передачи А'годограф выйдет за пределы круга. Следовательно, модуль одного из корней замкнутой системы становится больше единицы, и дискретная система теряет устойчивость. Изменение масштаба графика удобно производить с помощью команды axes, вводя в нее требуемые параметры. Например, команда ахе^ 1.5 1 -1 l])> располагаемая в /и-файле после функции rlocus, задает границы действительной оси — 1,5; 1, а границы мнимой: -1; 1. В результате команда rlocus( Wz) возвращает график корневого годографа с изображением единичного круга, как показано на рис. 10.22. Если после вывода годографа на экран, выбрать опцию Grid (сетка), можно наблюдать годограф, круг единичного радиуса и линии с отметками коэффициента затухания (?) и собственной частоты (рис. 10.23). Место пересечения годографа с линией сетки покажет эти параметры и значение полюса замкнутой системы при нажатии левой кнопки. Например, задав коэффициент ? равным 0,5, получим в месте пересечения линии сетки и годографа усиление (значение К) 10,9, значение полюса 0,434 +у0,451 и другие параметры. Более точно значение Ки полюсов можно получить, используя в данном случае команду, следующую за функцией rlocus: Рис. 10.23. Корневой годограф, круг единичного радиуса и сетка [К, poles] =zlocfind(}Vz) или
10.7. Дискретные системы 281 [К, poles ]= zlocfind(numz, denz), где poles — значения полюсов, определяемые с помощью rlocfind. На экране вместе с годографом появляется перекрестие (cross-hair), и после указания на требуемую точку в командном окне появляются значения Ки всех полюсов. Например, указав перекрестием на точку действительной оси, где ветвь годографа пересекается с окружностью единичного радиуса, получим: К= 25,9786 POLES= -1,0053 385Д938е-3 Следовательно, предельный коэффициент передачи, при котором замкнутая система теряет устойчивость, равен « 26 (28,3 дБ). Для проверки построим частотные характеристики разомкнутой системы с передаточной функцией Wz и коэффициентом передачи К= 26. Для этого изменим предыдущую программу, записав ее в виде: numz= [0.09901 -0.05191];denz=\l -1.952 0.9613]; К=26 . Wz = tf(26*numz, denz, 4e-6) %rlocus(Wz) bode(Wz) В результате получаем частотные характеристики, показанные на рис. 10.24. Можно видеть, что замкнутая система действительно находится на границе устойчивости, поскольку на половине частоты квантования (отмеченной вертикальными линиями) усиление разомкнутого контура равно единице (0 дБ), а угол равен — 180°. Мы видим, что линейная дискретная система второго порядка теряет устойчи- __ . Л - . _ , , Рис. 10.24. Логарифмические частотные харак- вость при определенном коэффициен- теристаки разомкнугой системы с передаточной те передачи. Полученный результат фунКцИей (10.7.4) и коэффициентом передачи появился в результате действия ZOH в к= 26; замкнутая система на границе устойчи- системе. вости Более того, и система первого порядка с фиксатором нулевого уровня точно также будет терять устойчивость при возрастании коэффициента передачи разомкнутого контура.
Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии 10.8. Замкнутый обратной связью преобразователь В данном разделе приводится пример проектирования замкнутой системы — преобразователя, силовая часть которого выполнена на основе понижающего регулятора (ИРН-1). Предполагаем работу ИРН-1 в режиме НТ, следовательно, мы можем использовать модели этого устройства, рассмотренные в гл. 9. При использовании ИРН-1 обычно достаточно использовать обратную связь только по выходному напряжению. 10.8.1. Моделирование ИРН-1 на основе передаточной функции Мы предполагаем, что расчет силовой части выполнен в соответствии с техническим заданием. Основные требования к преобразователю следующие: Uex = 10—18 В (напряжение DC); Ueblx = 5 В±0,5% (при воздействиях входного напряжения и тока нагрузки); 1Н = 20 А (максимальное значение);/= 200 кГц (частота переключения). Преобразователь работает в режиме ШИМ. Сначала рассматриваем динамическую систему как непрерывную, а в качестве основного элемента широтно-импульсного модулятора — компаратор. Коэффициент передачи ШИМ определяем без учета пульсаций, этот коэффициент в таком случае записывается в виде: КШМ{КР1?МУ^9 (10.8.1) т где Um — амплитуда преобразованного напряжения, подаваемого на один из входов компаратора. Выберем напряжение, сравнимое с опорным, равным 2,5 В, учитывая, что при реализации дискретного варианта управления это напряжение не должно, как правило, превышать 3 В — предельного для АЦП. В таком случае коэффициент делителя, приводящего 1/вых к опорному напряжению, равен: (10.8.2) Расчет силовой части привел к следующим результатам: ? = ЗмкГ; С=2000мкФ. При использовании электролитического конденсатора на выходе необходимо учитывать его эквивалентное последовательное сопротивление (ESR). Принимаем это сопротивление равным 10 мОм. Составим m-file, в который занесем основные данные, относящиеся к работе и параметрам ИРН-1. clc Ь=3е-6 ; Rl=le-3 ; С=2000е-6 ; Дс=10е-3 ; Я=2 • Vout=5 ; Vin=l8 ; D=^- ;KPWM=l ; Kd = 0.5. Vin
10.8. Замкнутый обратной связью преобразователь Рис. 10.25. Модель ИРН-1 на основе передаточной функции по управлению В программу не внесена частота/, поскольку она не требуется при моделировании системы как непрерывной; сопротивление нагрузки принято пока равным 2 Ома, что соответствует току нагрузки 2,5 А. Сопротивление RI отражает активное сопротивление дросселя и дорожек платы. На рис. 10.25 показана модель ИРН-1 на основе передаточной функции по управлению (коэффициенту заполнения). Там же показаны блоки, моделирующие КШИМи Кд. Сигнал StVin, поступающий через блок Д дает возможность подать скачок входного напряжения. D — коэффициент заполнения импульсов ИРН-1 в заданном режиме, определен в m-файле. Модель может быть дополнена элементами, которые показывают поведение ИРН-1 в динамике при изменении сопротивления нагрузки. Для моделирования передаточной функции по управлению используется блок Vin, в который вносится входное напряжение в заданном режиме. 10.8.2. Модель ИРН-1 на основе электрической схемы Непрерывная линейная модель ИРН-1 в виде эквивалентной электрической схемы была показана в гл. 9. Эта модель, реализованная в MATLAB, показана на рис. 10.26. Рис. 10.26. Модель ИРН-1 на основе эквивалентной электрической схемы
184 Глава 10. Некоторые возможности комплекса MA TLAB для анализа и проектирования систем управления преобразователями электрической энергии Схема использует элементы библиотек SimPowerSystems и Simulink. Результат работы перемножителя DelVinxD — произведение коэффициента заполнения D на изменение входного напряжения (например, на его скачок, задаваемый в блоке Step_Vin). Сумматор Sum добавляет к сигналу перемножителя DelVinxD еще один сигнал — произведение входного напряжения на коэффициент заполнения в заданном режиме. Управляемый источник напряжения CVSI воспроизводит на своих силовых выводах («+» и «—») напряжение, поступающее от сумматора Sum на его управляющий вход s. Источник CVS1 моделирует произведение сигналов Vin и d, поступающее от перемножителя с одноименным названием. В схеме использованы два измерителя — измеритель тока нагрузки (СМ) и измеритель выходного напряжения (ИМ), позволяющие связать между собой модели SimPowerSystems и Simulink. В подпрограмме Switch (Ключ) находятся необходимые элементы для коммутации дополнительной нагрузки (Radd), позволяющей увидеть реакцию преобразователя на скачки тока нагрузки. Источник управляющего сигнала SB\позволяет подключать или отключать сопротивление Radd в требуемые моменты времени. Управляющий вход (d) и выходное напряжение передаются с помощью портов d и Оий. 10.8.3. Разомкнутая система управления На рис. 10.27 показан блок ВискССМ со входом, обозначенным dn выходом — Оий. Внутри блока находится НЛМ понижающего регулятора в виде электри- Рис. 10.27. Модель ИРН-1, используемая для получения ча- ческой схемы, которая стотных характеристик была рассмотрена в предыдущем параграфе. Модель ИРН-1 дополнена ШИМ с коэффициентом передачи 1 и делителем с коэффициентом передачи 0,5. Модель на рис. 10.27 используется для получения частотных характеристик (bode) разомкнутой системы и получения передаточной функции корректирующего звена. Воспользуемся интерфейсом SISO Design Tool, в значительной степени упрощающим проектирование корректирующих звеньев односвязных (SISO — single input — single output) линейных систем. SISO Design Tool позволяет, используя классические методы — корневой годограф и частотные характеристики, отредактировать на мониторе параметры звена коррекция. Здесь мы будем использовать для этих целей только частотные характеристики. Рассмотрим последовательность действий при работе с SISO Design Tool, уделяя внимание наиболее важным с нашей точки зрения вопросам проектирования динамических свойств системы. Располагая моделью системы, показанной на рис. 10.27, выберем на панели меню Tools (инструментальны средства), затем Control Design и опцию Linear Analysis.
10.8. Замкнутый обратной связью преобразователь В открывающемся окне Control and Estimation Tools Manager выбираем необходимую нам диаграмму: bode response plot, после чего запускаем в левом нижнем углу окна Linearize Model. В результате открывается окно LTI Viewer: Linearization Quick Plot с частотными характеристиками модели (рис. 10.28). Далее, при использовании опции Export меню File открывается диалоговое окно (рис. 10.29). Выбрав модель левой кнопкой (в нашем примере только одна модель), экспортируем ее либо в рабочую область (Workspace), либо на диск. Допустим, экспорт произведен в Workspace. После этого закрываются окна LTI Viewer: Linearization Quick Plot и Control and Estimation Tools Manager. Можно свернуть окно модели, показанной на рис. 10.27, а в командном окне записать команду sisotool. Эта команда может быть также исполнена двойным нажатием на ней в окне Command History (если эта команда вызывалась хотя бы один раз). В результате открывается окно SISO Design Tool, в котором предлагается продолжить работу как с корневым годографом (Root Locus), так и с частотными характеристиками разомкнутого контура (Open-Loop Bode Editor). Выбрав Bode Editor, необходимо убрать опцию Root Locus из меню View, в результате остается возможность работы (редактирования) только с частотными характеристиками. Далее используется опция Import меню File, в результате открывается окно Import System Data (рис. 10.30). В окне можно видеть, что импорт производится из Workspace, а структура замкнутой системы показана в области поля System Data. Структура по умолчанию задается с отрицательной обратной связью. Рис. 10.28. Частотные характеристики модели ИРН-1 (рис. 10.27) в окне LTI Viewer: Linearization Quick Plot Рис. 10.29. Окно LTI Viewer Export для экспорта модели (рис. 10.27), выбирается Export to Workspace Рис. 10.30. Окно Import System Data для импорта SISO модели sys (рис. 10.27) в Siso Design Tool
186 Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии Устройство коррекции обозначено на структуре как Compensator (С). Звенья PWM и Kd на рис. 10.27 вместе с ИРН-1 (BuckCCM) входят в блок, показанный на рисунке структуры как G (Plant - объект). Выделив стрелку, находящуюся слева от равенства G = 1, получим в окне Import System Data запись: G = sys. Нажав клавишу OK, получим в окне SISO Design Tool частотные характеристики разомкнутой системы (рис. 10.27), которые после нанесения сетки (опция Grid) и разворачивания окна выглядят, как показано на рис. 10.31. На рисунке отмечены нули и полюсы исходной передаточной функции, рассчитанные в MATLAB по эквивалентной электрической схеме. Например, ноль на частоте 8 • 103 Гц, показанный знаком «о», определяется эквивалентным последовательным сопротивлением конденсатора R0 равным в нашем примере 10 мОм, и емкостью этого конденсатора, равной 2000 мкФ (со0 = 1/СТ^) . В левом верхнем углу находится поле для введения параметров звена коррекции (Current Compensator). Сейчас в поле размещена единица (C(s) = 1), что означает фактически отсутствие корректирующего звена. Из характеристик на рис. 10.31 можно видеть, во-первых, малое значение коэффициента передачи на низких частотах (около 20 дБ), что при замыкании системы повлечет за собой большую статическую ошибку и, во-вторых, довольно низкую частоту среза (около 7200 Гц), что определит большую длительность переходных процессов. Введем корректирующее звено, содержащее интегратор, и форсирующую цепь. Общий вид передаточной функции этого звена равен: (10.8.3) где Кк - коэффициент передачи звена коррекции; Тн —постоянная времени форсирующей цепи (— 1/ Тн — ноль передаточной функции WK(s)). Siso Design Tool предлагает разные способы введения последовательного звена коррекции в разомкнутый контур. Здесь мы используем следующие шаги, видоизменяя частотные характеристики на рис. 10.31. 1. Введем интегратор, выбрав правой кнопкой опцию Add Pole/Zero, а затем в открывшемся меню — Integrator. Рис. 10.31. Частотные характеристики системы (рис. 10.27) без введения звена коррекции (C(s) = 1) Рис. 10.32. Частотные характеристики скорректированной системы, звено коррекции с передаточной функцией (10.8.3) C(s) = 1.6е+004 х (1 + 0.00022s) / s
10.8. Замкнутый обратной связью преобразователь 28 Рис. 10.33. Модель замкнутой системы стабилизатора с коррекцией, определенной с помощью Siso Design Tool, силовая часть в виде эквивалентной электрической схемы 2. Введем действительный (не комплексный) ноль, расположив его левее резонансного пика ЛАХ. Это можно сделать одним из способов, например, щелкнув на значке «о» (Add Real Zero) на панели инструментов и устанавливая появившуюся стрелку в нужном месте характеристики. 3. Увеличим коэффициент передачи, установив курсор в любом месте ЛАХ и перетаскивая всю характе- ристику вверх. Необходимо при Рис-10.34. Запуск стабилизатора с коррекцией, определенной с помощью Siso Design Tool, в этом следить за получающейся , s,jlw4*> о« nv^ijr-acuv/iMwiv/i момент времени \ мс скачок входного напря- частотой среза, в нашем примере жения 5 в ее не следует поднимать выше 20 кГц. Результат редактирования исходной характеристики показан на рис. 10.32. Можно видеть наклон ЛАХ — 20 дБ/дек в низкочастотной области; запас по фазе составляет 66,1°, частота среза - 18,1 • 104 Гц. В поле, фиксирующем параметры звена коррекции, появилась запись: Введем звено коррекции в систему, показанную на рис. 10.27, и замкнем ее обратной связью. Подключив в схему источник опорного напряжения 2,5 В (Ref), получим модель замкнутой системы, которая показана на рис. 10.33. На рисунке блок Smooth ref с передаточной функцией апериодического звена необходим для плавного запуска модели стабилизатора. Постоянную времени апериодического звена несложно установить при моделировании замкнутой системы. На рис. 10.34 показана осциллограмма запуска стабилизатора, полученная с помощью блока Scope.
|088 Глава 10. Некоторые возможности комплекса MATLAB для анализа и ^^"у проектирования систем управления преобразователями электрической энергии Рис. 10.35. Результат скачка входного напряжения (5 В) на выходе стабилизатора На этой же осциллограмме в момент времени 1 мс можно видеть подъем выходного напряжения Vin с 18 до 23 В. Скачок напряжения и его время появления заданы в блоке Step_vin (рис. 10.26, эквивалентная электрическая схема). В другом масштабе результат этого же скачка показан на рис. 10.35. Выброс напряжения на выходе менее 50 мВ, что составляет менее 1% от номинального значения. Модель силовой части стабилизатора (ИРН-1) может быть представлена в виде передаточной функции, как показано на рис. 10.36. Модель может воспринимать как воздействия со стороны входного напряжения (скачки напряжения от блока StVin), так и со стороны тока нагрузки (скачки тока нагрузки StI). Скачки тока передаются через передаточную функцию sL, в знаменателе этой функции коэффициент при s является заведомо малым числом, не влияющим на переходный процесс при коротких временах. Результаты, полученные с помощью моделей, показанных на рис. 10.33 и 10.36, оказываются весьма близкими, что подтверждает возможность применения как модели на основе эквивалентной электрической схемы, так и на основе передаточной функции. В дальнейшем будем использовать только одну модель, показанную на рис. 10.33. Рис. 10.36. Модель замкнутой системы с коррекцией, силовая часть в виде передаточной функции
10.8. Замкнутый обратной связью преобразователь Реакция выходного напряжения на скачок нагрузки (к сопротивлению 2 Ом добавляется еще 0,25 Ом) показана на рис. 10.37. Длительность провала напряжения не превышает 0,3 мс при максимальном отклонении около 0,15 В. Переход к дискретной системе от непрерывной выполним, используя преобразование c2d передаточной функции звена коррекции WK(s)\ В результате работы программы в командном окне появляется сообщение: (10.8.4) Sampling time: 5e—6. Заменим в замкнутой системе (рис. 10.33) непрерывное звено коррекции дискретным с передаточной функцией (10.8.4). Далее заменим в схеме знак сумматора «—» на «+», разомкнем замкнутый контур в любом месте и добавим в полученную схему входной и выходной порты, как показано на рис. 10.27. В результате получим с помощью Siso Design Tool частотные характеристики разомкнутого контура дискретной системы (рис. 10.38). В поле Current Compensator имеем C(z) = 1, то есть ПФ, равную единице. Тем не менее, звено коррекции с ПФ (10.8.4) уже встроено в систему вместо непрерывного звена. Вертикальные линии на рисунке отмечают половину частоты квантования — 100 кГц. Сравнивая рис. 10.38 и рис. 10.32, где показаны частотные характеристики непрерывной системы, можно сделать вывод, что в низкочастотной области характеристики при переходе к дискретной системе не изменились. Изменения произошли вблизи частоты среза - среднечастотной области - и стали особенно большими в области высокочастотной. Если в непрерывной системе запас по фазе составил 66,1°, а запас по амплитуде был неорганичен, то в дискретной системе запас по фазе уменьшился до 50,2°, а по амплитуде стал равным 12 дБ. Следовательно, стабилизатор как замкнутая система будет находиться на границе устойчивости, если увеличить коэффициент передачи в 4 раза. В непрерывной системе этот коэффициент можно было увеличивать неограниченно. Рис. 10.37. Изменение выходного напряжения в замкнутой непрерывной системе при скачке тока нагрузки Рис. 10.38. Частотные характеристики разомкнутой дискретной системы с передаточной функцией корректирующего звена (10.8.4) (c(z)=l)
|fi90 Глава 10. Некоторые возможности комплекса MATLAB для анализа и —fy/ проектирования систем управления преобразователями электрической энергии Рис. 10.39. Изменение выходного напряжения в дискретной системе при скачке тока нагрузки На рис. 10.39 показано изменение выходного напряжения стабилизатора при скачке тока нагрузки в модели дискретной системы. Сопротивление нагрузки изменялось в тех же пределах, что и для непрерывной системы: к 2 Ом нагрузки добавляется еще 0,25 Ом. Сравнивая рис. 10.37 и 10.39, можно заметить некоторое ухудшение переходного процесса в дискретной системе: больший провал напряжения и большее перерегулирование. Из проведенного рассмотрения на примере разомкнутых и замкнутых систем с силовой частью на основе ИРН-1 можно сделать вывод, что при проектировании с помощью непрерывной модели, существуют определенные ограничения. Как мы увидели, появление ZOH в дискретном звене коррекции приводит и к уменьшению запаса по фазе, и к ограничению максимального коэффициента передачи. Еще сильнее проявляется ухудшение свойств системы при переходе к дискретной при учете особенностей работы и свойств аналого-цифрового преобразователя, если управление ведется с помощью ЦСП. 10.9. Моделирование ИРН-1 в режиме прерывистого тока Модель понижающего импульсного регулятора напряжения (ИРН-1), работающего в режиме прерывистого тока дросселя (ПТ) и построенная на основе непрерывной линейной модели для этого режима (гл. 9), показана на рис. 10.40. Модель выполнена с использованием библиотеки элементов SimPowerSystems. Поскольку задан оежим работы ПТ. потребовались данные: где Z), — коэффициент заполнения (отношение времени включенного ключа к периоду). По этим данным определяется коэффициент передачи по напряжению на постоянном токе: L D, где т = — и ?>х = —L — суммарный коэффициент заполнения, определяемый как: Z)z = D{ + D2, D2 — относительная длительность включенного состояния диода. После определения М становится известным выходное напряжение (1/вых = = UexM). Основные элементы на схеме рис. 10.40 - управляемые источники напряжения (УИН) и тока (УИТ). В левой части схемы используются только УИН, а в правой только УИТ. УИН, названный CVS, обеспечивает напряжение в последовательной цепи Д U^ (на схеме входное напряжение обозначено как Viri). Этот источник задает режим регулятора. УИН CVS1 получает управляющее напряжение d0( 1ГЪХ — 1/ъых) (обозначение
10.9. Моделирование ИРН-1 в режиме прерывистого тока в блоке Const Vin — V0J. Первый сомножитель (d) — малосигнальное изменение коэффициента заполнения, умножение сигналов происходит в блоке Рг. УИН CVS2 обеспечивает напряжение, полученное в результате перемножения постоянного значения коэффициента заполнения Dx и малосигнального изменения выходного напряжения (uout). УИН CVS3 вводит в схему напряжение, получаемое в результате перемножения малосигнального изменения входного напряжения (uiri) и коэффициента />! (на схеме обозначение D\). Для передачи тока во вторичную цепь, где используются управляемые источники тока, применены измеритель тока СМ и блок GotoX (обозначенный как [/X]). Сопротивление на схеме, обозначенное как Rprimary, имеет значение 2т/^согласно НЛМ регулятора для данного режима. УИТ CCS обеспечивает ток во вторичной цепи, получаемый в результате перемножения коэффициента Dz (обозначенного как DSUM) и тока первичной цепи, передаваемого через блок From и обозначенного как [/X]. Второй УИТ (CCS1) создает ток как результат умножения: dU аз На схеме блок Const4 выполняет требуемую операцию: Vin R DSUM УИТ CCS2 перемножает и суммирует несколько токовых сигналов в соответствии с НЛМ регулятора. Первое слагаемое в блоке «Сумматор» — результат перемножения входного напряжения (Vin) и проводимости DX R DSUM , определенной в блоке Const6. Рис. 10.40. Модель ИРН -1 в режиме прерывистого тока
t92 Глава 10. Некоторые возможности комплекса МЛ TLAB для анализа и %Р проектирования систем управления преобразователями электрической энергии В сумматоре полученный таким образом ток добавляется к току, передаваемому через блоки [uinFuncl]- B свою очередь, ток ^uinFunc\\ получается как про D\ изведение сигнала uin на проводимость . К выходному конденсатору С к - DSUM добавлено, как это видно из схемы рис. 10.40, сопротивление, отражающее эквивалентное последовательное сопротивление электрического конденсатора. Сопротивление R — нагрузка в заданном режиме, a R\ — дополнительное сопротивление, требуемое для работы модели, которое задается таким же, как R. В модель включено также дополнительное сопротивление (Radd), которое может подключаться или отключаться с помощью подсистемы Sbstm и источника сигнала (блок SBI). Вводя в модель различные сигналы: uin, dwin изменяя сопротивление нагрузки с помощью сопротивления Radd, можно оценивать изменения выходного напряжения (uout) и тока первичной цели (/X). Передача выходного напряжения в первичную цепь выполняется с помощью измерителя напряжения (VM) и блоков Goto и Fromlc названием [uout]. В модель были введены следующие исходные данные: Uex(Vin)=lS0 В; Д=0,0986 ; Л=400 Ом; 1=0,563 мГн;/ = 5000 Гц. Ниже приведена программа, записанная в виде /и-файла: L= 0.563^-3 ; Cdc = 6.8e - 3 ; % емкость конденсатора С Rcdc=29e-3 ; % последовательное активное сопротивление конденсатора С Я400 ; /=5000 ; Z)l=0,0986 ;
10.9. Моделирование ИРН-1 в режиме прерывистого тока 293]| КВискиЛ А KBuckdl=— А ТауВиск=— Radd=33 В программе обозначено как Рагат — сопротивление в первичной цепи. Коэффициенты Л, В, Е, КВиски, KBuckdl, TayBuck относятся к передаточным функциям ИРН-1 в режиме ПТ. Выражения передаточных функций по входному напряжению и сигналу (d) получены в гл. 9 и здесь приводятся в обозначениях программы: Расчеты, выполненные программой, показали следующие результаты: D КВиски = — = 0,555 (этот коэффициент равен коэффициенту передачи А/); А KBuckdl = — = 624; ТауВиск=- = 0,Ш. А А На рис. 10.41 показан запуск регулятора и скачок входного напряжения на 5 В. Выходное напряжение (до скачка), как видно из осциллограммы, составляет около 100 В, что подтверждается расчетом в программе. Скачок входного напряжения (5 В в момент времени 10 с) показан в другом масштабе на рис. 10.42. Из осциллограммы можно видеть, что постоянная времени ИРН-1 в режиме ПТ составляет около 0,84 с (расчетное значение ТауВиск = 0,838 с), а уровень скачка напряжения на выходе — около 2,8 В. Расчет с помощью коэффициента КЬиски приводят к результату:
Глава 10. Некоторые возможности комплекса MATLAB для анализа и проектирования систем управления преобразователями электрической энергии Дивых = 5-0,555 = 2,78В. Результаты, полученные расчетом в m-файле и из осциллограммы, достаточно близки. На рис. 10.43 показан результат скачка малосигнального коэффициента заполнения (d) на 0,05. Скачок выходного напряжения по осциллограмме составил 31,2 В, а по расчету (используется значение Kbuckdl): Дивых = 0,05-634 = 3,12В. И в этом случае происходит совпадение расчета в т -файле и результата, полученного в модели. Рис. 10.41. Запуск ИРН-1 в режиме прерыви- Рис. 10.42. Изменение напряжения на выходе стого тока и результат скачка входного напря- ИРН-1 в результате скачка входного напряжения жения на 5 В Рис. 10.43. Изменение напряжения на выходе ?*с- М-44- Изменение напряжения на выходе ИРН-1 в результате скачка коэффициента за- ИРН-1 в результате изменения тока нагруз- полнения на 0,05 ™ На рис. 10.44 показан результат скачкообразного изменения нагрузки: к исходному сопротивлению нагрузки 400 Ом добавляется параллельно с помощью генератора сигналов SB1 еще 300 Ом. Увеличение нагрузки происходит в момент времени 10 с и ее сброс до 400 Ом — в момент 15 с. Из осциллограммы можно видеть инерционность регулятора и значительное изменение выходного напряжения при скачках нагрузки в режиме ПТ, что несвойственно ИРН-1 в режиме непрерывного тока.
ЧАСТЬ III. ТЕХНИЧЕСКИЕ СРЕДСТВА, ИСПОЛЬЗУЕМЫЕ В СИСТЕМАХ УПРАВЛЕНИЯ ПРЕОБРАЗОВАТЕЛЯМИ ГЛАВА 11 ПРИНЦИПЫ ПОСТРОЕНИЯ СИСТЕМ УПРАВЛЕНИЯ ПРЕОБРАЗОВАТЕЛЯМИ Управление преобразователями - широкое понятие, которое включает в себя самые разные функции, необходимые для того, чтобы транзисторный выпрямитель, инвертор, источник бесперебойного питания или какой-то другой преобразователь электроэнергии мог во всех заданных режимах выполнять требования технического задания (ТЗ). Силовая часть преобразователя доставляет потребителю электрическую мощность, преобразуя входное напряжение по роду тока, числу фаз, частоте, обеспечивая, если необходимо, несколько выходов при гальванической развязке. Для выполнения требования ТЗ по размерам устройства (его удельной мощности) силовая часть проектируется с достаточно высокой частотой работы силовых транзисторов и при этом она должна иметь еще и достаточно высокий КПД. Говоря очень упрощенно, можно сказать, что задача проектирования силовой части заканчивается, когда выбрана подходящая структура из нескольких альтернативных, обосновано принципиальное решение каждого узла — ККМ, DC-DC преобразователя, инвертора. Устройство при этом выполнит задачу доставки электроэнергии потребителю, но разработчику еще предстоит ответить на большое количество вопросов, которые связаны с ТЗ на преобразователь, но не затрагивают его силовую часть непосредственно. Система управления (СУ) преобразователем является его составной частью, выполняя большое количество функций, сложность и ассортимент которых непрерывно возрастают. В преобразователях всегда было невозможно обойтись без СУ, но пока эти системы выполнялись традиционно, сложность и набор функций, реализуемых с их помощью, были ограничены, а требуемые функции СУ от разработки к следующей разработке прогрессировали с весьма умеренной скоростью. В последние два-три десятилетия положение радикально изменилось. Рост числа выполняемых функций и их сложность, ранее недостижимая, происходят весьма заметно и основное объяснение этому простое: постоянно появляются микроконтроллеры и ЦСП с новыми возможностями, лучшими техническими решениями отдельных блоков и, что немаловажно, умеренными по ценам. Чем сложнее становится система управления для данного класса преобразователей сегодня, тем сложнее, изощреннее и более совершенной она будет завтра. В этой мысли (сентенции), по-существу, мало что нового и, тем не менее, об этом не стоит забывать.
296 Глава 11. Принципы построения систем управления преобразователями Например, сравнительно новым для отечественных разработок является требование обеспечения работы транзисторного выпрямителя от так называемой широкой (универсальной) сети с диапазоном напряжения одной фазы 85. ..265 В, причем в ряде случаев требование к верхнему пределу может возрастать вплоть до 300 В. Другим возможным требованием может быть снижение максимальной мощности выпрямителя при повышении температуры окружающей среды по сравнению с указанной в ТЗ. Система управления может содержать большое число различных блоков и занимать значительное место в конструкции преобразователя. Из сказанного следует, что к разработке и проектированию управляющей части преобразователя необходимо подходить с не меньшей тщательностью, чем к задачам построения силовой части. Перечислим основные функции, которые могут выполняться системой управления: • регулирование в заданных пределах выходного напряжения и/или тока нагрузки, • стабилизация с заданной точностью выходного напряжения, тока или мощности, • обеспечение требуемых параметров переходного процесса выходного напряжения и/или тока нагрузки, • обеспечение заданного коэффициента нелинейных искажений (для инверторов), • работа с определенным cosy и на нелинейную нагрузку (для инверторов), • формирование требуемой выходной характеристики, • обеспечение требуемой зависимости максимальной выходной мощности от уровня напряжения сети (обычно для транзисторных выпрямителей, • снижение пульсаций напряжения на выходе в заданных диапазонах частот, а также псофометрического шума до значений, установленных стандартами, • выравнивание выходных токов при параллельной работе, • формирование процесса запуска во времени с заданным нарастанием токов в ключах и накопительных компонентах при определенной очередности подключения ступеней силовой части, если их несколько, • реализация требуемого алгоритма переключения силовых транзисторов, • обеспечение быстродействующих защит, ограничивающих токи силовых транзисторов или выключающих преобразователь. Быстродействующей считается защита, реагирующая за время не более нескольких микросекунд, • обеспечение «медленных» защит (реакция которых составляет единицы или десятки миллисекунд), — по максимальному выходному напряжению преобразователя или напряжению на выходе промежуточной ступени; — по предельным значениям сетевого (входного) напряжения; — по максимальной температуре некоторых компонентов силовой части; — по току нагрузки. • выполнение заданного порядка выключения, • индикация параметров, • интерфейс с системой управления верхнего уровня, передача информации на удаленный диспетчерский Или командный пункт, • тестирование преобразователя или системы электропитания при использовании интерфейса.
Принципы построения систем управления преобразователями 29' Рис. 11.1. Упрощенная структурная схема преобразователя как системы автоматического управления Из приведенного перечня функций, которые может выполнять СУ, можно видеть, насколько они являются разнохарактерными. Для какого-либо конкретного преобразователя может потребоваться только незначительная их часть, а для другого — все функции или, может быть, дополнительные, не названные выше. Тем не менее, несколько функций СУ являются обязательными для любого преобразователя независимо от вида входной и выходной электроэнергии и от преобразуемой мощности. Эти функции относятся к той части СУ, которая работает как система автоматического управления (САУ) — поддерживает управляемую переменную на заданном уровне и изменяя ее значение в соответствии с новым задающим воздействием. Работа преобразователя, как САУ, основана на теории автоматического управления (ТАУ) — научно-технической дисциплине, методы которой разрабатывались и совершенствовались на протяжении более чем двух столетий и продолжают интенсивно развиваться. На рис. 11.1 показана упрощенная структурная схема преобразователя, как САУ, реализующая принцип управления по замкнутому циклу. Этот принцип, называемый также принципом управления по отклонению, принципом обратной связи (ОС), является наиболее широко применяемым. На рис. 11.1 приняты следующие обозначения: ЗВ — формирователь задающего (опорного) воздействия; Р — регулятор, включающий в себя корректирующие звенья, усилители, ШИМ; ВК— выходной каскад преобразователя — объект регулирования, включающий в свой состав и нагрузку; ДС—датчик сигнала (с масштабирующим усилителем, выполняемый, как правило, по дифференциальной схеме); х0(/) — задающее воздействие (опорный сигнал); x(t) — управляемая переменная САУ; е(/) — сигнал ошибки. Помимо принципа управления по замкнутому циклу в преобразователях может использоваться управление по разомкнутому циклу — управление по возмущению. Примером может служить, например, компенсация падения напряжения на активных сопротивлениях статора асинхронного двигателя и выходного LC- фильтра при ^///регулировании скорости привода [37]. В некоторых случаях эффективно использование комбинированного управления как по возмущению, так и по отклонению. И все-таки следует сказать, что принцип управления по замкнутому циклу является доминирующим, главенствующим. Надежды, возлагавшиеся на широкое применение принципа управления по разомкнутому циклу в преобразователях и, в частности, в источниках вторичного электропитания для РЭА [33], не оправдались. Одна из главных причин этого — сложность технического решения компен-
198 Глава 11. Принципы построения систем управления преобразователями сации всех возможных возмущений в САУ различных преобразователей. Использование же принципа управления по замкнутому циклу автоматически учитывает любое возмущение в системе, а его реализация легко достигается как при традиционном построении СУ, так и при использовании в ней микропроцессорных средств. Системы управления преобразователями можно разделить на следующие виды: — аналоговое управление; — смешанное управление; — цифровое управление. Рассмотрим более подробно эти виды управления. 11.1. Аналоговое управление Несколько поколений инженеров-разработчиков, работающих над транзисторными преобразователями электроэнергии, опирались на традиционные компоненты, называемые еще радиоэлементами, при создании управляющей части устройства. Резисторы, конденсаторы, импульсные трансформаторы, дискретные транзисторы, стабилитроны, а позднее — ОУ, компараторы, аналоговые контроллеры, сложные микросхемы источников опорного напряжения. Этот набор существует и в настоящее время, однако микроэлектроника не стоит на месте, выпускаются все новые ШИМ (ЧИМ)-контроллеры, новые источники опорного напряжения, более совершенные ОУ и пассивные компоненты. Аналоговая техника в управляющей части преобразователя остается пока незыблемой для устройств с мощностью на выходе от долей до десятков ватт. Действительно, источник питания DC-DC с выходной мощностью 15 Вт и размерами 50,8 х 25,4 х 10,2 мм выполняет ровно столько управляющих функций, сколько ему и предписано. Да, точность установки выходного напряжения невелика — ±2%, но больше и не требуется, а попытка улучшить данный параметр обернется возрастанием стоимости источника. Все функции защиты — по входу или по выходу, по напряжениям или по токам — выполняются, причем во всех режимах работы и при любых внешних воздействиях. Почему не применить, допустим, микроконтроллер? Во-первых, сумеет ли он заменить существующие аналоговые средства? А если заменит, как этот микроконтроллер разместить на заданной площади в требуемом объеме? Что будет с ценой источника питания? К тому же, совершенствование аналоговой техники приводит порой к очень простым и эффективным решениям, которые затем тиражируются в самых различных преобразователях. Рассмотрим, например, схему, показанную на рис. 11.2 и относящуюся ко вторичной стороне преобразователя. На ней показан узел, выполненный на двух операционных усилителях (ОУ 1 и ОУ2) и предназначенный для передачи аналоговых сигналов по выходному напряжению преобразователя и току выходного дросселя. С помощью данной схемы можно стабилизировать на определенном уровне выходное напряжение, выходной ток или мощность на выходе преобразователя. Требуемые выходные напряжения или ток задаются соответствующими опорными сигналами. Диоды D\ и D2 развязывающие, они препятствуют работе того канала, напряжение на выходе которого становится больше другого. Предположим, например, что работа преобразователя происходит на участке стабилизации выходного напряжения и выходной ток в пересчете ко входу усилителя
11.1. Аналоговое управление 29$Г 0У1 не достиг значения, задаваемого опорным сигналом по току. В результате на выходе усилителя ОУ1 будет высокое напряжение (большее, чем на выходе ОУ\) и диод Ш оказывается запертым. Поэтому на выходе схемы формируется сигнал, поступающий в ШИМ- компаратор или к оптоэлектронной развязке, определяющий коэффициент заполнения (D) импульсов, необходимый для стабилизации выходного напряжения. Теперь предположим, что ток на выходе достаточно большой и его пересчитанное с помощью дифференциального усилителя значение достигло значения опорного сигнала. В этой ситуации повысится напряжение на выходе ОУ\ и преобразователь перейдет в режим стабилизации тока (выходной мощности). Следует обратить внимание на то, как подключены цепи обратной связи усилителей ошибки. Резистивные цепи (Rl, R2) подключаются непосредственно к выходам ОУ1 и ОУ2, а цепи Z\ ОС, 22ОС, содержащие конденсаторы, — к анодам диодов. Это должно быть выполнено для перехода от режима стабилизации напряжения к режиму стабилизации тока (и обратно) без возникновения генерации, обусловленной сменой передаточных функций контуров обратной связи. Другой пример построения аналогового узла в СУ показан на рис. 11.3. Схема предназначена для определения порогов входного напряжения, поступающего в преобразователь и формирования сигнала в ШИМ-контроллер системы управления. Схема реагирует как на понижение, так и на повышение входного напряжения. Основу схемы составляют два компаратора (И41.1, DA1.2), входящие в состав одной микросхемы. Выходы компараторов с открытым коллектором. Входное напряжение постоянного тока или выпрямленное с помощью диодов, не показанных на схеме, поступает на клемму + U^. Опорное напряжение Uon через делитель Rl, R2 и фильтрующий конденсатор С2 поступает на прямой вход DAI. 1 и инверсный DA1.2. Через делитель R3, RA часть входного напряжения поступает на инверсный и прямой входы DAI.I и DA1.2 соответственно. Схема рассчитывается таким образом, что при входном напряжении, находящемся между значениями Uexmin и Uexmax, выходы компараторов находятся под высоким напряжением, через диоды Рис. 11.2. Схема подключения усилителей ошибки (усилителей рассогласования) на вторичной стороне преобразователя
00 Глава 11. Принципы построения систем управления преобразователями Рис. 11.3. Схема определения предельных значений входного напряжения и передачи сигналов на вторичную сторону преобразователя оптопар DA3, DA4 проходят малые токи, и, как следствие, коллекторы транзисторов оптопар оказываются под высоким напряжением другого источника, гальванически не связанного с входным напряжением. Минусовой зажим этого источника соединен с соответствующей общей шиной, к которой подключены эмиттеры транзисторов DA3 и DA4. При повышении напряжения [/^ повышается потенциал на инверторном входе ВА\Ли прямом входе DA1.2. При равенстве напряжений на входах 2X41.1 выход этого компаратора переходит в низкое состояние, проходит ток через диод окто- пары ВАЗ, и на коллекторе транзистора этой оптопары напряжение снижается до значения, близкого к нулю. Низкий уровень коллекторного напряжения является сигналом о предельном повышении входного напряжения. Одновременно с появлением сигнала о достижении Ugxmax напряжение на прямом входе DAIA снижается вследствие прохождения тока через резистор R5 и диод D\. В результате в работе схемы появляется гистерезис и при небольшом снижении входного напряжения от значения UexMax выход компаратора DAI.I останется на низком уровне. При отсутствии гистерезиса в работе схемы происходила бы генерация при медленном снижении входного напряжения от значения Ue3Lmai. Заметим, что описанное переключение компаратора DAIA, понижение напряжения на прямом входе DAI. 1 и, следовательно, на инверсном входе DAM никак не повлияло на состояние второго компаратора (DA1.2). Действительно, то, что напряжение U„_ (DAI.2) понизилось, означает только возрастание разности потенциалов между входами в прежней полярности. Аналогичным образом происходит работа схемы при снижении Um до значения t4r.m«!» только теперь происходит переключение компаратора DA1.2 и снижение напряжения до значения, близкого к нулю, на коллекторе транзистора оптрона DA4. Гистерезис в данном случае обеспечивается цепью R6, D2. Схема, показанная на рис. 11.3, несложно рассчитывается (для этого следует воспользоваться теоремой об эквивалентном генераторе и задаться некоторыми сопротивлениями резисторов) и легко моделируется.
11.2. Смешанная система управления 301 При усложнении требований аналоговая система управления преобразователем становится громоздкой, многоэлементной, не всегда помехозащищенной и занимающей много места на плате. Некоторые задачи, как например, обеспечение интерфейса, решаются достаточно сложно. Развитие современных микроконтроллеров и процессоров, расширение их возможностей при постоянном снижении стоимости привело к необходимости построения систем, использующих частично или полностью цифровые методы управления. Цифровое управление может быть выполнено на основе двух принципов: — «медленные» задачи управления решаются цифровыми средствами, а быстрые, требующие реализации ШИМ и построения некоторых защит, — аналоговыми (смешанная система управления — ССУ); — управление всеми функциями преобразователя с помощью цифрового сигнального процессора (полностью цифровая система управления — ПЦСУ). Большинство функций, выполняемых контроллером, преследуют цель достижения детерминированного (заранее предопределенного) поведения одного или нескольких преобразователей, взаимосвязанных при работе на общую нагрузку. Приемлемый уровень цифровой интеграции, другими словами, выбор принципа управления зависит от требований к изделию. 11.2. Смешанная система управления В данном случае аналоговыми средствами (с помощью ШИМ-контроллеров, ОУ, компараторов, источников опорных напряжений) решаются задачи управления напряжением, током или мощностью преобразователя, стабилизации этих параметров, выравнивания выходных токов при параллельной работе, выполнения защитных функций, которые требуют быстрой реакции на опасные для электронных приборов силовой части воздействия. Все остальные задачи, связанные с обработкой сигналов включения- выключения, работой «медленных» устройств защиты, вычислением и заданием опорных сигналов, формирования требуемого вида выходной характеристики, возлагаются на микроконтроллер. Техническими средствами реализации смешанной системы управления (ССУ) могут быть любые 8- или 16-разрядные микроконтроллеры, такие как серии AVR фирмы ATMEL, серии MSP фирмы TI и др. Эти устройства имеют сокращенный набор команд (RISC-микроконтроллеры), Rash-память и широкий набор периферийных устройств, использующихся при создании преобразователей (многоканальные АЦП, таймеры, ШИМ-контроллеры, различные интерфейсы — UART, CAN, 5Р1идр.). Микроконтроллеры ATMEGA (ATMEL) по своим параметрам хорошо подходят для работы в ССУ преобразователями [58]. Они являются 8-разрядными, имеют тактовую частоту 16 МГц, содержат 10-разрядный мультиплексированный АЦП последовательного приближения, 8- и 16-разрядные таймеры. С другой стороны, микроконтроллеры Texas Instruments (TI) отличает 16-разрядная структура с тактовой частотой до 8 МГц и более развитыми возможностями АЦП, как, например, 12-разрядный многоканальный АЦП последовательного приближения или 16-разрядный сигма-дельта АЦП, отличающийся возможностью измерения ана-
02 Глава 11. Принципы построения систем управления преобразователями логовых сигналов с большим разрешением при незначительном снижении быстродействия. Микроконтроллеры фирм ATMEL и TI обеспечивают аппаратное перемножение, что позволяет решать некоторые задачи целочисленной арифметики. Важным достоинством микроконтроллеров TI является их микромощное потребление, составляющее 250 mkA/MIPS. Измеренные выходные напряжения и токи выпрямителей, работающих в системе бесперебойного питания, передаются в систему управления верхнего уровня с помощью любого протокола, например RS-485. Для этого используется интерфейс UART микропроцессора каждого выпрямителя и внешние драйверы RS-485. Для управления системой верхнего уровня может быть использован микропроцессорный блок или персональный компьютер. 11.3. Полностью цифровая система управления Данный вид цифрового управления подразумевает, что все параметры преобразователя переводятся в цифровую форму, затем контроллер производит анализ и принимает решения для получения требуемых значений входных параметров, правиль- Рис. 11.4. Структурная схема транзисторного выпрямителя с полностью цифровой системой управления
11.3. Полностью цифровая система управления S3 ной последовательности подключения отдельных силовых блоков и осуществления необходимых защитных функций. Главное, что отличает ПЦСУ от смешанной системы управления, — техническая реализация контуров обратной связи выполняется не аналоговыми средствами, а с помощью микроконтроллера [65]. Кроме того, «быстрые» виды защиты, требующие вмешательства в работу системы управления за считанные микросекунды, теперь также могут выполняться микроконтроллером. Быстродействия цифровых средств, применяемых в ССУ, теперь оказывается недостаточно. ПЦСУ требует применения ЦСП с быстродействующими АЦП и ШИМ- выходами. Пример построения полностью цифровой системы управления транзисторным выпрямителем показан на рис. 11.4. Представленная структура предполагает использование цифрового сигнального процессора, который представляет собой процессор с 32-разрядной арифметикой, частотой 100 МГц и Flash-памятью. Арифметические возможности данного DSP позволяют выполнять за один такт две операции умножения 16W16 с накоплением. Такое быстродействие позволит управлять выпрямителем с частотой коммутации до 300 кГц и более. Рассмотрим подробнее работу выпрямителя по схеме, представленной на рис. 11.4. Для управления ККМ через масштабирующие усилители и делители напряжения к АЦП приводятся выпрямленное напряжение сети, выходное напряжение и ток ККМ. Используя эту информацию, процессор формирует закон изменения d (коэффициент заполнения) следующим образом: выходное напряжение сравнивается с константой, характеризующей опорное напряжение, полученная ошибка обрабатывается с помощью цифрового фильтра. Далее полученное значение перемножается на сигнал, характеризующий форму напряжения сети, и делится на квадрат действующего значения сетевого напряжения. Все величины в процессоре представляются в виде условной относительной единицы, то есть приводятся к максимальному значению. Полученная величина после перемножения является опорной для токового сигнала, поэтому после сравнения с токовым сигналом ошибка обрабатывается с помощью второго цифрового фильтра, выходная величина которого является задающей для ШИМ-контроллера ЦСП. Защиты ККМ выполняются следующим образом: защита по напряжению сети обеспечивается с помощью сигнала формы тока, защита по выходному напряжению также обеспечивается через АЦП. Для защиты по току используется внешний компаратор, который воздействует на ШИМ-контроллер. Для управления DC-DC преобразователем используются масштабирующие усилители и оптроны, передающие аналоговые сигналы на первичную сторону выпрямителя. В качестве оптронов желательно применять диодные оптроны AlGaAs, в которых используются обратные связи для обеспечения стабильности параметров. Контуры управления выполняются с помощью двух цифровых фильтров, получающих сигналы выходного напряжения и тока. Первичный ток преобразователя используется для двух задач: первая — получение оптимальной частотной коррекции при смешивании сигнала ошибки по выходному напряжению и усредненного тока первичной стороны DC-DC преобразователя, вторая — обеспечение защиты
•04 Глава 11. Принципы построения систем управления преобразователями по току в каждый период коммутации с помощью внешнего компаратора. Кроме того, ЦСП выполняет все остальные задачи, характерные для смешанного управления. Для выравнивания токов выпрямители используют информацию о токе каждого выпрямителя, полученную по RS-485. С течением времени ПЦСУ будут иметь все большие преимущества по сравнению с ССУ, которые будут особенно проявляться с возрастанием выходной мощности преобразователя и усложнением алгоритмов работы устройства управления. 11.4. Дополнительные возможности систем управления преобразователями при использовании микроконтроллеров Контроллер, входящий в состав СУ преобразователем, позволяет получить дополнительные и весомые преимущества, возможно, упускаемые на первый взгляд. С помощью контроллера (не вручную) можно проводить необходимые юстировки параметров преобразователя в процессе его изготовления. Такая настройка связана с корректировкой показаний датчиков напряжения, тока или температуры, способствует повышению ее точности, сокращению времени и удешевлению производства (не нужны построечные резисторы, точность резистивных делителей не требуется очень высокой). Юстировка производится с помощью персонального компьютера, связанного по интерфейсу с контроллером преобразователя. На рис. 11.5 показано окно для персонального компьютера, позволяющее юстировать выходные параметры транзисторного выпрямителя, выполнять необходимую диагностику и вести контроль работы одного источника или нескольких, работающих параллельно в системе электропитания. Что еще позволяет получить микроконтроллер, примененный в СУ? Одна и та же разработка, фактически один и тот же преобразователь может быть использован для различных применений изменением только встроенного программного обеспечения. Вследствие этого расходуются меньшие средства на закупку компонентов, требуется меньше затрат при организации производства. Можно представить случаи, когда заказчик меняет требования к изделию, уже запущенному в производство, а, возможно, он даже забыл о некоторых требованиях или не продумал их до конца. Если эти требования не касаются усложнения режимов работы силовой части, их, вероятнее всего, можно учесть изменением программы контроллера. Поскольку современные микроконтроллеры выпускаются с EEPROM памятью, неисправности или предельные условия работы преобразователя могут храниться в журнале событий, а затем их можно прочесть, получив ценную информацию о поведении источника питания. Рис. 11.5. Окно персонального компьютера для проведения юстировки и контроля одного или нескольких транзисторных выпрямителей, работающих параллельно
ГЛАВА 12 МИКРОПРОЦЕССОРЫ И ПРОГРАММИРУЕМАЯ ЛОГИКА В данной главе рассмотрены общие вопросы, связанные с применением микропроцессорных систем для управления преобразовательными устройствами. Более подробные сведения можно получить из литературы, специально посвященной микропроцессорной технике и освещающей подробно режимы работы микропроцессорной системы и архитектуру ее построения [31,8, 16, 4]. В следующей главе в связи с актуальностью применения ЦСП для рассматриваемых приложений достаточно подробно будут рассмотрены узлы и особенности этого типа процессора. 12.1 Общие сведения о микропроцессорах и микроконтроллерах Микропроцессор является главным, ключевым узлом любой микропроцессорной системы (МПС). Вся требуемая обработка информации в МПС производится именно в микропроцессоре (можно говорить в процессоре). Выполнение арифметических операций, логических функций, связанных со сдвигом кодов, их сравнением, маскированием, а также организация прерываний, хранение кодов в регистрах, обмен кодами и другие операции — все это выполняет процессор. Набор команд, то есть программа, которую выполняет процессор, содержит множество операций, и все команды образуют единую систему команд. Разработчику МПС необходимо знать систему команд, свойственную данному типу процессора, а также режимы его работы и правила общения с внешними устройствами. В настоящее время, как правило, микропроцессорная система, включающая в себя помимо процессора (центрального процессора) запоминающие устройства — постоянное и оперативное (ПЗУ и ОЗУ), таймеры, порты ввода и вывода — выполняется в одном кристалле как одна микросхема. Такое устройство принято называть микроконтроллером (МК), а иногда просто контроллером. Достаточно условно возможна классификация устройств, которая вытекает из направлений развития вычислительной техники: — универсальные микропроцессоры; — микроконтроллеры; — цифровые сигнальные процессоры. Универсальные микропроцессоры можно охарактеризовать как класс устройств, к котором относят большие интегральные схемы (БИС), предназначенные для решения задач, выполняемых электронно-вычислительными машинами. По-видимому, это самый широкий класс устройств, к которым относятся и микропроцессоры персональных компьютеров. Микроконтроллеры, обладающие необходимой периферией, используются для работы в огромном числе электронных устройств от сотовых телефонов
06 Глава 12. Микропроцессоры и программируемая логика до систем контроля впрыском топлива автомобилей. Поэтому другое, часто используемое название для микроконтроллеров — это «микропроцессоры встраиваемых приложений». С учетом выполняемых задач микроконтроллеры имеют упрощенную структуру, сокращенный набор команд, но достаточно мощную периферию, в том числе аналоговую. ЦСП — специализированный микропроцессор, предназначенный для цифровой обработки сигналов. Цифровые сигнальные процессоры начали свою историю в 1982 году, когда компания Texas Instruments выпустила на рынок сигнальный процессор TMS32010 (производимый, кстати, в слегка модифицированном виде до сих пор). Изначально, как и многие электронные изделия, ЦСП предназначались для военных применений, таких, например, как радиолокация и шифрование. Среди решаемых ими задач были реализация быстрого преобразования Фурье, фильтрация, координатные преобразования и т.п. Все эти функции требовали предельного быстродействия, поскольку должны были выполняться в реальном масштабе времени. В связи с этим отличительной чертой архитектуры ЦСП явилось наличие независимых умножителя и АЛУ, встроенной памяти для команд и отдельно для данных, а также несколько шин, позволяющих одновременно производить выборку команды, выборку данных и запись результата. С учетом того, что большинство операций выполняются в ЦСП за один машинный такт, эти устройства позволяют достигать большой производительности. Можно выделить следующие особенности сигнальных процессоров: • быстрое выполнение операций, характерных для цифровой обработки сигналов, таких, например, как операция «умножение с накоплением» (MAC) (Y := А* В + X) — исполняется за один такт; • короткие по времени циклы с заранее известной длиной; • большинство сигнальных процессоров имеют довольно большой объем встроенной памяти, из которой может осуществляться выборка нескольких машинных слов одновременно; • детерминированная работа с известными временами выполнения команд, что позволяет выполнять планирование работы в реальном времени; • довольно большая длина конвейера (конвейер — память определенного объема для ускорения выборки исполняемых команд), поэтому незапланированные условные переходы занимают относительно много времени; • экзотический набор регистров и инструкций, часто неудобный для компиляторов; • по сравнению с микроконтроллерами, ограниченный набор периферийных устройств; • цифровые сигнальные процессоры обычно потребляют существенно меньшую мощность, чем эквивалентные по производительности универсальные микропроцессоры. ЦСП является универсальным и, пожалуй, самым оптимальных средством построения цифровых систем управления. Кроме того, производители технических средств ЦОС сейчас пытаются найти новые рынки для своей продукции, что заставляет их производить более дешевые мощные цифровые сигнальные процессоры и адаптировать целые линейки моделей к применению в преобразовательной технике. ЦСП нашли широкое применение в управлении электродвигателями, где при низких частотах коммутации и достаточно сложных алгоритмах управления
12.1 Общие сведения о микропроцессорах и микроконтроллерах требуются и достаточно сложные системы управления [37]. С учетом значительного снижения стоимости ЦСП в последние годы на сегодняшний день такие производители, как TI и Freescale, предлагают на рынке ЦСП, адаптированные для управления преобразователями электроэнергии, с использованием их в контурах управления при высокой частоте работы ШИМ. Freescale для решения подобного класса задач представляет три серии 16-разрядных ЦСП, которые по сути своей и по терминологии Freescale являются гибридными контроллерами, так как объединяют в себе ЦСП ядро и широкий набор периферийных устройств. Серию 56F8000 отличает производительность до 32 млн MAC операций, серию 56F8100 до 40 млн MAC операций, серию 56F8300 до 60 млн MAC операций TI для решения задач цифрового управления представляет серию ЦСП С2000, которая разделяется на два основных класса: 16-разрядные и 32-разрядные ЦСП. При этом на сегодняшний день 16-разрядные ЦСП TI по соотношению цена/производительность значительно уступают 32-разрядным аналогам. 32-разрядные ЦСП предлагаются в широком диапазоне производительностей и набора периферийных устройств. Этот класс ЦСП основан на 32-разрядной целочисленной арифметике с тактовой частотой 100МГц. При этом за один тактовый цикл выполняются две 16x16 операции умножения с накоплением. Провести сравнение ЦСП Freescale и TI достаточно сложно, — по крайней мере, из-за того, что эти устройства с разной разрядностью, и, кроме того, каждый производитель предлагает очень широкую линейку устройств. Тем не менее, задачи у данных ЦСП похожи — это управление методами цифровой обработки сигналов. Для сравнения были взяты самые мощные ЦСП каждого производителя. В табл. 12.1 представлено краткое сравнение устройств. При сравнении необходимо иметь в виду, что в ЦСП данных производителей, как правило, используется совмещение функций на выводах корпуса, то есть вывод может быть, например, выводом ШИМ или выводом интерфейса. Таким образом, в реальном устройстве полный набор периферии реализовать невозможно. Таблица 12.1 Сравнение двух типов ЦСП Арифметика Разрядность Тактовая частота, МГц Быстродействие, млн MAC Память (FLASH), К (16 бит. слово) Память (RAM), К (16 бит. слово) ШИМ TMS320F2808 (TI) Фиксированная точка 32 100 100(32x32), 200(16x16) 64 18 6 модулей (2 канала, разрядность, согласно тактовой частоте), из них 4 канала с высокой разрядностью 56F8367 (Freescale) 1 Фиксированная точка 16 60 60(16x16) 256 + 16 (данные) 32 + 2 (программа) 2 модуля (6 каналов, разрядность, согласно тактовой частоте)
•08 Глава 12. Микропроцессоры и программируемая логика Таблица 12.1 (продолжение) АЦП Устройство захвата (capture) Квадратурный декодер | Интерфейс SPI Интерфейс SCI Интерфейс CAN Число выводов ввода/ вывода | Корпус 16 каналов с двумя независимыми блоками выборки и хранения, 12- битный АЦП конвейерного типа, производительность до 6.25 MSPS* 4 32-битных модуля с возможностью организации ШИМ 2 4-х сигнальных 4 2 2 35 100 выводов 4 независимых 12-битных 4-х канальных АЦП, производительность npl.66MSPS* Нет данных 2 4-х сигнальных 2 2 2 76 160 выводов *MSPS — количество мегавыборок в секунду. Необходимо сказать еще об одном классе устройств, которые оказывают влияние на развитие вычислительной техники и ее применение в устройствах управления преобразователями. Программируемые логические интегральные схемы (ПЛИС) являются в определенной степени конкурентами для ЦСП в устройствах с цифровой обработкой сигналов, так как имеют большую производительность, фактически определяемую только ограничением по скорости передачи. 12.2. Внутренняя структура построения микропроцессоров и микроконтроллеров Существуют два принципа построения микропроцессоров и микроконтроллеров; • гарвардская архитектура; • архитектура Фон-Неймана. В Гарвардской архитектуре принципиально различаются два вида памяти: • память программ; • память данных. В Гарвардской архитектуре принципиально невозможно производить операцию записи в память программ, что исключает возможность случайного разрушения управляющей программы в случае неправильных действий над данными. Кроме того, в ряде случаев для памяти программ и памяти данных выделяются отдельные шины обмена данными. Эти особенности определили области применения данной архитектуры. Гарвардская архитектура применяется в микроконтроллерах и ЦСП, где требуется обеспечить высокую надежность работы аппаратуры, и в сигнальных процессорах, где эта архитектура кроме обеспечения высокой надежности работы устройства позволяет обеспечить высокую скорость выполнения программы за счет
722 Внутренняя структура построения микропроцессоров и микроконтроллеров одновременного считывания управляющих команд и обрабатываемых данных, а также запись полученных результатов в память данных. Однако необходимо отметить, что строгая гарвардская архитектура используется не во всех микроконтроллерах, в большинстве устройств применяется модифицированная архитектура, где раздельные области памяти для данных и программ, но разрешается связь между ними (например, семейство TMS320). Отличие архитектуры Фон-Неймана заключается в принципиальной возможности работы над управляющими программами точно так же, как над данными. Это позволяет производить загрузку и выгрузку управляющих программ в произвольное место памяти процессора, которая в этой структуре не разделяется на память программ и память данных. Любой участок памяти может служить как памятью программ, так и памятью данных. Причем в разные моменты времени одна и та же область памяти может использоваться и как память программ, и как память данных. Для того чтобы программа могла работать в произвольной области памяти, ее необходимо модернизировать перед загрузкой, то есть работать с нею, как с обычными данными. Эта особенность архитектуры позволяет наиболее гибко управлять работой микропроцессорной системы, но создает принципиальную возможность искажения управляющей программы, что понижает надежность работы аппаратуры. Данная архитектура используется как в универсальных компьютерах, так и в некоторых видах микроконтроллеров. Другой традиционной классификацией является разделение на RISC (микропроцессоры с сокращенным набором команд) и CISC (микропроцессоры с полным набором команд) архитектуру. В процессорах с полным набором команд используется уровень микропрограммирования для того, чтобы декодировать и выполнить команду микропроцессора. В этих процессорах формат команды не зависит от аппаратуры процессора. На одной и той же аппаратуре при смене микропрограммы могут быть реализованы различные микропроцессоры. С другой стороны, смена аппаратуры никак не влияет на программное обеспечение микропроцессора. С точки зрения пользователя у микропроцессора только увеличивается производительность, снижается потребление энергии, уменьшаются габариты устройства. Неявным недостатком таких процессоров является то, что производители микросхем стараются увеличить количество команд, которые может выполнять микропроцессор, при этом увеличивается сложность микропрограммы и замедляется выполнение каждой команды в целом. В процессорах с сокращенным набором команд декодирование и исполнение команды производятся аппаратно, поэтому количество команд ограничено командным набором. В этих процессорах команда и микрокоманда совпадают. Преимуществом данного типа процессоров является то, что команда может быть в принципе выполнена за один такт (не требуется выполнение микропрограммы), однако для выполнения тех же действий, которые выполняет команда CISC процессора, требуется выполнение целой программы. Сегодня существуют микроконтроллеры и ЦСП, представляющие собой встраиваемый вариант универсального микропроцессора, неймановскую или CISC- архитектуру, гарвардскую, регистр-регистровую RISC-архитектуру, архитектуру, основанную на лицензированном у фирмы Advarieed RISC Machines (ARM) микропроцессорном ядре и т.п. [9]. Однако необходимо отметить, что на сегодняшний день в области непосредственно микроконтроллеров и ЦСП такой жесткой клас-
10 Глава 12. Микропроцессоры и программируемая логика сификации не существует. Можно также выделить некоторый набор «базовых» ядер, используемых различными фирмами при разработке и создании своих семейств. Например, ядро i8051 используется фирмами: Philips, Atmel, Dallas Semiconductor, Analog Devices, Infineon, SST, Triscend, Winbond и др. Процессорное ядро Z80 — Zilog, Toshiba, Samsung и др., неймановский процессор — Motorola, Mitsubishi, STMicroelectronics, Fujitsu и др., RISC-ядро — Atmel, Microchip, Motorola и др., ARM-ядро — Atmel, Samsung, Crystal Semiconductor, Cirrus Logic и др. Укрупненно структура микроконтроллера, состоящего из отдельных блоков, показана на рис. 12.1. Она состоит из процессорного ядра, которое является неизменным для всех МК данного семейства (например, семейство микроконтроллеров Tiny AVR компании Atmel, содержащее 8 моделей), и функционального блока, различного для разных моделей. Процессорное ядро, в свою очередь, содержит: — центральный процессор; — внутреннюю контроллерную магистраль (ВКМ); — синхронизатор; — схему управления режимами. Основные характеристики процессорного ядра МК, определяющие его производительность, приводятся в [31]. По системе команд микропроцессоры и микроконтроллеры отличаются огромным разнообразием, зависящем от фирмы-производителя. Тем не менее, можно определить два крайних решения по выполнению математических операций: • аккумуляторный принцип, • принцип регистров общего назначения. В микропроцессорах с регистрами общего назначения математические операции могут выполняться над любой ячейкой памяти. В зависимости от типа операции команда может быть одноадресной, двухадресной или трехадресной. Принципиальным отличием аккумуляторных процессоров является то, что математические операции могут производиться только над одной особой ячейкой памяти — аккумулятором. Для того, чтобы произвести операцию над произвольной ячейкой памяти, ее содержимое необходимо скопировать в аккумулятор, произ- Рис. 12.1. Модульная структура микроконтроллера
12.3 Аналого-цифровой преобразователь 311 вести требуемую операцию, а затем скопировать полученный результат в произвольную ячейку памяти. В настоящее время в чистом виде не существует ни та, ни другая система команд. Все выпускаемые в настоящее время микроконтроллеры и ЦСП обладают системой команд с признаками как аккумуляторных процессоров, так и микропроцессоров с регистрами общего назначения. Выше рассматривалась история создания современных ЦСП, необходимо только отметить, что на сегодняшний день наблюдается размывание границ между сигнальными процессорами и микроконтроллерами для некоторых областей применения, в основном в области цифрового управления. Например, ЦСП для управления электроприводами и источниками питания, такие как семейства Texas Instrument TMS320x240, TMS320x28xx и во многом аналогичные им семейства Freescale 56F8300, имеют достаточно мощную периферию, необходимую для данных применений. При этом вопрос условных переходов также не свойственен для этих ЦСП, хотя и не решен до конца (например, команда перехода по условию сравнения дополнительных регистров в TMS320x28xx занимает до 4 тактов (против 2-х в ATMEGA128). В свою очередь, и микроконтроллеры впитывают в себя достоинства ЦСП. Например, вся серия ATMEGA компании Atmel имеет аппаратный перемножитель, что позволяет выполнять 8-битное перемножение за один такт [22]. Производители микроконтроллеров предлагают пользователю примеры решения задач, свойственных только ЦСП [60]. 12.3. Аналого-цифровой преобразователь 12.3.1. Обзор основных типов Аналого-цифровой преобразователь (АЦП) — один из важнейших узлов любой системы цифрового управления, так как является непосредственным измерителем в цифровом устройстве. АЦП преобразует напряжение (аналоговый сигнал) в код, над которым микропроцессор и программное обеспечение выполняют определенные действия. Существуют несколько основных типов архитектуры АЦП [9], а в пределах каждого типа существует также множество разновидностей. Нам интересны, в основном, те типы АЦП, которые находят широкое применение в микроконтроллерах как встраиваемое периферийное устройство. В оборудовании такого типа используются АЦП последовательного приближения (SAR АЦП), название дано по типу регистра сравнения — successive approximation register; сигма-дельта АЦП (Sigma-Delta ADC), а также «конвейерные» АЦП (Pipelined ADC). Существуют также параллельные АЦП для приложений, требующих скоростной обработки аналоговых сигналов, и интегрирующие АЦП с высокими разрешением и помеходавлением. В таких микроконтроллерах, KaKATMEGA128, где необходимо разрешение 10,12 разрядов, не требуется высокая скорость преобразования, а определяющими факторами являются приемлемая цена и низкое энергопотребление, обычно применяют АЦП последовательного приближения. В настоящее время АЦП последовательного приближения позволяют измерять сигналы с точностью до 16 разрядов и частотой дискретизации до 1М (1х106) отсчетов/с. На рис.12.2 показана упрощенная блок- схема АЦП последовательного приближения. В основе АЦП данного типа лежит
12 Глава 12. Микропроцессоры и программируемая логика Рис. 12.2. Аналого-цифровой преобразователь последовательного приближения специальный регистр последовательного приближения. В начале цикла преобразования все его выходы устанавливаются в логический 0, за исключением первого (старшего) разряда. Это формирует на выходе внутреннего цифро-аналогового преобразователя (ЦАП) сигнал, значение которого равно половине входного диапазона АЦП. Выход компаратора переключается в состояние, определяющее разницу между сигналом на выходе ЦАП и измеряемым входным напряжением. Например, для 8-разрядного АЦП последовательного приближения выходы регистра будут установлены в «10000000». Если входное напряжение меньше половины входного диапазона АЦП, тогда выход компаратора примет значение логического 0. Регистр последовательного приближения получает команду переключить свои выходы в состояние «01000000», что соответственно приведет к изменению выходного напряжения ЦАП, подаваемого на компаратор. Если при этом выход компаратора по-прежнему оставался бы в «0», то выходы регистра переключились бы в состояние «00100000». Но на этом такте преобразования выходное напряжение ЦАП меньше, чем входное напряжение и компаратор переключается в состояние логической 1. Это предписывает регистру последовательного приближения сохранить «1» во втором разряде и подать «1» на третий разряд. Описанный алгоритм работы затем вновь повторяется до последнего разряда. Таким образом, АЦП последовательного приближения требуется один внутренний такт преобразования для каждого разряда, или N тактов для N-разрядного преобразования. Сигма-дельта АЦП могут обеспечивать разрешающую способность до 24 разрядов, но уступают в скорости преобразования. Так, в сигма-дельта АЦП при 16 разрядах можно получить частоту дискретизации до 100 К отсчетов/с, а при 24 разрядах эта частота падает до 1 К отсчетов/с. и менее в зависимости от устройства. Обычно сигма-дельта АЦП применяются в микроконтроллерах, специализированных на применение в измерительных приборах, когда не требуется высокая частота дискретизации и необходимо разрешение не менее 16 разрядов. К такому классу относятся микроконтроллеры MSP430 Texas Instruments. Основная особенность данного типа АЦП состоит в том, что частота следования выборок, при которых собственно и происходит анализ уровня напряжения измеряемого сигнала, существенно превышает частоту появления отсчетов на выходе АЦП (частоту дискретизации). Эта частота следования выборок называется частотой передискретизации. Так, сигма-дельта АЦП со скоростью преобразования 100 К отсчетов/с, в котором используется частота передискретизации в 128 раз больше, будет производить выборку значений входного аналогового сигнала с ча-
12.3 Аналого-цифровой преобразователь 313* Рис. 12.3. Сигма-дельта аналого-цифровой преобразователь стотой 12,8 М отсчетов/с. Блок-схема сигма-дельта АЦП первого порядка приведена на рис. 12.3. Аналоговый сигнал подается на интегратор, выход которого подсоединен к компаратору, который в свою очередь присоединен к 1-разрядному ЦАП в контуре обратной связи. Серией последовательных итераций интегратор, компаратор, ЦАП и сумматор создают поток последовательных битов, содержащий информацию о величине входного напряжения. Результирующая цифровая последовательность затем поступает на фильтр нижних частот для подавления компонентов с частотами выше частоты, равной половине частоты дискретизации АЦП. После удаления высокочастотных составляющих следующий узел — дециматор — прореживает данные. В рассматриваемом нами АЦП дециматор оставит 1 бит из каждых полученных 128 в выходной цифровой последовательности. Так как цифровой ФНЧ в сигма-дельта АЦП является неотъемлемым узлом в процессе преобразования, время установления ФНЧ необходимо учитывать при скачкообразном изменении входного сигнала. Например, при переключении входного мультиплексора или при изменении предела измерения прибора требуется время, пока пройдет несколько отсчетов АЦП, и только потом можно считывать корректно выходные данные. Аналого-цифровые преобразователи конвейерного типа (pipelined ADC) [104] стали самой популярной архитектурой ADC для частот дискретизации от нескольких М (1x106) отсчетов/с вплоть до 100 М (100x106) отсчетов/с, с разрешением от 8 бит для высокопроизводительных АЦП до 16 бит при более низких показателях. Такой диапазон разрешения и частот дискретизации используется в большом числе приложений, включая и цифровые системы управления. Более низкие частоты дискретизации — все еще область АЦП последовательного приближения (SAR) и интегральных архитектур (например, сигма-дельта АЦП). Для самых верхних частот дискретизации все еще используются АЦП параллельного типа и их варианты. На рис. 12.4 показана типовая структура 12-битного одноканального конвейерного АЦП. Данная структура применяется в АЦП цифровых сигнальных процессоров Texas Instruments TMS320F280x. Первая выборка сигнала Vex удерживается с помощью основного устройства выборки и хранения (УВХ), затем подается на УВХ1 первого этапа и преобразуется с помощью 3-битного АЦП параллельного типа. 3-битный результат подается на ЦАП, полученный таким образом аналоговый результат вычитается из сигнала на выходе устройства выборки и хранения. Это так называемый первый этап преобразования. Результат первого этапа умножается на 4 и является вход-
Глава 12. Микропроцессоры и программируемая логика Вход ! этапа [УСТРОЙСТВО]-; ; выборки и ; хранения | '-ън х< и. 3-битный АЦП Збита] Л/ ii X, О, | 3-битный! ЦАП х4 Вход |Устройство| выборки и Этап1 Этап 2 ЭтапЗ Избита Избита Избита Избита 4> 4) 4> я> Этап 4 П/# 4-битный АЦП Логика цифровой коррекции ошибки !• 12 4 бита 12бкт Выход Рис. 12.4. Аналого-цифровой преобразователь конвейерного типа ным сигналом для следующего этапа (этап два). Таким образом, организуется последовательность преобразований, обеспечивающая преобразование 3-х битов за этап от старших бит к младшим. Последним этапом является получение младших 4-х битов, которые преобразуются с помощью 4-битного АЦП параллельного типа. Это так называемое последовательное преобразование, особенность которого — простой каждого АЦП после своего этапа преобразования. Если основное устройство выборки и хранения УВХ будет обеспечивать выборку после каждого этапа, а результирующий код будет формироваться с задержкой (например, для старших трех битов — удержание цифрового кода равно времени работы трех этапов плюс этап получения 4-х младших битов), то обеспечивается максимальная скорость преобразования. Это и есть конвейерный принцип. Полученный результат обрабатывается с помощью логики цифровой коррекции ошибки (рис. 12.4). Все современные конвейерные АЦП используют технику цифровой коррекции ошибки, чтобы существенно уменьшить требования к точности АЦП параллельного типа (то есть требования к компараторам). Результатом каждого этапа является 3-х битный остаток, то есть динамический диапазон каждого этапа одна восьмая, в то же время разностный сигнал умножается только на 4. Избыточный разряд используется для коррекции ошибки преобразования первого АЦП. Цифровая коррекция ошибки не корректирует ошибку в конечном 4-х битовом АЦП. Тем не менее, любая ошибка, сделанная в конечном АЦП, подавлена большим (44) совокупным умножением. В приведенном примере, хотя каждый этап формирует 3 «сырых» бита и поскольку межкаскадный прирост составляет только 4, каждый этап (этапы один на четыре) эффективно получает только 2 бита. Этот подход получил название «1 -битовое перекрытие» (1 -bit overlap) между ближайшими этапами. Следовательно, эффективное количество битов всего преобразования составляет: 2 + 2 + 2 + 2 + 4=12бит.
12.3 Аналого-цифровой преобразователь 31 12.3.2. Параметры АЦП Существуют определения, общепринятые для аналого-цифровых преобразователей [35]. Разрешающая способность Для АЦП разрешение — это количество сегментов, на которое можно разделить входной диапазон измеряемого аналогового сигнала (например, для 8-разрядного АЦП получим 28 = 256 сегментов). Точность Точность характеризует суммарное отклонение результата преобразования от своего идеального значения для данного входного напряжения. Разрешающая способность характеризует потенциальные возможности АЦП, а совокупность точностных параметров определяет реализуемость таких возможностей. Погрешности, свойственные АЦП, разделяются на статические и динамические. Статическая погрешность Для АЦП в этот тип погрешности входят аддитивная погрешность (Offset), мультипликативная погрешность (Full-Scale), дифференциальная нелинейность (DNL), интегральная нелинейная (INL) и погрешность квантования. Эти пять характеристик позволяют полностью описать статическую погрешность АЦП. Прежде чем рассматривать различные виды погрешностей АЦП, выясним понятие его передаточной характеристики. Передаточная характеристика АЦП — это зависимость кода на выходе АЦП от напряжения на его входе. Ее график представляет собой кусочно-линейную функцию из 2В «ступеней», где В — разрядность АЦП. Каждый горизонтальный отрезок этой функции соответствует одному из значений выходного кода АЦП. Передаточная характеристика может быть реализована со смещением—1/2 LSB. Это достигается смещением передаточной характеристики влево, что смещает погрешность квантования из диапазона —1...0 LSB в диапазон —1/2... +1/2 LSB, где LSB (low signed bit) — наименьший значащий разряд. Из-за разброса параметров при изготовлении интегральных микросхем реальные АЦП не имеют идеальной передаточной характеристики. Аддитивная погрешность Идеальная передаточная характеристика АЦП пересекает начало координат, а первый переход кода происходит при достижении значения 1 LSB. Аддитивная погрешность (погрешность смещения) может быть определена как смещение всей передаточной характеристики влево или вправо относительно оси входного напряжения. Таким образом, в определение аддитивной погрешности АЦП намеренно включено смещение 1/2 LSB. Мультипликативная погрешность н < ¦: . Мультипликативная погрешность (погрешность полной шкалы) представляет собой разность между идеальной и реальной передаточными характеристиками в
Глава 12. Микропроцессоры и программируемая логика Входное напряжение Рис. 12.5. Мультипликативная погрешность АЦП (погрешность полной шкалы) точке максимального выходного значения при условии нулевой аддитивной погрешности (смещение отсутствует). Это проявляется как изменение наклона передаточной функции, что иллюстрирует рис. 12.5. Дифференциальная нелинейность У идеальной передаточной характеристики АЦП ширина каждой «ступеньки» должна быть одинакова. Разница в длине горизонтальных отрезков этой кусочно- линейной функции из 2В «ступеней» представляет собой дифференциальную нелинейность (DNL). Величина наименьшего значащего разряда АЦП составляет UreJ/2B9 где 1/к/— опорное напряжение. Разность напряжений между каждым кодовым переходом должна быть равна величине LSB. Отклонение этой разности от LSB определяют как дифференциальную нелинейность. Интегральная нелинейность Интегральная нелинейность (INL) — это погрешность, которая вызывается отклонением линейной функции передаточной характеристики АЦП от прямой линии. Обычно передаточная функция с интегральной нелинейностью аппроксимируется прямой линией по методу наименьших квадратов. Интегральную нелинейность определяют путем сравнения напряжений, при которых происходят кодовые переходы. Для идеального АЦП эти переходы будут происходить при значениях входного напряжения, точно кратных LSB. А для реального преобразователя такое условие может выполняться с погрешностью. Разность между «идеальными» уровнями напряжения, при которых происходит кодовый переход, и их реальными значениями выражается в единицах LSB и называется интегральной нелинейностью. Погрешность квантования Одна из наиболее существенных составляющих ошибки при измерениях с помощью АЦП — погрешность квантования — является результатом самого процесса преобразования. Погрешность квантования — это погрешность, вызванная значением шага квантования и определяемая как 1/2 величины LCB. Она не может быть исключена при аналого-цифровом преобразовании, так как является неотъемле-
12.3 Аналого-цифровой преобразователь мой частью процесса преобразования, определяется разрешающей способностью АЦП и не меняется от АЦП к АЦП с равным разрешением. Динамические характеристики Динамические характеристики АЦП обычно определяют с помощью спектрального анализа, по результатам выполнения быстрого преобразования Фурье (БПФ) над массивом выходных значений АЦП, соответствующих некоторому тестовому входному сигналу. Отношение «сигнал/шум» Отношение «сигнал/шум» (SNR — signal-to-noise ratio) — это отношение сред- неквадратического значения величины входного сигнала к среднеквадратическому значению величины шума (за исключением гармонических искажений), выраженное в децибелах. (12.3.1) Этот параметр позволяет определить долю шума в измеряемом сигнале по отношению к полезному сигналу. Шум, измеряемый при расчете SNR, не включает гармонические искажения, но включает шум квантования. Спектр шума квантования АЦП стандартных архитектур имеет равномерное распределение по частоте. Поэтому его величина не может быть уменьшена путем увеличения времени преобразования и последующего усреднения результатов. Шум квантования может быть снижен только проведением измерений с помощью АЦП большей разрядности. Особенность сигма-дельта АЦП состоит в том, что спектр шума квантования у этого типа преобразователя распределен по частоте неравномерно — смещен в сторону высоких частот. Поэтому, увеличивая время измерения (и, соответственно, количество выборок измеряемого сигнала), накапливая и затем усредняя полученную выборку (с помощью фильтра нижних частот), можно получить результат измерений с более высокой точностью. Естественно, общее время преобразования при этом будет возрастать. Общие гармонические искажения Нелинейность в результатах преобразования данных приводит к появлению гармонических искажений. Такие искажения наблюдаются как «выбросы» в спектре частот на четных и нечетных гармониках измеряемого сигнала. Эти искажения определяют как общие гармонические искажения (THD) по формуле: (12.3.2) Величина гармонических искажений уменьшается на высоких частотах до той частоты, в которой амплитуда гармоник становится меньше, чем уровень шума. Таким образом, если анализируется вклад гармонических искажений в результаты преобразования, это можно делать либо во всем спектре частот, ограничивая при этом амплитуду гармоник уровнем шума, либо ограничивая полосу частот для ана-
18 Глава 12. Микропроцессоры и программируемая логика лиза. Например, если в систему включен ФНЧ, то высокочастотные гармоники не подлежат учету. Отношение «сигнал/шум и искажения» Отношение «сигнал/шум и искажения» (SiNAD - signal including noise and distortion) более полно описывает шумовые характеристики АЦП. SiNAD учитывает величину как шума, так и гармонических искажений по отношению к полезному сигналу. SiNAD рассчитывается по следующей формуле: (12.3.3) Сравним основные характеристики АЦП микроконтроллеров, которые в том или ином виде применяются в преобразовательной технике. 8-разрядный RISC микроконтроллер ATMEGA128, нашедший широкое применение в системах управления смешанного типа и в которых управление силовыми ключами производится с помощью классических ШИМ-контроллеров (АЦП применяется для мониторинга основных параметров преобразователя), и 32-битный ЦСП TMS320F280x, который может использоваться для организации полного цифрового управления (табл. 12.2). Таблица 12.2 Сравнение АЦП двух типов микроконтроллеров Микроконтроллер Тип АЦП Разрешающая способность Частота преобразования Аддитивная погрешность (Offset) Мультипликативная погрешность (full-Scale) Дифференциальная нелинейность (DNL) Интегральная нелинейность(ШЬ) Отношение «сигнал/шум» SNR (dB) Общие гармонические искажения (THD) Отношение «сигнал/шум и ^искажения» (SiNAD) ATMEGA128 SAR 10 бит До 20 kSPS* ±1LSB ±1LSB ±0,5 LSB ±0,5 LSB Нет данных Нет данных Нет данных TMS320F280X Конвейерный 12 бит До 6,25 MSPS ±60 LSB ±60 LSB ±1LSB ±1,5 LSB 68 dB -79 dB 67,5 dB *kSPS — количество киловыборок в секунду.
12.4 Широтно-импульсный модулятор 12.4. Широтно-импульсный модулятор Широтно-импульсная модуляция доступна в большинстве современных микроконтроллеров. Например, микроконтроллеры ATMEL могут иметь до шести ШИМ каналов (ATMEGA128) с различными режимами управления: двухсторонняя модуляция, односторонняя модуляция, синхронное и асинхронное управление каналами. Однако данный класс микроконтроллеров с точки зрения аппаратной работы ШИМ может найти применение только в ограниченном низкочастотном классе преобразователей. Объясняется это производительностью микроконтроллера. Для так называемого быстрого ШИМ режима (Fast PWM mode) частота ШИМ сигнала на выходе микроконтроллера, а по сути дела частота переключения силовых транзисторов определяется как: (12.4.1) где#=1,8,32,...,1024. Таким образом, максимальная частота будет 62500 Гц при тактовой частоте микроконтроллера fdk= 16 МГц. С другой стороны, работа аппаратной части ШИМ традиционных микроконтроллеров основана на сравнении числа счетчика с определенной константой регистра сравнения. Работа счетчика основана на инкрементировании своего значения на 1 за каждый такт микроконтроллера. Поэтому за определенное время число в счетчике достигает максимального значения, которое и определяет разрядность ШИМ. Для нашего примера это число равно 256, то есть 8 битов. Таким образом, имеем 8-разрядный ШИМ с максимальной частотой 62500 Гц. В свою очередь, цифровые сигнальные процессоры Texas Instruments TMS320FA280x предоставляют значительно больше возможностей для получения ШИМ сигнала. В следующей главе будет подробно рассказано о возможностях данных ЦСП. Сейчас выделим только два важных для нас параметра: максимальная частота коммутации и разрядность ШИМ-контроллера. Согласно [102] максимальная частота коммутации может достигать 5 МГц при разрядности 10.1 бит. Поэтому очевидны существенные преимущества данного класса микроконтроллеров. В последующих главах будет также показано влияние разрядности ШИМ на качество регулируемой переменной высокочастотного преобразователя, его выходного параметра — напряжения или тока. 12.5 Цифро-аналоговый преобразователь Цифро-аналоговый преобразователь (ЦАП, digital-to-analog-converter-DAC) предназначен для преобразования цифрового кода, как правило, двоичного в аналоговый сигнал. ЦАП образует интерфейс между микроконтроллером и аналоговым устройством. Роль ЦАП в построении смешанной или цифровой системы управления транзисторными преобразователями довольно ограничена. Действительно, если объектом какой-либо системы управления является непрерывное звено, воспринимающее аналоговый сигнал, необходимость в таком устройстве, как ЦАП, обычно существует. При управлении импульсным преобразователем, силовые транзисторы которого должны работать в режиме переключения, роль ЦАП принима-
О 20 Глава 12. Микропроцессоры и программируемая логика ет на себя ШИМ или, что реже, ЧИМ (частотно-импульсный модулятор). Тем не менее, некоторая область применения ЦАП в преобразователях остается, что и объясняет написание данного параграфа. Существуют относительно простые ЦАП общего применения и более сложные устройства, предназначенные для использования в системах аудио- и видеовоспроизведения . 12.5.1. Типы ЦАП Обобщая существующие типы ЦАП, можно перечислить следующие из них: — широтно-импульсный модулятор; стабильный источник напряжения или тока включается на время, пропорциональное преобразуемому входному коду, затем полученные импульсы поступают на аналоговый ФНЧ; — дельта-сигма ЦАП (ЦАП передискретизации); ЦАП с меньшей разрядностью с помощью передискретизации позволяет получить большую разрядность в итоге преобразования. Быстродействие дельта-сигма ЦАП достигает сотни тысяч отсчетов в секунду, а разрядность — до 24 бит. Увеличение частоты передискретизации приводит к понижению требований, предъявляемых к выходному ФНЧ и улучшению шумоподавления; — взвешивающий ЦАП; каждому биту двоичного кода соответствует свой источник тока (резистор), подключаемый к общей точке суммирования, а ток каждого источника пропорционален двоичному значению. Ненулевые биты кода, следовательно, суммируются в общем токе со своим весом. Взвешивающие ЦАП выполняются с разрядностью не более 8-ми бит. Вариацией этого типа ЦАП является цепная R-2R схема, не требующая набора большого числа различных источников тока; — сегментный ЦАП содержит один источник тока для каждого возможного значения выходного сигнала, например, 8-битный ЦАП содержит 255 сегментов. Для получения выходного сигнала достаточно замкнуть только один ключ, соответствующий входному коду; — гибридный ЦАП использует комбинацию методов, перечисленных выше, и большинство микросхем ЦАП выполняются как гибридные. 12.5.2. Характеристики ЦАП Для систем управления преобразователями наиболее важными представляются следующие характеристики: динамический диапазон — отношение наибольшего выходного сигнала к наименьшему; параметр выражается в децибелах и определяется разрядностью и шумом на выходе; разрядность — количество двоичных чисел, воспроизводимых данным ЦАП, или количество воспроизводимых уровней выходного сигнала. При задании этого параметра в битах (В) общее количество уровней равно 2В. Эффективная разрядность (Effective numbers of bits — ENOB) показывает реальную разрешающую способность (resolution), которую можно получить отданного ЦАП; быстродействие — время, затрачиваемое ЦАП для перехода выходного сигнала от одного состояния к другому.
12.5 Цифро-аналоговый преобразователь 12.5.3. Примеры применения ЦАП 1. ЦАП на основе ШИМ-сигнала от микроконтроллера. В преобразователях, работающих с принудительным охлаждением (от вентиляторов), требуется управлять режимом работы вентиляторов. Задача управления вентиляторами может формулироваться различным образом, например: — режим работы вентиляторов постоянный и не изменяется при возрастании температуры компонентов (радиаторов); — вентиляторы не включаются до определенной температуры на радиаторах (допустим до 35°С) или до определенного тока, поступающего в нагрузку; при превышении порога температуры или тока вентиляторы работают с максимальной скоростью; — вентиляторы после включения преобразователя работают в определенном (не максимальном) режиме, и их скорость линейно возрастает при возрастании температуры радиаторов. Могут быть и другие режимы, зависящие от конкретных условий эксплуатации преобразователя. На рис. 12.6 показана схема, реализующая следующий режим работы: при включении преобразователя вентиляторы начинают работать со скоростью, примерно равной половине номинальной; при достижении температуры радиаторов 50 °С скорость вентиляторов линейно возрастает с ростом температуры, достигая номинального значения при температуре радиаторов 75 °С. Такой режим является экономичным, позволяет эффективно отводить тепло в тяжелых режимах (например, при максимальной температуре окружающей среды) и в то же время эксплуатировать вентиляторы в максимально щадящем режиме работы. Кроме того, при неисправности вентиляторов или их неподключении должен формироваться предупреждающий сигнал. Рис. 12.6. Схема подключения вентиляторов, работающих от ШИМ-сигнала микроконтроллера
22 Глава 12. Микропроцессоры и программируемая логика Работа схемы на рис. 12.6 основана на непрерывном (не импульсном) режиме регулятора на транзисторах VT1 и VT2. Сигнал ШИМ от микроконтроллера поступает на шумоподавляюшую цепочку ЛЗ, О и затем на неинвертирующий вход ОУ. Сигнал ШИМ формируется в зависимости от температуры полупроводникового датчика, установленного на теплонапряженном радиаторе. До температуры радиатора 50 °С коэффициент заполнения импульсов ШИМ соответствует скорости вентиляторов, равной половине номинальной. Операционный усилитель преобразует импульсный сигнал на его входе в аналоговый на выходе благодаря действию интегрирующей емкости С1. Далее непрерывный сигнал с выхода ОУ управляет режимами работы транзистора VT2 и регулирующего К71. Уровень напряжения на выходе регулятора (напряжение на емкости С4) определяет напряжение на вентиляторах и их скорость. Делитель R\, R2 передает часть входного напряжения регулятора на инвертирующий вход ОУ, где оно сравнивается с задающим ШИМ-сигналом. Начиная с температуры радиатора 50 °С и выше коэффициент заполнения импульсов, формируемый микропроцессором, будет возрастать, что приведет к повышению напряжения на выходе регулятора и увеличению скорости вентиляторов. Импульсный сигнал в микроконтроллер о состоянии вентиляторов формируется на низкоомном сопротивлении R5 и передается через форсирующую емкость СЗ и сопротивление R4, смещающее уровень напряжения, поступающего в микроконтроллер. При остановке одного из вентиляторов или неисправности его подключения микроконтроллер формирует соответствующий сигнал защиты. 2. ЦАП на микросхеме DAC 7612U для формирования опорных сигналов В смешанной (аналого-цифровой) системе управления используется аналоговый ШИМ-контроллер, а микроконтроллер выполняет множество защитных функций, передачу информации по каналам связи и отображение текущего состояния преобразователя. Логично возложить на микроконтроллер еще одну функцию, как правило, не требующую быстрой реакции. Допустим, выходное напряжение DC-DC преобразователя должно изменяться в определенных пределах, например, с целью Рис. 12.7. Организация опорных сигналов по напряжению и току в смешанной системе управления с использованием ЦАП (микросхема DAC7612U)
12.5 Цифро-аналоговый преобразователь обеспечения режима заряда АБ при учете температуры окружающей среды. Кроме того, в зависимости от требований выходная характеристика должна иметь участок стабилизации выходного тока, а возможно, и участок постоянной мощности. Управление напряжением и током в смешанной системе выполняется по соответствующим аналоговым каналам системы управления, в которых должны быть использованы эталонные (опорные) сигналы, задающие как напряжение, так и ток на выходе. Уровни этих сигналов должны рассчитываться в микроконтроллере и передаваться в аналоговую часть системы управления. Передачу эталонных сигналов от микропроцессора легко выполнит ЦАП. Для нашего примера воспользуемся микросхемой ЦАП DAC7612U, производимой, например, компанией Burr-Broun Corp. На рис. 12.7 показана эта микросхема, подключенная своими выходами UOUTA и UOUTB к неинвертирующим входам двух усилителей рассогласования сигналов по напряжению (ОУ1) и току (ОУ2). Для питания микросхемы требуется 5В (VDD), а с целью уменьшения помех через цепи питания подключаются электролитический (4,7 мкФ) и керамический (0,1 мкФ) конденсаторы, располагаемые как можно ближе к выводам 6 и 7 микросхемы. Цепи R5, С\ и R6, С2 обеспечивают шумоподавление на входах усилителей рассогласования. Для пояснения назначения остальных выводов DAC12U, UB рассмотрим структурную схему микросхемы, показанную на рис. 12.8. Последовательный интерфейс включает в себя сигналы: CLK— тактовая частота (Clock), SDI- вход данных в последовательном коде (Serial Data In), CS— выбор элемента памяти (Chip Select). Загрузка регистров А, В (Load DACs) производится по сигналу LOADDACS. Последовательный интерфейс совместим с большим числом выпускаемых микроконтроллеров и ЦСП. При низком уровне сигнала CS (LOW active) через Рис. 12.8. Структурная схема ЦАП 7612 U, UB
24 Глава 12. Микропроцессоры и программируемая логика ячейку И поступает сигнал CLK на 14-битный последовательный регистр, куда одновременно направляется от микропроцессора сигнал SDI, несущий в цифровом виде информацию об опорном сигнале для канала напряжения или тока. Запись информации из 14-битного регистра в регистр А или регистр В в параллельном коде может выполняться только при низком уровне сигнала LOADD ACS. Куда именно будет переписываться информация из 14-битного регистра — в регистр А или регистр В, — определяется двумя первыми битами посылки 52)7 (биты А\ и АО), которые указывают адрес соответствующего регистра. При состоянии Л1-#(высокий уровень) и A0-L (низкий уровень) запись производится в регистр А, а при А1-Ьи /40-Я — в регистр В. 12-битный преобразователь А(В) выполнен по резисторной цепной схеме R-2R, являющейся улучшенной разновидностью взвешивающего ЦАП. Сопротивления резисторов цепной схемы подгоняются лазером, а их переключение производится TV-канальными полевыми транзисторами (MOSFET). Выход каждого ЦАП подключен к выходному усилителю своего канала. В свою очередь выходной усилитель выполнен на основе Р- и N-канальных полевых транзисторов. Быстродействие ЦАП составляет 7 мкс (это время установления). Внутренний источник питания (Ref) на стабилитроне, использующем эффект запрещенной зоны, имеет выходное напряжение 2,435 В. Это напряжение после усиления буферным усилителем до уровня 4,095 В образует источник питания ЦАП каждого канала. 12.6. Программируемые логические интегральные схемы (ПЛИС) Первые программируемые логические устройства создавались на основе технологии биполярных программируемых ПЗУ с дополнительными логическими возможностями и свойствами [22]. Компания Signetics выпустила в 1972 году биполярную микросхему программируемой логической матрицы типа 82S100. Совершенствование архитектуры привело к созданию фирмой Monolithic Memories Inc. (MMI) в 1975-1976 годах микросхем программируемой матричной логики (PAL), монтируемых в 20- и 24-выводные корпуса и способных заменить до 20 имевшихся в продаже логических вентилей. Под вентилем здесь и далее подразумевается логическая ячейка «ИЛИ», «И-НЕ» и т.д. Одновременно с PAL-микросхемами компания MMI предложила и простой язык программирования — PALASM, позволивший достаточно легко преобразовывать логические уравнения в битовую конфигурацию. Разработки MMI легли в основу современной технологии, пригодной для масштабирования элементов ПЛИС и увеличения их быстродействия. Выпущенная в 1979 году компанией Advanced Micro Devices (AMD) микросхема типа 22V10, в которой использовалось встроенное СППЗУ с программируемыми ультрафиолетовым (УФ) — излучением ячейками памяти, на протяжении нескольких лет воспроизводилась многими поставщиками ПЛИС. В 1984 году компания Altera выпустила первую микросхему CPLD (complex programmable logic device — сложное программируемое логическое устройство) на основе УФ - программируемого СППЗУ, содержащую 300 вентилей. В 1985 году компания Xilinx предложила хранить конфигурационные данные в ячейках СОЗУ(статическое оперативное запоминающее устройство), что позволило изменять логику корректировкой данных, записанных в ячейки памяти. Микросхема ПЛИС типа ХС2010 этой компании, содержавшая около 2 тыс. вентилей, положи-
12.6. Программируемые логические интегральные схемы (ПЛИС) ла начало широко распространенным сегодня FPGA. Но и FPGA на основе СОЗУ - не единственные конфигурируемые устройства, предлагаемые разработчиками. Во второй половине 90-х годов компания Gatefield создала FPGA на базе флэш- технологии. И уже сегодня логическая емкость микросхем ПЛИС с конфигурационной флэш-памятью превышает 1 млн вентилей. К классу программируемых логических приборов относятся и сложные ПЛИС (CPLD), и программируемые пользователем базовые матричные кристаллы FPGA (field — programmable gate array — программируемая ультрафиолетовым излучением логическая матрица), имеющих больше логических элементов и более гибкую архитектуру, чем CLPD. Интегрировать в ПЛИС можно только ШИМ, а также арифметическое устройство для обеспечения алгоритма управления. При этом необходимо использование внешних АЦП, не говоря уже о периферии различных интерфейсов. Тем не менее, применение ПЛИС может оказаться достаточно недорогим и эффективным решением в системах управления сложными ключевыми структурами, где контур обратной связи выполняется традиционно с применением операционных усилителей. Пример применения ПЛИС для управления преобразователем. В данном примере рассматривается применение ПЛИС (PLD) EPM3032A компании ALTERA в системе управления первой ступенью преобразователя, регулирующего уровень входного тока. Преобразователь работает от входного напряжения постоянного тока. ПЛИС ЕРМ3032А является наиболее простой микросхемой семейства МАХЗОООА, имеющей наименьшее число входов, макроячеек (macrocells), блоков логики и количества выводов. Малое время задержки (несколько наносекунд), высокая частота счетчика (227,3 МГц для ЕРМ3032А), возможность расширения числа выводов при работе с микроконтроллерами являются теми достоинствами, которые являются привлекательными для разработчиков. В рассматриваемом примере ПЛИС взаимодействует с микроконтроллером ATMEGA128 и широко известным аналоговым ШИМ-контроллером UC2843A (рис. 12.9). Задача системы управления — обеспечить переключение силовых транзисторов первой ступени, что происходит в двух режимах — пусковом и рабочем. Для переключения режимов от микроконтроллера (DD1) в ПЛИС (DD2) поступают импульсы (от 9-го и 20-го выводов микроконтроллера во 2-й и 3-й выводы ПЛИС). Выходы ПЛИС (I/O), управляющие силовыми транзисторами на рис. 12.9, обозначены как SWI...SW4 и SWCL. Основная проблема при взаимодействии ПЛИС и ШИМ-контроллера возникает при работе в нормальном режиме (более подробно о работе данной схемы в пусковом и нормальном режимах рассказывается в главе 18). Она заключается в том, что ШИМ-контроллер UC2843A имеет задержку фронта выходных импульсов, изменяющихся по длительности, на некоторое время от момента тактирующих импульсов, поступающих в микросхему и определяющих начало синхронизации. По этой причине микросхема ПЛИС не может взаимодействовать с ШИМ- контроллером и вырабатывать свои выходные импульсы, управляющие силовыми транзисторами. Поэтому был принят следующий алгоритм работы рассматриваемой схемы управления. От микроконтроллера (выводы 15-CPU_in и 17-CPU_in_W) в ПЛИС (выводы 40 и 37) поступают с заданной частотой тактовые импульсы ТИ1 и ТИ2 (рис. 12.10). Оба импульса синхронизированы по фронту и имеют достаточно малые длительности, указанные на рисунке (две верхние диаграммы). В ПЛИС оба импульса складываются по схеме исключающего ИЛИ, их частота делится на два, и
26 Глава 12. Микропроцессоры и программируемая логика в результате уже ПЛИС формирует свой тактовый импульс ТИП. Далее импульсы ТИП (вывод 5-UC_out ПЛИС) поступают в ШИМ-контроллер (вывод 4 RT/CT микросхемы и затвор транзистора VT2), образуя синхроимпульсы микросхемы U2843A. В результате действия синхроимпульса транзистор VT2 на короткое время открывается и заряженный от источника тока на транзисторе К71 конденсатор С1 быстро разряжается. От момента синхронизации и начала формирования пилообразного напряжения на конденсаторе С7 и выводе 3 (ISENSE) ШИМ-контроллера (конденсатор С8 имеет емкость несколько десятков пикофарад и является шумо- подавляющим) с некоторой задержкой появляется выходной импульс ШИМ- Рис. 12.9. Микроконтроллер (DD1), ПЛИС (DD2) и аналоговый ШИМ-контроллер в системе управления первой ступенью преобразователя
12.6. Программируемые логические интегральные схемы (ПЛИС) контроллера (вывод 6 — OUT). Длительность этого импульса определяется уровнем сигнала I_REF, который действует на неинверти- рующем входе усилителя рассогласования DA2. На другой вход этого усилителя поступает сигнал от дифференциального (масштабирующего) усилителя (на рис. 12.9 не показанного), который производит измерение сигнала от датчика входного тока преобразователя. Необходимые цепи коррекции выполнены в цепях обратной связи усилителя 7X42 (элементы С13, С14и Л19) и усилителя ШИМ-кон- троллера (элементы СП, R\4, R\5). Длительность выходного импульса ШИМ-контроллера может быть различной, а в переходных режимах ^ иж временные диахраммы импульсов, фор- даже нулевой. Тем не менее, во всех МИруемых в ПЛИС режимах — при коротких импульсах на выходе OUT или даже при отсутствии их — синхронизация работы ПЛИС должна сохраняться, а на выходах ПЛИС SWI...SWA и SWCL должны формироваться импульсы управления силовыми ключами, длительность которых определяется длительностью импульсов на выходе OUT ШИМ-контроллера. Поэтому для формирования выходных сигналов ПЛИС, управляющих силовыми транзисторами, в эту микросхему от ШИМ-контроллера поступают импульсы с выхода OUT Эти импульсы, снимаемые со стока транзистора VTi для согласования уровней напряжений, поступают на вывод 6 UC_In микросхемы ПЛИС 7)7)2. После инверсии, выполненной внутри ПЛИС, выходные импульсы ШИМ-контроллера будут иметь вид, показанный на четвертой диаграмме рис. 12.10. Эта диаграмма соответствует «короткому» импульсу, когда длительность на выходе OUT мала (как уже говорилось, эта длительность может быть и нулевой). На рисунке показано время задержки /3 выходного импульса ШИМ-контроллера. Теперь в ПЛИС производится операция ИЛИ над импульсами ТИП и выходным сигналом ШИМ. Результат показан на следующей (5-й) диаграмме. Если сигнал с выхода ШИМ-контроллера достаточно продолжительный, как показано на 6-й диаграмме, после операции ИЛИ результирующий сигнал принимает вид, показанный на 7-й диаграмме. После получения в ПЛИС сигналов, соответствующих определенной длительности выходных импульсов ШИМ-контроллера (диаграмма 5 или 7), в этой же микросхеме происходит их логическая обработка; в результате на выходах ПЛИС получаются сигналы, управляющие драйверами силовых транзисторов (SWI...SW4 и SWCL). Показанные на рис. 12.9 выводы ПЛИС, названные TMS, 77)1, TDOn TCK, необходимы для программирования по интерфейсу JTAG. Подробнее о данной схеме управления с использованием ПЛИС и микроконтроллера говорится в гл. 18.
ГЛАВА 13 ОСНОВНЫЕ СВЕДЕНИЯ О СИГНАЛЬНЫХ ПРОЦЕССОРАХ TEXAS INSTRUMENTS TMS320F280x Ранее уже рассматривались основные аппаратные средства цифрового управления, среди которых было уделено внимание и цифровым сигнальным процессорам. На сегодняшний день на рынке есть несколько компаний, предлагающих эффективные и недорогие решения для цифрового управления преобразователями. Одно из таких решений представляют ЦСП Texas Instruments TMS320F280x. В данной главе уделяется внимание особенностям работы с данными процессорами, в частности, с аналогово-цифровым преобразователем, ШИМ-контроллером, а также рассматриваются программные аспекты реализации. 13.1. Общая структура сигнальных процессоров Texas Instruments TMS320f280x Функциональный состав семейства процессоров представлен на рис. 13.1 [103]. Как видно из схемы, процессоры состоят из 100 МГц ядра С28х Core, модуля управления процессором System Control, модуля периферии и управления внешними прерываниями External Interrupt Control, модуля расширения прерываний периферии PIE, трех 32-битных таймеров ядра CPU Timers, 12-битного АЦП, двух блоков МО и Ml памяти одинарного доступа (SARAM), дополнительных двух блоков L0 и L1 одинарного доступа (SARAM) с возможностью защиты доступа, памяти программ типа FLASH, программируемой на заводе памяти ПЗУ (ОТР) и загрузочной ПЗУ памяти BootROM, шин памяти и периферийных устройств. Ядро характеризуется оптимизацией для разработки приложений с использованием языков высокого уровня, таких как C/C++. При этом производитель дает возможность использовать C/C++ не только для решения второстепенных задач, но и для математических алгоритмов. 32х32-битовые возможности MAC операций С28х позволяют эффективно оперировать с числами большого разрешения, которые традиционно обеспечиваются только с помощью дорогих процессоров с плавающей точкой. Ядро выполняет быстрый запуск обработки прерываний с автоматическим контекстным сохранением содержания критических регистров ядра (8 циклов процессора). С28х имеет защищенный конвейер 8-уровневой глубины с конвейерным доступом к памяти. Фактически это позволяет работать на больших скоростях с данными и выполнять большинство операций за один такт. Специальные аппаратные механизмы («branch-look-ahead» и условные операции сохранения) позволяют работать с условными операциями быстрее традиционных сигнальных процессоров (инструкция MOV (перенос) с условием выполняется за один такт). ЦСП имеет соответствующие шины для обмена данными между памятью и периферийным устройствами и CPU. Архитектура шин памяти С28х содержит шину чтения программ и шины чтения/записи данных. Шина чтения программ состоит из 22 строк адреса и 32 строк данных. Шины чтения/записи данных со-
13.1. Общая структура сигнальных процессоров Texas Instruments TMS320f280x Рис. 13.1. Функциональный состав процессоров TMS320F280x стоят из 32 строк адреса и 32 строк данных каждая. 32-битовые шины данных адаптированы для 32-битовых операций, выполняемых за один цикл. Шины организованы по Гарвардской архитектуре, позволяющей выбирать инструкцию, считывать данные и записывать их за один цикл CPU. Все периферийные устройства и память имеют доступ к шинам в соответствии с приоритетом доступа к памяти: Самый высокий приоритет- > Запись данных (одновременная запись данных и программ невозможна). Запись программ. Чтение данных. Чтение программ (одновременное чтение программ и выборка невозможна). < -Самый низкий: Выборка.
30 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x С целью обеспечения совместимости различных ЦСП между собой по программному обеспечению 280х процессоры имеют стандарт шины для периферийной взаимосвязи. Периферийный мост шины мультиплексирует различные шины, что дает возможность объединить различные шины в одну, состоящую из 16 строк адреса и 16 или 32 строк данных, связанных с соответствующими управляющими сигналами. ЦСП 280х имеет два типа периферийной шины: первая поддерживает 16-битный доступ (периферийный фрейм 2), вторая поддерживает как 16- так и 32-битный доступ (периферийный фрейм 1). Все процессоры семейства 280х содержат два блока ОЗУ памяти одинарного доступа МО и М1, каждый размером 1 К 16-битных слов. Оба блока имеют как пространство данных, так и пространство программ. Разбиение памяти на область программ и область данных обеспечивается линкером среды разработки. Загрузочная ПЗУ память Boot ROM программируется производителем и содержит код загрузчика для программирования памяти процессора (загрузки кода) с использованием различных способов загрузки. Кроме того, ПЗУ содержит различные таблицы, например таблицы тригонометрических функций. Другим интересным для применения в системах управления блоком основной структуры процессора является блок расширения прерываний периферии (PIE block). Блок поддерживает до 96 прерываний, из них 43 — по периферийным устройствам. Все прерывания сгруппированы в блоки (до 8 блоков). Каждый блок демультиплексируется на 12 линий прерываний ядра процессора. Вектор прерывания автоматически передается ядру для обработки источника прерывания. Этот процесс, а также сохранение критических регистров ядра занимает 8 циклов ядра. Приоритет прерываний контролируется как аппаратно, так и программно. 13.2. Среда разработки программного обеспечения Code Composer Studio Для разработки программного обеспечения применительно к данному типу ЦСП компания Texas Instruments предлагает использовать среду разработки Code Composer Studio (CCS). Мы рассмотрим только несколько основных вопросов работы с этой средой на примере версии Code Composer Studio 3.3. Среда позволяет вести разработку смешанных исходных кодов программного обеспечения на языках высокого уровня высокого уровня (C/C++, ассемблер) для данного класса процессоров. Кроме того, она позволяет отлаживать программное обеспечение с помощью симулятора (Debugger—встроенная в Code Composer Studio среда) или внешнего эмулятора (аппаратно-программная система, позволяющая отлаживать программу, загрузив ее в ЦСП). При эмуляции с помощью персонального компьютера имитируется функционирование всех узлов ЦСП. На рис. 13.2 показано основное окно среды разработки. Возможно несколько путей разработки программного обеспечения, начиная от полного цикла самостоятельной разработки исходных кодов описания периферийных устройств и ядра и заканчивая применением системы RTOS (Real-Time Operating System) — операционной системы реального времени для ЦСП. По мнению авторов, для удобства построения системы управления преобразователем еле-
13.2. Среда разработки программного обеспечения Code Composer Studio 3i3 Рис. 13.2. Основное окно среды разработки программного обеспечения Code Composer Studio дует использовать стандартные файлы описания периферии, предоставляемые Texas Instruments, с настройкой их на выбранную структуру управления. На рис. 13.2 файлы работы с периферией имеют имена DSP280x...с, помещаемые в папку «Source» (часть рис. 13.2 слева), а файлы инициализации (header файлы) — DSP280x...c. Последние размещены в папке «Include», не развернутой на рис. 13.2. Распределение памяти процессора обеспечивается с помощью командных файлов среды, имеющих расширение xmd. Они используются компилятором для формирования карты памяти данного процессора. Например, для процессора TMS320F2801 карта памяти показана на рис. 13.3. В соответствии с картой памяти создается командный файл, возможный листинг которого приведен ниже. MEMORY page 0: /*Program Memory*/ RAML0_RAMF: origin = 0x008000, length = 0x000300 OTP: origin = 0x3D7800, length = 0x000400 /*on-chip OTP*/ FLASHD : origin = 0x3F4000, length = 0x001000 /*on-chip FLASH*/ FLASHC : origin = 0x3F5000, length = 0x001000 /*on-chip FLASH */ FLASHB : origin = 0x3F6000, length = 0x001000 /*on-chip FLASH */ FLASHA: origin = 0x3F7000, length = OxOOOF80 /*on-chip FLASH */ CMS_RSVD : origin = 0x3F7F80, length = 0x000076 /*Part of FLASHA /* Part of FLASHA. Used for «boot to Flash» bootloader mode. /* BEGIN : origin = 0x3F7FF6, length = 0x000002 /* Part of FLASHA. CSM password locations in FLASHA*/ CSM_PWL :origin = 0x3F7FF8, length = 0x000008 ROM :origin = 0x3FF000, length = OxOOOFCO /*Boot ROM*/ RESET :origin = 0x3FFFC0, length = 0x000002 /* Part of boot ROM*/
32 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x Рис. 13.3. Карта памяти процессора TMS320F2801
13.2. Среда разработки программного обеспечения Code Composer Studio /* Part of boot ROM*/ VECTORS .origin = 0x3FFFC2, length = ОхООООЗЕ PAGE 1: /*Data Memory*/ RAM MO :origin = 0x000000, length = 0x000400 /*on-chip RAM block M0*/ RAMM1 :origin = 0x000400, length = 0x000400 /¦on-chip RAM block Ml*/ RAML0_DATA :origin = 0x008300, length = 0x000E00 /*LO RAM for data*/ } SECTIONS { /*Allocate program areas:*/ .cinit :> FLASH В PAGE = 0 .pinit: > FLASHB, PAGE = 0 .text: > FLASHB PAGE = 0 codestart: > BEGIN PAGE = 0 ramfuncs :LOAD = FLASHD, RUN = RAML0_RAMF, LOAD_START(_RamfuncsLoadStart), LOAD_END(_RamfuncsLoadEnd), RUN_START(_RamfuncsRunStart), PAGE = 0 csmpasswdrs : > CSM_PWL PAGE = 0 cms_rsvd : > CSM_PSVD PAGE = 0 /* Allocate initialized data sections:*/ .stack : > RAM M0 PAGE = 1 .ebbs:>RAMMl PAGE=1 .esysmem : > RAMM1 PAGE = 1 /¦Initialized sections go in Flash*/ .econst: > FLASHA PAGE = 0 .switch : > FLASHA PAGE = 0 /*Allocate IQ math areas:*/ IQmath : > FLASHA PAGE = 0 /*Math Code*/ /*Math Tables In ROM*/ IQmath Tables : > ROM PAGE = 0, TYPE = NOLOAD /*Other sections*/ CNTL_coeff: > FLASHC PAGE = 0 Asm_dataLM : > RAMM1 PAGE = 1 Asm_dataHM : > RAML0_DATA PAGE = l } Файл состоит из двух разделов: MEMORY — распределение памяти — страницы PAGE0, PAGE1 и SECTIONS — раздел описания секций. Страница PAGE0 отводит память для программы, a PAGE1 — для данных. Раздел MEMORY практически повторяет карту памяти процессора с необходимыми дополнительными определениями и разделением разделов на более мелкие. Например, FLASH память разде-
34 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F28Qx лена на 4 части: А, В, С, D. Как правило это необходимо для удобства, например, часть D может использоваться только для хранения констант или таблиц. В листинге origin — начальный адрес некоторой области, например, RAML0_ RAMLF; length — размер отведенной области (число адресов памяти). Раздел SECTIONS с помощью предопределенных указаний описывает принцип размещения данных в разделах. Например, директивой .text сообщает линкеру, что текст исходной программы будет храниться в FLASHB. Линкер (Linker) — компоновщик, редактор связей — программа, выполняющая компоновку — сборку исполняемого модуля из объектных. Одним из удобных средств CCS является возможность построения графиков с помощью графического окна (Graphical Display), рис. 13.4. Этих окон может быть несколько, что дает возможность анализировать изменение нескольких переменных, в том числе и во времени (например, от цикла к циклу работы программы). Таким образом, можно анализировать, например, реакцию ПИД-регулятора, при этом входным сигналом может быть массив данных из внешнего файла. На верхней панели находится закладка Profiler, позволяющая оценить задействованные ресурсы процессора. Следует отметить существенный момент для разработки программного обеспечения. Выше было показано, что оперативная память процессора содержит как данные, так и программу. При работе с ЦСП важно правильно этим воспользоваться. Первые ЦСП не имели программируемой памяти типа FLASH, что обусловлено временем доступа к данному типу памяти. Для выполнения быстрых методов обработки сигналов это время может оказаться критичным, поэтому программа отлаживалась при работе с ОЗУ процессора, а затем на заводе-изготовителе отлаженный код записывался в ПЗУ (ROM). Теперь же разработчику предоставляется возможность хранить программу во FLASH. При необходимости выполнения быстрых процессов часть кода на ассемблере копируется после инициализации процессора в ОЗУ (инициализация — на- Рис. 13.4. Окно графического симулятора
13.2. Среда разработки программного обеспечения Code Composer Studio чальная настройка). Тем самым сокращается до минимума время доступа к исполнительному коду. Так, для нашего примера для этих целей резервируется часть ОЗУ (L0), а код копируется из FLASHD: ramfuncs :LOAD = FLASHD, /*область хранения программы на FLASH*/ RUN = RAMLO_RAMF, /*область хранения и исполнения кода в ОЗУ*/ LOAD_START (_RamfiincsLoadStart), /*начальный адрес области FLASH*/ LOAD_END (_RamfuncsLoadEnd), /*конечный адрес области FLASH*/ RUN_START (_RamfiincsRunStart), /*начальный адрес области ОЗУ*/ PAGE = О При построении программного обеспечения смешанного типа необходимо разделить все процессы на медленные и быстрые, в свою очередь, быстрые процессы различаются на выполняемые из ОЗУ и выполняемые из FLASH. Например, контроль температуры окружающей среды или теплоотвода следует считать медленным процессом, поэтому его лучше записать на языке С в виде подпрограммы, а код разместить во FLASH: void temp_protect() { if (DCDC.tamb_f > = Max_Tempamb) //условие: температура больше { // или равназаданной максимальной rect_ofif(); //выключение выпрямителя rect_st.flags.bit.rect_alarm = 1; //бит rect_alarm переменной //flags получает значение 1 GpioDataRegs.GPASET.bit.GPI07 = 1; //установка порта //ввода/вывода 7 } //в единицу; GpioDataRegs — название стандартной структуры С учетом изложенного подхода программное обеспечение состоит из основной программы, написанной на языке С и содержащей описания периферии, необходимый набор быстрых и медленных подпрограмм. Ниже показана основная программа с С-подпрограммами, инициализацией и подпрограммой медленного процесса: #include «DSP280x_Device.h» //DSP280x Headerfile #include «DSP280x_Examples.h» #include «SFO.h» #include «other, h» #include «other.c» // void main(void) { int i; //глобальные переменные InitSysCtrl(); //инициализация модуля управления //процессором System Control
36 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x InitGpio(); //инициализация портов ввода/вывода DINT; //запрет прерываний InitPieCtrl(); //инициализация прерываний IER = 0x0000; //обнуление регистра разрешения прерываний IFR = 0x0000; //обнуление регистра флагов прерываний InitPieVectTable(); //инициализация таблицы векторов прерываний EALLOW; PieVectTable.EPWMl_INT = &ISR_Run; //инициализация процедуры // обработки прерываний EDIS; //копирование кода в ОЗУ MemCopy(&RamfuncsLoadStart, &RamfuncsLoadEnd, &RamfuncsRunStart); InitCpuTimers(); //инициализация ЦПУ таймера ConfigCpuTimers(&CpuTimerO, 100, 1000); InitAdc(); //инициализация АЦП ISR Init(); ADC_connects(); Net_connects(); InitEPwm(); //инициализация ШИМ InitEPwmlGpioO; InitTzGpio(); InitFlash(); //инициализация FLASH for(;;) //цикл основной программы { temp_protect(); //пример "медленной" подпрограммы } } #include «DSP280x_Defaultlsr.c» Директива #include в программе содержит имя файла, что позволяет включать его уже в данный файл. Как отмечалось, одним из способов построения оптимального с точки зрения производительности процессора программного обеспечения является выделение быстрых процессов и реализация их на ассемблере с помощью инструкций процессора. Мы не можем подробно останавливаться на структуре программы, командах и инструкциях для данного типа процессоров. Отметим только некоторые особенности программного обеспечения. Одним из сложных этапов при разработке ассемблерного кода для сигнальных процессоров является работа с памятью и данными [101]. Для ЦСП TMS320C28x характерны четыре типа адресации при работе с данными: — прямая адресация; — адресация через стек; — косвенная адресация; — регистровая адресация. В режиме прямой адресации 16-битный регистр DP (data page pointer) — регистр указателя страниц — содержит информацию об адресе страницы памяти, в которой
13.2. Среда разработки программного обеспечения Code Composer Studio 33 находятся данные. Каждая страница представляет собой массив данных 64x16 бит. В свою очередь инструкции процессора поддерживают 6- или 7-битный сдвиг, соответствующий переходу внутри одной страницы памяти. Рассмотрим пример. При инициализации процессора резервируются области данных: A: .usect"Asm_dataLM",2; - непредопределенная переменная 32 бита, ; помещается в область памяти Asm_dataLM. В: .usect"Asm_dataLM",2; - непредопределенная переменная 32 бита, ; помещается в область памяти Asm_dataLM. С: .usect"Asm_dataLM",2; - непредопределенная переменная 32 бита, ; помещается в область памяти Asm_dataLM. Физическая память процессора — 16-битная. Поэтому 32-битная переменная требует двух ячеек памяти, что указывается в программе цифрой 2. Перед цифрой проставлена запятая, что требуется форматом записи. Для 16-битной переменной будет проставлена 1. Области резервируются последовательно. Например, если текущая страница памяти 0x0015 (в 10-ричной системе это 21-я страница), началом страницы будет число (в 10-ричной системе): 64x21 = 1344. В карте памяти (физическая область RAMM1) начало страницы соответствует адресу 0x0540 (16-ричная система). Для переменной Л резервируются адреса 0x0540 и 0x0541, поскольку для переменной отводится 32 бита. Тогда число В займет адреса 0x0542 и 0x0543, а число С- 0x0544 и 0x0545. Для записи, например, константы 444 в данные области необходимо выполнить следующие инструкции: MOVL XAR2, #444 ; операция переноса 32-битного числа ; в дополнительный регистр 2 общего назначения MOVW DA, #А ; в регистр указателя страницы записывается адрес ; страницы одной из переменных (А) MOVL @A, XAR2 ; запись 32-битной переменной MOVL @B, XAR2 MOVL @C, XAR2 Режим адресации через стек. 16-битный регистр указателя вершины стека (SP — Stack Pointer) используется для доступа информации через программный стек. Шесть битов указывают текущее положение вершины стека. Указатель увеличивается после помещения в стек значения и уменьшается при извлечении значения из стека. Ниже показан пример суммирования 16-битных данных с числом, находящимся в нижней части аккумулятора (AL область, биты 0... 15). Верхняя часть (АН, биты 16...31). ADD AL,*-SP[5]; ADD — операция суммирования в Ассемблере; ; * — признак косвенной адресации; ; SP[5] — пятый элемент массива стека. В режиме косвенной адресации используются дополнительные регистры процессора XAR0...XAR7. В этом режиме 32-битные регистры XARn являются общими указателями на данные памяти. Инструкции могут напрямую производить пост-инкрементирование или пре-/пост- декрементирование или указывать на текущее содержимое регистра с 3-битным смещением, а также работать непо-
38 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x средственно с данными других 16-битных регистров. Это один из мощных способов разработки макросов цифровых фильтров, сверток и регуляторов. Рассмотрим пример: MOVL XAR2,#BUFF ; запись в регистр XAR2 адреса 1-го элемента ; массива BUFF, состоящего из 9 элементов; ; массива BUFF определен в программе заранее RPT #9 ; RPT - повторить 9 раз инструкцию, ; записанную ниже || MOV *XAR2++ , #0 ; обнуление элементов массива, при этом после записи нуля в очередной элемент инструкция инкрементирует (операция пост — инкремент) адрес, находящийся в регистре XAR2 В режиме регистровой адресации другой регистр может быть исходным или операндом расположения доступа. Это обеспечивает операции регистр-регистр в архитектуре С28х. Пример: MOVL XAR6,@ACC ; Запись в XAR6 содержимого аккумулятора MOVL @АСС,ХТ ; Загрузка в аккумулятор содержимого XT регистра Необходимо также подчеркнуть следующее. Несмотря на то, что С28х является 32- битным поколением, память имеет 16-битовую организацию и все инструкции разделены на работу с 16- и 32-битными данными. Это необходимо учитывать, так как одной из самых распространенных ошибок является некорректная работа с памятью процессора. Это далеко не полный и достаточно краткий обзор как возможностей среды разработки для данного класса цифровых сигнальных процессоров, так и общих принципов разработки программного обеспечения. 13.3. Структура программного обеспечения при проектировании системы управления Как уже отмечалось, при проектировании систем управления с использованием ЦСП целесообразно разделить все процессы, происходящие в преобразователе, на быстрые и медленные и использовать ассемблер для реализации быстрых процессов. Какие же процессы необходимо отнести к разряду быстрых при построении системы управления? В общем случае, к быстрым процессам управления относятся: — измерение параметров преобразователя, участвующих в формировании обратных связей, то есть работа драйвера АЦП; — первичная обработка измеряемых сигналов, например, реализация механизма выборки с запасом по частоте, предварительная фильтрация и т.д.; — работа цифровых фильтров и регуляторов; — формирование цепей обратной связи (алгоритмическое смешивание выходных сигналов контуров обратных связей, формирование опорных напряжений); — формирование выходных управляющих импульсов, то есть работа драйвера широтно-импульсной модуляции; — различного рода блокировки и защиты. Такой широкий круг задач, решаемых только на основе инструкций данного типа процессора, представляет собой сложную проблему, которая часто оказывается тормозящим фактором при использовании ЦСП. Однако разработчики про-
13.3. Структура программного обеспечения при проектировании системы управления граммных средств ЦСП предлагают достаточно простой и наглядный подход, учитывающий его специфику [72]. При таком подходе все алгоритмы управления разделяются на блоки, которые реализуются с помощью макросов, записанных в ассемблерном коде. Макрос в ассемблере — это часть программного кода, который при компиляции может использоваться несколько раз, при этом возможно применение параметров. Рассмотрим примеры. Пусть требуется смешать два сигнала в формате Q15 по ИЛИ с выбором меньшего значения. Данная задача применительно к преобразователю может использоваться, например, при смешивании сигнала рассогласования по выходному напряжению и токового сигнала. Алгоритм решения предельно простой: если вход1 < входа2, то выход = входу 1, иначе выход = входу2. В нашей задаче макрос будет следующим: SUM_OS.macro n MOVW DP, #_SUM_OS_inl:n: MOVL XAR2,@_SUM_OS_inl:n: указатель на ей MOVL XAR3,@_SUM_OS_in2:n: ;указатель на ei MOVL XAR4,@_SUM_OS_out:n: указатель на ео MOV AL,*XAR2 ;AL = eu MOVAH,*XAR3;AH = ei MIN AL,@AH ; ei > eu, AL = eu иначеАЬ = ei MOV*XAR4,AL .endm Макрос состоит из двух основных частей: инструкций, записанных на ассемблере: MOVAL,*XAR2;AL = eu MOVAH,*XAR3;AH = ei MIN AL,@AH ; ei > eu, AL = eu HHaneAL = ei MOV*XAR4,AL и подключения макроса: MOVW DP, #_SUM_OS_inl:n: MOVL XAR2,@_SUM_OS_inl:n: указатель на eu MOVL XAR3,@_SUM_OS_in2:n: ;указатель на ei MOVL XAR4,@_SUM_OS_out:n: ;указатель на ео Остановимся на последней части подробней, так как она является ключевой при реализации блочного построения программного обеспечения. Как было показано ранее, одним из способов работы с памятью является косвенная адресация. В регистры процессора XARn записывается адрес ячейки памяти, в которой находятся необходимые данные, таким образом все дальнейшие манипуляции производятся с помощью указателей. Инструкцией MOVW DP, #_SUM_OS_inl:n: определяется страница памяти, где находятся все данные, используемые в макросе, а инструкциями MOVL XARn,@M в регистры записываются адреса. Запись :п: является параметром макроса, который позволяет его использовать многократно и фактически определяет уникальные имена указателей на ячейки памяти. При инициализации процессора необходимо зарезервировать в памяти используемые ячейки памяти:
40 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x _SUM_OS_inl_l .usect «Asm_dataLM»,2,l,l 6xl6bit; раздел памяти с именем «Asm_dataLM» _SUM_OS_in2_l .usect "Asm_dataLM",2 _SUM_OS_out_l .usect "Asm_dataLM",2 .def_SUM_OS_inl_l .def_SUM_OS_in2_l .def_SUM_OS_out_l Последние три строки содержат директиву .def, которая определяет символы _SUM_OS_inl_l, _SUM_OS_in2_l, _SUM_OS_out_l для других программных модулей, в том числе и для записанных на C/C++. Далее мы можем подключить переменные, на которые непосредственно будут указывать _SUM_OS_inl_l, _SUM_OS_in2_l, _SUM_OS_out_l. Подключение удобно выполнить с помощью кода С/С + + : extern intl6*SUM_OS_inl_l; extern intl6*SUM_OS_in2_l; extern intl6*SUM_OS_out_l; void Net_connects() { SUMOSinll = &A; символ & означает, что указатель относится к переменной А SUM_OS_in2_l = &В; SUM_OS_out_l = &С; } Чтобы запустить макрос, в ассемблер — программе необходимо обратиться к нему с параметром, указывающим непосредственно на используемые переменные, то есть: SUM_OS_l Таким образом, код может состоять из множества блоков, которые могут повторяться с различными параметрами. При этом разработчик «подключает» входы/выходы блоков к переменным. Процесс похож на формирование структурной схемы. В нашем случае блок можно представить в виде схемного элемента (рис. Рис. 13.5. Блок макроса смешивания 13.5). двух сигналов Теперь рассмотрим следующий пример: пусть необходимо смешать сигнал на выходе блока SUM_OS 1 с третьим сигналом, который соответствует переменной D. Структурно алгоритм представляется в виде схемы, показанной на рис. 13.6. Необходимо провести следующую последовательность инициализации перед основным циклом программы: -зарезервировать в памяти используемые ячейки памяти: _SUM_OS_inl_l usect"Asm_dataLM",2,l,l ; 12xl6bit _SUM_OS_in2_l usect "Asm_dataLM",2 _SUM_OS_out_l usect "Asm_dataLM",2 .def_SUM_OS_inl_l .def_SUM_OS_in2_l .def_SUM_OS_out_l
13.3. Структура программного обеспечения <%л^ при проектировании системы управления Рис. 13.6. Соединение двух блоков макроса смешивания двух сигналов _SUM_OS_inl_l usect «Asm_dataLM»,2 _SUM_OS_in2_2 usect "Asm_dataLM",2 _SUM_OS_out_2 usect "Asm_dataLM",2 .def_SUM_OS_inl_2 .def_SUM_OS_in2_2 .def SUM OS out 2 -подключить переменные extern intl6*SUM_OS_inl_l; extern intl6*SUM_OS_in2_l ; extern intl6*SUM_OS_out_l extern intl6*SUM_OS_inl_2 extern intl6*SUM_OS_in2_2 extern intl6*SUM OS out 2 void Net_connects() SUM_OS_inl_l = &A: SUM_OS_in2_l = &B SUM_OS_out_l = &C SUM_OS_inl_2 = &C SUM_OS_in2_2 = &D SUM OS out 2 = &E - и, наконец, в необходимом месте основного цикла обратиться к макросу: SUM_OS _1 SUM OS 2 Рис. 13.7. Схема макросов двухконтурной системы управления
142 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x Рассмотрим простейшую систему управления преобразователем. Пусть данная система имеет два контура обратной связи: по выходному напряжению (V0) и выходному току (70). Выбор рабочего контура определяется по минимуму соответствующего сигнала рассогласования, как это было рассмотрено в предыдущих примерах. Ни рис. 13.7 для данного примера показана структура программного обеспечения в виде схемы макросов. 13.4. Организация единичного прерывания, принцип единичного прерывания при построении цифровой системы управления Ранее было показано, как можно наглядно построить ассемблерный код для систем управления преобразователями. Однако возникает вопрос: каким образом в ЦСП происходит запуск и обработка быстрых процессов? Решением является использование единичного прерывания ЦСП в периодическом процессе, определяемым работой силовой части того или иного преобразователя [66]. Многие импульсные преобразователи работают на фиксированной частоте коммутации, которая задает периодичность процессов. Начало прерывания может быть связано с работой ШИМ- контроллера ЦСП. Сигналом к началу может служить начало работы счетчика ШИМ, достижение окончания периода и т.д. Другим вариантом может быть аппаратно- зависимая работа периферии ЦСП и запуск прерывания по событию одного из устройств периферии. Например, для многих ЦСП возможен синхронизированный запуск преобразований АЦП по счетчику ШИМ, в таком случае началом прерывания будет загрузка результата преобразования в регистры АЦП. Преимуществом единичного прерывания является однозначность процессов, поскольку нет необходимости заботиться о приоритетности прерываний, наличии только одного обработчика прерываний, о простом контекстном сохранении и т. д. Контекстное сохранение — это сохранение данных регистров (запись их в память процессора), применяемое, как правило, при входе в прерывание. К регистрам, к которым применяется контекстное сохранение, относятся регистры XARn, XT и аккумулятор. Они называются критичными регистрами. Недостатком единичного прерывания является предъявление более жестких требований к производительности процессора: подпрограмма обработки прерываний должна гарантированно выполняться за период появления прерываний, при этом должен оставаться временной запас для работы с медленными процессами. Критерии при выборе той или иной схемы прерывания должны быть следующими: — в период прерывания должно входить контекстное сохранение/восстановление критичных регистров ЦСП, собственно обработка прерывания и работа с медленными процессами; — разработчик должен определить, каждый ли период коммутации необходимо обновлять коэффициент заполнения ШИМ-контроллера. В данном случае необходимо представление о том, в какой момент счетчика ШИМ будет происходить это обновление; — разработчик должен представлять, в каждый ли период коммутации необходимо работать с регуляторами, цифровыми фильтрами, другими словами, со-
13.4. Организация единичного прерывания, принцип единичного прерывания при построении цифровой системы управления 3431 Рис. 13.8. Организация прерывания по сигналу АЦП (пример 1) впадает ли частота коммутации с частотой дискретизации того или иного контура обратной связи; — необходимо определить влияние задержек между измерением сигналов АЦП и обновлением коэффициента заполнения на частотные характеристики всей системы. Рассмотрим несколько примеров организации единичного прерывания. На рис. 13.8 показан первый пример. В данном варианте происходит управление одной силовой структурой (одним преобразователем). Счетчик ШИМ является двухсторонним (на рисунке условно показан в виде пилообразного сигнала). Импульс, управляющий силовым ключом преобразователя, начинается (устанавливается в «1») на вершине «пилы», когда достигается максимальное значение в счетчике. На спаде «пилы» управляющий импульс устанавливается в «О» (происходит выключение силового ключа). Можно видеть, что в данном случае коэффициент заполнения изменяется от нуля до 0,5. При нулевом значении в счетчике аппаратно запускается преобразование АЦП, при этом оно может производиться сразу по нескольким каналам или с механизмом перевыборки. По окончании преобразования АЦП формируется прерывание. Подпрограмма обработки прерывания (ISR) начинается с контекстного сохранения критичных регистров ЦСП и заканчивается их контекстным восстановлением. В процессе обработки прерывания обновляются соответствующие регистры сравнения ШИМ. Задержка между появлением аналоговых сигналов и обновлением ШИМ для данного примера составляет полтора периода коммутации, время, остающееся на медленные процессы, зависит от длительности подпрограммы обработки прерывания. На рис. 13.9 показан второй пример, в котором прерывание организовано по сигналу ШИМ. И в данном случае происходит управление одной силовой структурой (одним преобразователем). Счетчик ШИМ является односторонним, управляющий импульс начинается с вершины «пилы» (устанавливается в «1») и закан-
44 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x Рис Л 3.9. Организация прерывания по сигналу ШИМ (пример 2) чивается на спаде «пилы» (устанавливается в «О»). Таким образом, коэффициент заполнения может изменяться от нуля до единицы. При максимальном значении числа в счетчике (достижении периода) аппаратно запускается преобразование АЦП (каждый второй период), при этом оно может производиться сразу по нескольким каналам или с механизмом перевыборки, суммарное время преобразований не должно превышать периода коммутации. Прерывание формируется по периоду счетчика (каждый второй период, следуя за запуском АЦП). Подпрограмма обработки прерывания начинается с контекстного сохранения критичных регистров ЦСП и заканчивается их контекстным восстановлением. В процессе обработки прерывания обновляются соответствующие регистры сравнения ШИМ. Задержка между появлением аналоговых сигналов и обновлением ШИМ для данного примера составляет два периода коммутации. Показанные выше примеры кратко показывают возможности организации прерывания. Можно организовывать работу значительно более сложных структур, например, с многоключевыми преобразователями, системами с несколькими преобразователями и т.д. После выбора структуры прерывания формируется непосредственно программа обработки прерывания, которая в общем виде содержит: — макросы регуляторов, фильтров; — макросы драйверов АЦП и ШИМ; — вспомогательные макросы. После формирования программы обработки прерывания может возникнуть проблема, связанная с максимально допустимым временем работы подпрограммы. Для примера 1 это время равно периоду коммутации за вычетом времени преобразования АЦП, для примера 2 — периоду коммутации. Для ее решения используется метод, связанный с распределением запуска макросов в подпрограмме обработки прерывания. Например, если имеются цифровые фильтры, задача которых заключается в фильтра-
13.4. Организация единичного прерывания, принцип единичного прерывания при построении цифровой системы управления 34! ции сигналов с полосой пропускания на порядки меньше, чем период коммутации, то нет необходимости реализовывать данные фильтры с частотой дискретизации, равной периоду коммутации. Тогда обращение к такому макросу фильтра может осуществляться не каждый раз, а раз в 2, 5 или более числа прерываний. Таким образом, подпрограмма обработки прерывания может выглядеть, например, следующим образом: _ISR_Run: CONTEXT_SAVE ADC_driver ;имя макроса PWM_drv_l ;имя макроса MOVL XAR2,#SwitchTable MOVW DP, #_Switch_ind MOVZ ARO, @_Switch_ind MOVL XAR7,* + XAR2[AR0] LB *XAR7 SwitchO: CNTL_PID_1 SWITCHER Switch 1: EXEC_PRESC l,Presc_per,2 CNTL_PID_2 Presc_per: SWITCHER Switchlast: CNTL_PID_3 MOVW DP, #_Switch_ind MOV @_Switch_ind# 1 LB SwitchReturn SwitchReturn: INTreset CONTEXT_REST IRET Здесь метки SwitchO, Switch 1, Switch_last определяют периодичность обращения к соответствующим макросам, а макрос EXEC_PRESC определяет, через столько прерываний выполнять макрос CNTL_PID_2. Для данного примера ди- Рис. 13.10. Диаграмма работы макросов
46 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x Рис. 13.11. Функциональная схема АЦП аграмма работы макросов показана на рис. 13.10. Из рисунка можно видеть, что в программе на втором шаге выполнение макроса CNTL_PID_2 проходит с пропуском через раз. 13.5. Аналого-цифровой преобразователь ЦСП серии TMS320F280x Аналого-цифровой преобразователь (АЦП) [100] имеет 16 каналов, которые могут настраиваться как два независимых 8-канальных модуля, чтобы обеспечить работу двух менеджеров событий А и В. Модули могут быть включены каскадно, в этом случае образуется один 16-канальный модуль. В этом случае АЦП имеет 16 входных каналов и два секвенсора (sequencer), однако АЦП содержит только один собственно преобразователь. Рис. 13.11 показывает функциональную схему АЦП. Два 8-канальных модуля имеют возможность автоматически получать последовательность преобразований, при этом каждый модуль может выбирать любой из соответствующих восьми каналов, доступных через аналоговый мультиплексор MUX. При каскадном включении модулей автоматический секвенсор функционирует как единственный 16-канальный секвенсор. Автоматический секвенсор позволяет вести преобразование по одному каналу несколько раз, что дает возможность выполнять алгоритмы перевыборки для увеличения разрешающей способности АЦП. На рис. 13.11 справа от регистров результатов показаны адреса соответствующих регистров. Основные параметры АЦП: • 12-битное ядро АЦП со встроенным двойным устройством выборки и хранения (S/H — sample and hold);
13.5. Аналого-цифровой преобразователь ЦСП серии TMS320F280x • режим одновременной дискретизации или последовательных дискретизаций модулей; диапазон напряжений 0...3 В; • быстрое преобразование на частоте АЦП 25 МГц, 12,5 млн преобразований в секунду; • 16-канальный мультиплексный вход; • возможность автоматического секвенсора обеспечивать до 16 преобразований в течение одного запуска преобразования АЦП. Каждое преобразование может быть настроено на любой входной канал; • секвенсор может быть настроен как два независимых 8-канальных секвенсора или как один 16-канальный секвенсор (каскадное включение 8-канальных секвенсоров); • 16 регистров результатов (индивидуально адресуемых) для хранения результатов преобразований; • цифровая величина входного аналогового напряжения представляется в виде: цифровая величина = 4095 х (входной аналоговый сигнал — ADCLO/3), где ADCLO — уровень напряжения на соответствующем выводе процессора; • триггеры начала преобразования (SOC — start of conversion) — S/W — программный старт; — EVA — менеджер событий А; — EVB — менеджер событий В; — внешний сигнал. • гибкое управление прерыванием допускает запрос прерывания при каждом завершении преобразования (EOS — end of sequencer) или при каждом втором (EOS); • секвенсор может работать в режиме «начало/стоп», допускающем последовательные преобразования с синхронизацией; • EVA и EVB триггеры могут работать независимо в режиме двух секвенсоров; • длительность процесса выборки и хранения (S/H) имеет отдельное управление масштабом времени. АЦП обеспечивает два основных режима преобразования аналоговых сигналов: режим последовательной выборки (Sequential Sampling Mode (Single-Channel), SMODE = 0) и режим одновременной выборки (Simultaneous Sampling Mode (Dual- Channel), SMODE = 1). Рис. 13.12. Режим последовательной выборки
48 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x При последовательной выборке АЦП может непрерывно преобразовывать входные сигналы любого из каналов (от Ах до Вх). АЦП запускает преобразование от триггеров событий ШИМ-модуля, программного триггера или от внешнего сигнала ADCSOC. Если бит SMODE установлен в 0, то АЦП выполнит преобразования в выбранном канале для каждого импульса устройства выборки/хранения. Флаги прерывания АЦП устанавливаются через несколько циклов процессора после коррекции регистра результата. Выборка данных будет производиться при каждом спаде импульса устройства выборки/хранения. На рис. 13.12 показаны диаграммы для данного режима. В режиме одновременной выборки АЦП может непрерывно преобразовывать входные сигналы от любой пары каналов (от АО/ВО до А7/В7), запуская преобразование от триггеров событий ШИМ-модуля, программного триггера или от внешнего сигнала ADCSOC. Если бит SMODE установлен в 1, то АЦП выполнит преобразования в двух выбранных каналах при каждом импульсе S/H. На рис. 13.13 показаны соответствующие диаграммы. Таблица 13.1 Временные характеристики в режиме последовательной выборки ^dSH 's 4l(schx_n) td(schx_n+ 1) Описание Задержка от сигнала на триггере событий до момента начала выборки Ширина выборки устройства выборки/хранения Задержка первого результата до появления в регистре результата Задержка последующих результатов до появления в регистре результата Времена при частоте АЦП 12,5 МГц, не 160 80 320 160 Таблица 13.2 Временные характеристики в режиме одновременной выборки ^dSH ^SH *d(schA0_n) *d(schB0_n) 'd(schA0_n+ 1) *d(schB0_n+ 1) Описание Задержка от сигнала на триггере событий до момента начала выборки Ширина выборки устройства выборки/хранения Задержка первого результата до появления в регистре результата Задержка первого результата до появления в регистре результата Задержка последующих результатов до появления в регистре результата Задержка последующих результатов до появления в^егистре результата Времена при частоте АЦП 12,5 МГц, не 160 80 320 400 240 240
13.6. ШИМ-контроллер ЦСП серии TMS320F280x 3?1 Рис. 13.13. Режим одновременной выборки В табл. 13.1 и 13.2 показаны времена, необходимые для получения результатов в режимах последовательной и одновременной выборок. Видно, что при организации преобразований парами данных можно получить минимально возможные времена преобразований. 13.6. ШИМ-контроллер ЦСП серии TMS320F280x Работа с ЦСП серии TMS320F280x, работа с периферийными устройствами, особенности программного обеспечения достаточно полно описаны производителем в соответствующих руководствах. Здесь мы остановимся на описании периферийного устройства, которое весьма важно для управления преобразователями — контроллера широтно-импульсного модулятора [91]. ШИМ-контроллер TMS320F280x, далее ePWM — аббревиатура производителя — состоит из шести независимых полнофункциональных модулей ePWMl... ePWM6. Каждый модуль имеет два физических выхода. Все шесть модулей могут быть соединены определенным образом друг с другом и синхронизированы, что дает возможность работать всем шести модулям в сложной системе. Число ШИМ-каналов может быть увеличено (с определенными ограничениями) за счет модуля захвата еСАР. ШИМ-контроллер TMS320F280x имеет очень важную особенность для управления преобразовательной техникой, а именно: построение ШИМ с высокой разрешающей способностью (High Resolution PWM, HRPWM). Ниже будет подробно описана эта особенность процессора [102]. Каждый модуль располагает следующими возможностями: — 16-битный таймер для работы счетчика сравнения; — до трех каналов высокой разрешающей способности (150 пс) при сохранении стандартных возможностей оставшихся каналов; — два независимых выхода с единичным симметричным сравнением или два независимых выхода с симметричным сравнением по фронту и спаду или один выход с ассиметричным сравнением (по фронту или спаду); — асинхронный принудительный программный контроль; — аппаратная фазовая зависимость между сигналами модулей от периода к периоду; — организация временных пауз (dead band) с независимым по фронту и спаду управлением;
50 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F28Qx — программируемые внешние прерывания для блокировки (trip zone) с контролем от периода к периоду или единичной блокировкой; — блокировка выходов тремя состояниями сигналов: высоким или низким уровнем, а также высокоимпедансным состоянием; — передача возникших событий на прерывание процессора и создание запускающих сигналов для модуля АЦП (SOC); — программируемая настройка событий для уменьшения задержек на обработку прерываний; — поддержка ШИМ-прерывателя, что позволяет работать с высокочастотным несущим сигналом при модуляции ШИМ-сигнала. Функция может использоваться для передачи сигналов с помощью высокочастотных трансформаторов для управления силовыми ключами; Соединение модулей между собой для организации синхронной работы, а также для формирования синхросигналов в другие периферийные устройства показано на рис. 13.14. Каждый модуль имеет сигналы SYNCI и SYNCO — соответственно входной и выходной сигналы синхронизации. Для первого модуля входным сигналом синхронизации могут служить импульсы от внешних устройств, а для остальных используется каскадная синхронизация. Сигналы EPWMxA и EPWMxB являются выходными ШИМ-сигналами каждого модуля. Сигналы EPWMxSOC служат для Рис. 13.14. Соединение модулей ePWM
13.6. ШИМ-контроллер ДСП серии TMS320F280x 35|j| Рис. 13.15. Состав каждого модуля ePWM и связи между подмодулями запуска преобразований АЦП. Сигналы EPWMxINT формируют прерывания в модуле расширения прерываний периферии (PIE). Состав каждого модуля и связи между подмодулями, входящими в его состав, схематически показаны на рис. 13.15. Каждый модуль включает в себя: — Time-Base (ТВ) подмодуль, — Counter Compare (CC) подмодуль, — Action Qualifier (AQ) подмодуль, — Dead Band (DB) подмодуль, — PWM-chopper (PC) подмодуль, — Event Trigger and Interrupts (ET) подмодуль, — Trip Zone (TZ) подмодуль. Состав модулей раскрыт на рис. 13.16, где также показана возможность его высокой разрешающей способности. Перед тем как приступить к описанию подмодулей, расскажем об основных принципах построения ШИМ с высокой разрешающей способностью (HRPWM).
О52 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F28Qx Рис. 13.16. Развернутый состав модулей ТВ и СС и возможность построения ШИМ с высокой разрешающей способностью (HRPWM) Рис. 13.17. Период ШИМ с высокой разрешающей способностью
13.6. ШИМ-контроллер ЦСПсерии TMS320F280x 353Г| Работа HRPWM основана на микрокраевом позиционировании (МЕР — micro edge positioning). Логика МЕР способна располагать фронт импульса ШИМ очень близко к делению последнего «грубого» системного шага стандартного генератора PWM. Точность деления шага находится в пределах 150 пс. Для проверки правильности логики МЕР имеется самоконтроль для учета воздействующих факторов, таких как температура или изменение питающего напряжения. На рис. 13.17 показан период ШИМ с пояснением всех шагов контроллера. Возможности традиционного ШИМ-сигнала и сигнала высокой разделяющей способности приведены в табл. 13.3, а возможности HRPWM при разных тактовых частотах — в табл. 13.4. Таблица 13.3 Сравнение разрешающей способности ШИМ в зависимости от требуемой частоты Частота ШИМ, кГц 20 100 500 2000 Разрешающая способность традиционного ШИМ, бит 12.3 10 7.6 5.6 Разрешающая способность HRPWM, бит 18.1 15.8 13.8 11.4 Таблица 13.4 Сравнение частот и разрешающей способности ШИМ в зависимости от тактовой частоты процессора Тактовая частота, МГц 50 70 [ 100 Количество МЕР шагов на такт процессора 111 79 56 Минимальная частота ШИМ, кГц 0.763 1.068 1.526 Максимальная частота ШИМ, кГц 2500 3500 5000 Максимальная разделяющая способность, бит 11.1 10.6 10.1 Time-Base (ТВ) подмодуль Подмодуль обеспечивает все таймерные функции ePWM. Кроме того, подмодуль имеет встроенную логику синхронизации, позволяющую объединить в единую систему все ePWM модули процессора. Рис. 13.18 показывает функциональный состав и регистры подмодуля. Подмодуль ТВ используется для решения следующих задач: — контроль частоты или периода модуляции, — управление синхронизацией с подчиненными и предшествующими ePWM, — управление фазовым сдвигом между модулями ePWM, — установки способа счета счетчика (вверх, вниз, реверсивный), — формирование события: показание счетчика равно периоду, показание счетчика равно нулю,
54 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F28Qx Рис. 13.18. Функциональный состав и регистры Time-Base (ТВ) подмодуля Рис. 13.19. Возможные режимы работы счетчика ТВ подмодуля
13.6. ШИМ-контроллер ЦСП серии TMS320F280x зэ Рис. 13.20. Пример режима работы счетчика ТВ подмодуля — масштабирование поступающих системных импульсов (SYSCLKOUT) для обеспечения работы модуля ePWM на более низких частотах. На рис. 13.19 показаны возможные режимы счетчика подмодуля. PRD — значение числа в счетчике при достижении периода, CTR_dir — направление счета, TBPRD — регистр, характеризующий значение числа в счетчике по окончании периода. TPWM - период ШИМ, F?ym - частота ШИМ. На рис. 13.20 показан пример работы счетчика: режим работы счетчика - «счет вверх», события в момент нуля и окончания периода включены, сигнал входной синхронизации включен, синхронизация выполняется по числу, записанному в регистре TBPHS. Counter Compare (CC) подмодуль Подмодуль СС (подмодуль сравнения счетчика) использует 16-битное числовое значение в регистре TBCTR [15:0] счетчика. Это значение непрерывно сравнивается с 16-битными числами в регистрах сравнения А и В. При равенстве значений в счетчике и в регистре сравнения подмодуль формирует соответствующие сигналы. Ключевые задачи для модуля СС: — формировать события при равенствах CTR = СМРА; CTR = СМРВ, — управлять коэффициентом заполнения ШИМ в соответствии с конфигурацией Action Qualifier (AQ) подмодуля, — использовать буфер для новых сравниваемых значений с целью не допустить потери данных в течение активного цикла ШИМ. Рис. 13.21 показывает функциональный состав и регистры подмодуля. На рис. 13.22 дан пример работы подмодуля сравнения счетчика: режим счетчика «счет вверх-вниз», события А, В происходят на фронте и спаде, сигнал входной синхронизации включен, синхронизация по значению в счетчике, равном значению в TBPHS на спаде счетчика.
e» Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x Рис. 13.21. Функциональный состав и регистры Counter Compare (CC) подмодуля
13.6. ШИМ-контроллер ЦСП серии TMS320F280x 3 5 Рис. 13.22. Пример работы подмодуля сравнения счетчика Возможные действия с условными маркерами Таблица 13.5 Action Qualifier (AQ) подмодуль Подмодуль оценки событий (AQ) отвечает за: — оценку и появление действий (установка, очистка, переключение), основанных на входных событиях, определяемых работой счетчика: CTR равен периоду; CTR равен нулю; CTR = CMPA; CTR = CMPB; — управление приоритетом при одновременности происходящих событий; — учет независимого управления событиями на фронте и спаде счетчика сравнения при использовании информации о направлении работы счетчика (CTRL_dir). Подмодуль AQ может управлять двумя независимыми выходами ШИМ: EPWMA и EPWMB. Все необходимые действия подмодуля программируются через управляющие регистры.
58 Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x Рис. 13.23. Примеры формирования выходов ШИМ: а) при нуле счетчика — каналы А и В становятся в «1»; при равенстве числа в счетчике периоду — оба выхода без изменений; при равенстве чисел в счетчике и в регистре сравнения А — канал А становится в «О», канал В без изменений; при равенстве чисел в счетчике и в регистре сравнения В — канал В становится в «О», канал А без изменений; б) при нуле счетчика — каналы А и В без изменений; при равенстве числа в счетчике периоду — оба выхода становятся в «О»; при равенстве чисел в счетчике и в регистре сравнения А — канал А становится в «1», канал В без изменений; при равенстве чисел в счетчике и в регистре сравнения В — канал В становится в «1», канал А без изменений; в) при нуле счетчика - каналы А и В без изменений; при равенстве числа в счетчике периоду — каналы А и В без изменений; при равенстве чисел в счетчике и в регистре сравнения А — канал А становится в «1» на фронте и в «О» на спаде, канал В без изменений; при равенстве чисел в счетчике и в регистре сравнения В — канал В становится в «Ь на фронте и в «О» на спаде, канал А без изменений
13.6. ШИМ-контроллер ЦСП серии TMS320F28Qx 35$J Рис. 13.24. Примеры формирования задержек Dead Band (DB) подмодулем С целью показа выходов ШИМ на диаграммах приведена табл. 13.5 возможных действий с условными маркерами. Свои действия маркеры будут отображать на диаграммах [91]. На рис 13.23, а, б, в показаны три простейших примера формирования выходов ШИМ, иллюстрирующие возможности подмодуля. Подробные примеры с исходными кодами настройки EPWM для различных структур силовых преобразователей представлены в [91]. Dead Band (DB) подмодуль Для формирования задержек между фронтами ШИМ сигналов используется подмодуль Dead Band (DB). Ключевые функции модуля DB: — создание парных сигналов (EPWMxA и EPWMxB) с заданным соотношением задержек при использовании единственного входа EPWMA; — программирование пар сигналов для формирования: • активного высокого уровня (АН); • активного низкого уровня (AL);
fe° Глава 13. Основные сведения о сигнальных процессорах Texas Instruments TMS320F280x • активного высокого комплементарного сигнала (АНС); • активного низкого комплементарного сигнала (ALC); • программируемой задержки к фронту (RED); • программируемой задержки к спаду (FED). На рис. 13.24 показаны примеры формирования основных задержек сигналов. PWM-chopper (PC) подмодуль Подмодуль PWM-chopper (PC) позволяет модулировать ШИМ-сигнал (сформированный AQ и DB подмодулями) высокочастотным несущим сигналом. Эта функция необходима при трансформаторном управлении силовыми транзисторами. Основными функциями подмодуля являются: — программируемая несущая частота; — программируемая длительность первого импульса; — программируемый коэффициент заполнения второго и последующих импульсов. На рис. 13.25 показан пример формирования ШИМ-сигнала. Event THgger and Interrupts (ET) подмодуль Подмодуль ET управляет событиями, созданными в подмодулях ТВ и СС. Необходим для запуска прерывания в CPU и/или запуска преобразования АЦП (ADC SOC). Событие в результате работы ЕТ подмодуля происходит в определенных случаях. Основными функциями подмодуля ЕТ являются: — получение событий от подмодулей ТВ и СС; — использование информации, полученной от ТВ для определения событий в результате изменения направления работы счетчика; Рис. 13.25. Пример формирования ШИМ-сигнала PWM-chopper (PC) подмодулем
13.6. ШИМ-контроллер ДСП серии TMS320F280x 36 Г — использование логики масштабирования для формирования запросов прерывания и ADC SOC в следующих случаях: • каждое событие, • каждое второе событие, • каждое третье событие; — обеспечение полной наблюдаемости последовательности событий через счетчики событий и флаги; — программная инициализация прерывания и ADC SOC. ТНр Zone (TZ) подмодуль Каждый ePWM модуль может обеспечить шесть сигналов TZ(TZl...TZS), которые воздействуют на порты ввода/вывода. Эти сигналы могут использоваться для передачи внешних аварийных сигналов. Каждый ePWM модуль может выполнять программируемую операцию при поступлении этих сигналов. Задержка при ее выполнении не превышает 20 не. Основными функциями подмодуля 77являются: — гибкое управление любым модулем ePWM, — принятие от входов TZ( TZX... 726) одного из следующих состояний при аварийной ситуации EPWMxA и/или EPWMxB: • высокий уровень; • низкий уровень; • высокоимпедансное состояние; • состояние не изменяется; — поддержание однократного действия (OSHT), например, для выключения силовой части или при глобальной аварии, — поддержание действия внутри каждого периода (СВС), например, для ограничения тока, — возможность настройки на действие OSHT и СВС, — запуск прерывания, возможность при любом действии OSHT или СВС, — возможный программный запуск действий OSHT или СВС.
ГЛАВА 14 ПРИМЕНЕНИЕ ФИЛЬТРОВ И ПИД-РЕГУЛЯТОРОВ ПРИ ЦИФРОВОМ УПРАВЛЕНИИ Уже упоминалось, что при традиционном аналоговом управлении корректирующие звенья выполняются, как правило, на основе операционных усилителей, конденсаторов и резисторов. Определив тем или иным способом передаточные функции звеньев коррекции в аналоговой системе управления, разработчик определяет их структуры, а затем номиналы резисторов и конденсаторов. Для цифровой системы распространенным является применение цифровых фильтров и цифровых ПИД-регуляторов. Параметры цифрового фильтра для целей коррекции определяются достаточно просто при использовании современных методов расчета. Одно из определений цифрового фильтра дано в [1]: «Цифровой фильтр — это сигнал или сеть, избирательно меняющая форму сигнала (амплитудно-частотную и/ или фазо-частотную характеристику)». При этом цифровой фильтр — это прежде всего алгоритм, который в соответствии с заданием воздействует на входной сигнал и генерирует выходной. Слово «цифровой» в названии фильтра объясняется реализацией фильтра с помощью математических (программных) процедур. Пример обработки сигнала с помощью цифрового фильтра показан на рис. 14.1. Основными преимуществами цифровых фильтров являются: — для них достижимы характеристики, которые нельзя получить с помощью аналоговых средств; — работа цифровых фильтров не зависит от воздействий температуры среды и изменения в определенных пределах напряжения питания; — упрощается реализация адаптивных систем, поскольку цифровой фильтр это только программа; — с помощью одного цифрового фильтра можно обрабатывать несколько входных сигналов, не дублируя программу; — точность цифровых фильтров ограничивается только длиной обрабатываемого слова; — цифровые фильтры позволяют работать на очень низких частотах. Аналоговые фильтры на тех же частотах имеют серьезные ограничения по размерам компонентов. При работе с цифровыми фильтрами встречаются и определенные сложности: 1. Ограничение скорости. Полоса пропускания реальных цифровых фильтров более узкая, чем аналоговых. При обработке сигнала в режиме реального времени ограничением является частота дискретизации, которую, в Рис. 14.1. Обработка аналогового сигнала с использованием цифрового фильтра
14.1. Две разновидности цифровых фильтров ЗбЗ* свою очередь, ограничивают времена преобразования АЦП и ЦАП, а также быстродействие выбранного процессора. 2. Влияние конечной разрядности. Цифровые фильтры подвержены шуму АЦП, происходящему от квантования непрерывного сигнала, и шуму округления, который проявляется при вычислениях. 3. Значительное время разработки. Можно считать данный недостаток достаточно условным. С одной стороны, необходимо затратить значительное время для построения и реализации основных алгоритмов; с другой — разработанные при проектировании цифровых фильтров алгоритмы и программы могут использоваться (настраиваться) для последующих проектов. 14.1. Две разновидности цифровых фильтров Цифровые фильтры разделяются на два основных класса: фильтры с бесконечной импульсной характеристикой (БИХ-фильтры) и фильтры с конечной импульсной характеристикой (КИХ-фильтры). Одним из способов представления цифрового фильтра является его представление через коэффициенты импульсной характеристики h(k), где к — О, 1,... — моменты квантования. Операция свертки может использоваться в алгоритме любого фильтра. Связь выходного и входного сигналов для БИХ-фильтра определяется с помощью свертки следующим образом: (14.1.1) Для КИХ-фильтра: (14.1.2) где количество используемых предыдущих отсчетов т называется порядком фильтра. Воспользоваться импульсной характеристикой бесконечной длительности сложно практически, поэтому для БИХ-фильтра используют его рекурсивную форму, являющуюся алгоритмом дискретной фильтрации: (14.1.3) БИХ-фильтр, следовательно, характеризуется тем, что выходной сигнал для данной выборки (к) зависит не только от входного сигнала, но также от выходного сигнала предыдущих выборок, откуда следует, что БИХ-фильтр — это система с обратными связями. При всех д, равных нулю БИХ-фильтр сводится к КИХ-фильтру. Представленные выше соотношения представляют собой разностные уравнения. Например (14.1.3) можно записать в виде: .(14.1.4) Данная формула записана в виде разностного уравнения л-го порядка. Передаточные функции определяются из импульсных характеристик на основе ^-преобразования:
64 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении КИХ-фильтр: (14.1.5) БИХ-фильтр: (14.1.6) Сравнительный анализ обоих типов фильтров показывает: 1. КИХ-фильтры могут иметь линейную фазовую характеристику и не вводить фазовые искажения в сигнал, что важно в некоторых приложениях цифровой фильтрации. 2. КИХ-фильтры всегда устойчивы, поскольку не содержат обратной связи. 3. ШУМ округления и ошибки квантования, свойственные цифровым фильтрам, менее существенны для КИХ-фильтров. 4. В общем случае, для получения требуемой частотной характеристики требуется большая вычислительная мощность и ресурсы памяти для КИХ- фильтров. 5. БИХ-фильтры имеют аналоговые прототипы и легко могут быть преобразованы из аналогового фильтра. 6. Синтез КИХ-фильтров, выполняемый алгебраически, сложнее, чем БИХ- фильтров. В [1] приведены критерии выбора между КИХ и БИХ-фильтрами: — БИХ-фильтры используются в системах, где необходима высокая пропускная способность, а частотные характеристики должны быть с резко изменяющимися наклонами; — КИХ-фильтры используются в системах, где требуется минимальное фазовое искажение, а число коэффициентов невелико. С учетом проведенного выше сравнения можно сделать вывод о том, что для цифровых систем управления преобразователями в качестве корректирующих звеньев следует использовать БИХ-фильтры, поскольку в таких системах амплитудные частотные характеристики меняются с наклонами ±20дБ/дек или более, а изменения фазы могут составлять ±90° или еще больше. При этом система управления должна достаточно быстро обрабатывать сигналы, что определяется требуемой частотой коммутации ключей силовой части. 14.2. Расчет коэффициентов цифровых фильтров Реализуя работу заданного корректирующего звена в САУ преобразователем, цифровой контроллер должен шаг за шагом решать разностное уравнение. Кратко об этом упоминалось в п. 10.7.1. В свою очередь, коэффициенты цифрового фильтра, входящие в его передаточную функцию (14.1.6), должны быть известны. Эти коэффициенты могут быть получены, если тем или иным способом определена дискретная передаточная функция корректирующего звена. Рассмотрим пример.
14.2. Расчет коэффициентов цифровых фильтров 36! Известна передаточная функция устойчивого корректирующего звена дискретной системы управления: Запишем Wk(z) в виде передаточной функции цифрового фильтра: Воспользуемся полученной передаточной функцией цифрового фильтра второго порядка для составления разностного уравнения в форме (14.1.3): Последнее уравнение позволяет определить выходной сигнал фильтра (корректирующего звена) на новом к-м шаге при известном входном сигнале на предыдущем шаге и значениях выходного сигнала на двух предыдущих шагах (у(к — 1)) и Мк-2)). В данном параграфе рассмотрим определение коэффициентов БИХ-фильтра, считая, что известен аналоговый прототип, то есть известна передаточная функция W(s) корректирующего звена. От этой передаточной функции затем нужно перейти к передаточной функции дискретного звена lV(z), записать ее в виде передаточной функции цифрового фильтра и, наконец, составить разностное управление. Существует несколько приемов перехода от передаточной функции в ^-области к передаточной функции в ^-области. Ранее уже рассматривались метод билинейного преобразования — метод Тастина (п. 8.6.1) и метод согласования нулей и полюсов (п. 8.6.2). Здесь мы рассмотрим метод инвариантной импульсной характеристики (impulse invariance method), а в заключение покажем на одном примере возможности различных методов использования MATLAB. Метод инвариантной импульсной характеристики синтезирует передаточную функцию в г-области, выполняя дискретизацию импульсной характеристики аналогового прототипа. Согласно этому методу импульсная характеристика дискретной передаточной функции совпадает с импульсной характеристикой аналогового прототипа в моменты квантования. Следовательно, можно записать: (14.2.1) где Пусть передаточная функция аналогового прототипа имеет вид: (14.2.2) Полагая полюсы W(s) простыми, передаточная функция может быть записана в виде:
66 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении Тогда импульсная характеристика аналогового прототипа: С учетом (14.2.1) получим: Выполнив ^-преобразование, получим дискретную передаточную функцию: (14.2.3) Аналогично может быть получена передаточная функция W(z) при кратных полюсах W(s) (14.2.2). Недостатком данного метода является искажение исходной частотной характеристики, если коэффициент передачи аналогового фильтра является заметным на частотах, близких к частоте 1/27^. Другими словами, вследствие повторения спектра передаточной функции lV(z) (его периодичности) и происходящего при этом наложения спектров воспроизведение частотной характеристики аналогового фильтра становится невозможным. В MATLAB имеется функция impinvar, реализующая метод инвариантной импульсной характеристики. Рассмотрим пример. Аналоговый прототип представлен передаточной функцией: Создадим дискретную модель с помощью функции impinvar и сравним частотные характеристики прототипа и дискретного звена. Ниже показан m-файл, в котором вызывается функция impinvar и строятся частотные характеристики прототипа и дискретного фильтра. clc b = 20*[Ю5 20 1]; а =[1.8 0.4 0,5]; sys = tf (b, а); %аналоговый фильтр fs= 100; %частота дискретизации [bz, az] = impinvar (b, a, fs) sysl = tf (bz, az, 1/fs); %дискретный фильтр bode (sys, sysl) На рис. 14.2 показаны частотные характеристики, построенные с помощью функции bode, аналогового и дискретного фильтров, из которых следует, что повторения фильтров не получилось. Причина этого рассматривалась выше. Отметим, что для управления преобразователями порядок цифровых фильтров, как правило, невысокий и не превосходит третьего. Рассмотрим частотные характеристики дискретных фильтров, полученные различными методами с помощью среды MATLAB-Simulink. Сравним эти характери-
14.2. Расчет коэффициентов цифровых фильтров 36 Рис. 14.2. Частотные характеристики дис- Рис. 14.3. Частотные характеристики исхо- кретного фильтра, полученные с помощью дного аналогового и трех дискретных филь- функции impinvar тров стики с характеристиками исходного аналогового фильтра. Пусть аналоговый прототип звена коррекции имеет передаточную функцию: Для преобразования аналогового прототипа применим следующие методы: — инвариантной импульсной характеристики; — согласования нулей и полюсов; — билинейного преобразования. Все методы дискретизации используют частоту квантования 50 кГц (Td = 20-10~6 с). Ниже показан /w-файл, в котором создана аналоговая модель фильтра (sys) и три дискретных модели —syslmpinv, sysMatched и sysTustin — по методам инвариантной импульсной характеристики, согласования нулей и полюсов, а также билинейного преобразования. В последней команде файла производится вызов частотных характеристик всех фильтров, которые показаны на рис. 14.3. Ь = 2е4*[16.028еЗ]; а=[13.14е4 0]; sys = tf (b, а); %аналоговый фильтр fs = 50еЗ; %частота дискретизации [bz, az] = impinvar (b, a, fs) syslmpinv = tf(bz, az, 1/fs); %дискретный фильтр impinvar sysMatched = c2d (sys, 1/fs, 'matched'); sysTustin = c2d (sys, 1/fs, 'tustin'); bode (sys, syslmpinv, sysMatched, sysTustin) В данном случае, как видно из рис. 14.3, метод инвариантной импульсной характеристики показал результаты, очень близкие к методу согласования нулей и полюсов, что объясняется передаточной функцией исходного фильтра и достаточно высокой частотой^. Наилучшее приближение частотной характеристики дискретного фильтра к аналоговому прототипу достигнуто методом билинейного преобразования.
Й068 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении 14.3. Существенные особенности управления преобразователями при использовании цифровых фильтров Ранее было показано, что при цифровом управлении преобразователями целесообразно применять БИХ-фильтры, имеющие передаточную функцию (14.1.6). Еще одной формой записи передаточной функции такого фильтра является ее выражение с помощью нулей и полюсов: БИХ-фильтр представляет собой структуру с обратной связью, поэтому при его построении необходимо учитывать, что в принципе такой фильтр может быть неустойчивым. Для устойчивости цифрового фильтра необходимо, чтобы его полюсы находились внутри единичной окружности комплексной плоскости z- Поскольку синтез фильтра производится по аналоговому прототипу, который сам по себе является устойчивым, а частотные характеристики обоих фильтров максимально приближены, проблемы неустойчивости цифрового фильтра, при проектировании преобразователей, как правило, не существует. Передаточная функция цифрового фильтра реализуется разностным уравнением (рекурсивная форма БИХ-фильтра): (14.3.1) Данное уравнение, реализуемое в цифровом сигнальном процессоре, требует т + п + 1 MAC операций (операций умножения с накоплением — multiply and accumulate). В ЦСП используется арифметика конечной разрядности, составляющая, в лучшем случае, 32 бит. В совокупности с конечной разрядностью АЦП и ШИМ влияние представления каждого числа конечным числом бит может привести к следующему: — неустойчивости работы фильтров в контурах обратной связи преобразователя; — появлению нежелательных пульсаций регулируемых параметров (выходного напряжения или тока); — расхождению частотных характеристик исходного и цифрового фильтров; — появлению шумов в цифровой части управления преобразователем с последующей их передачей в аналоговую часть при цифро-аналоговом преобразовании. На цифровую систему управления преобразователями оказывают влияние следующие факторы: — квантование измеряемых сигналов на входах контроллера (шум АЦП); — квантование сигналов на выходе (шум ШИМ); — квантование коэффициентов цифровых фильтров; — ошибки округления при выполнении MAC операций; — переполнение. В последующих параграфах данной главы подробно рассматривается каждый из этих факторов, ухудшающих свойства цифровой системы управления.
14.4. Квантование измеряемых сигналов на входах контроллера (шум АЦП) 369 ]| я^0) 14.4. Квантование измеряемых сигналов на входах контроллера (шум АЦП) При аналого-цифровом преобразовании входного сигнала каждой выборке сигнала присваивается одно из 2В значений, где В — разрядность АЦП. Такое преобразование производит квантование по уровню сигнала и вносит ошибку. Величина этой ошибки зависит от разрядности АД П и примерно равна младшему значащему биту при однополярном измерении (LSB). Для 12-битного АЦП с измерением однополярных сигналов в диапазоне от нуля до трех вольт величина шага квантования будет равна: то есть менее 1 мВ. Если цифровая система управляет импульсным преобразователем, у которого максимальное выходное напряжение составляет 60 В, а минимальное 40 В, то ошибка квантования по уровню выходного напряжения составит: Таким образом, для максимального выходного напряжения ошибка в данном случае составит 0,024%. При минимальном выходном напряжении (40 В) ошибка возрастет в 1,5 раза и составит уже 0,036%. Показанные здесь уровни погрешностей, вносимые АЦП, являются еще вполне приемлемыми. Положение может ухудшиться, если максимальный сигнал, поступающий на вход АЦП, составляет только часть, например, половину или менее от допустимого для данного типа АЦП. Ошибка квантования для каждой выборки обычно считается случайной и однородно распределенной на отрезке ±q/2 с нулевым средним значением. Мощность шума квантования определяется как величина: (14.4.1) а величина шага квантования при удвоенной амплитуде сигнала на входе АЦП, равной А, составит: (14.4.2) Отношение мощности синусоидального сигнала на входе к мощности шума квантования называется отношением сигнал — шум (SNR), выражаемое в децибелах: (14.4.3) При выводе последнего соотношения использовались формулы (14.4.1) и (14.4.2). Из (14.4.3) можно видеть, как эффективно влияет число бит АЦП (В) на отношение сигнал-шум. Для 10-битного АЦП SNRq = 62 дБ, а для 12-битного — 74 дБ. Изтабл. 12.2, приведенной в гл. 12 для 12-битного АЦП ЦСП ТМ S320F280x, SNRq
Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении составил 68 дБ. Разница в 6 дБ объясняется тем, что в формуле (14.4.3) определен теоретический максимум, а в реальных случаях SNRg снижается вследствие ограниченной скорости преобразования, параметров схемы выборки хранения и других факторов [61]. 14.4.1. Снижение шума выборкой с запасом по частоте Распространенным способом уменьшения шума квантования АЦП является применение выборки с запасом по частоте [1]. Данный способ заключается в дискретизации входных сигналов с частотой намного большей, чем требуется частотой Найквиста, то есть с частотой, превышающей самую высокочастотную компоненту входного сигнала. Отношение половинной частоты дискретизации к исходной частоте Найквиста (fmax) — называется коэффициентом перевыборки: Преимущества применения перевыборки: - упрощение фильтра защиты от наложения спектров; — снижение уровня шума АЦП распределением шума квантования в более широкой полосе частот, что позволяет использовать АЦП с меньшим числом бит при том же соотношении сигнал-шум. Мощность шума квантования определяется согласно (14.4.1): (14.4.4) Для достаточно случайных или больших входных сигналов энергия шума квантования распределяется равномерно по всему спектру и определяется как: На рис. 14.4 графически показан эффект снижения уровня шума в требуемой полосе частот, что является сутью дискретизации с запасом по частоте. При выборке с запасом по частоте мощность шума квантования определяется следующим образом: (14.4.5) Рис. 14.4. Дискретизация с запасом по частоте В практике для простоты вычислений коэффициент перевыборки (Kovs) принимается равным двум в целой степени. Рассмотрим пример. В преобразователе, работающем с частотой коммутации ключей/= 50 кГц, выходное напряжение 1/вых= 12 В, изме-
14.5. Квантование сигналов на выходе цифровой системы управления - _ .-*» импульсным преобразователем (шум ШИМ) ^$bJ?) рение этого напряжения выполняется с помощью 10-битного АЦП. Полоса пропускания контура обратной связи должна составлять 5 кГц (fmax = 5 кГц). Ошибка выходного напряжения составит: Допустим, необходимо получить 12-битное качество выходного напряжения с ошибкой выходного напряжения, равной: Шум квантования, соответствующий 12-битному АЦП, есть шум, создаваемый выборкой с запасом по частоте: Коэффициент перевыборки определим из (14.4.5): Частота передискретизации согласно (14.4.4) равна: Особенностью цифрового управления высокочастотными преобразователями является дискретизация силовой части, вызванная коммутацией силовых ключей. Согласно дискретному представлению о передаче сигналов частота выборки не должна быть меньше половины частоты коммутации. Однако, как правило, в системах управления преобразователями используются средние значения величин за период коммутации. Поэтому частота выборки АЦП определяется только требованиями к точности и необходимой полосе пропускания контура управления. С другой стороны, полоса пропускания не может быть больше половины частоты коммутации, для нашего примера f/2 = 25 кГц. С учетом особенностей применения ЦСП при управлении преобразователями перевыборка, как правило, сопровождается усреднением полученных выборок [70]. 14.5. Квантование сигналов на выходе цифровой системы управления импульсным преобразователем (шум ШИМ) Особенностью квантования на выходе цифровой системы является то, что перед квантованием по длительности (по коэффициенту заполнения d) сигнал управления уже прошел через все необходимые операции, такие как усредняющие алгоритмы, коррекция с помощью фильтров или ПИД-регуляторов, перевкборка.
|f572 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении Поэтому, если разрядность ШИМ в микроконтроллере ограничена, то могут быть сведены к нулю все попытки улучшить работу системы управления. Возможны два варианта возникновения ошибки квантования на выходе системы управления [64, 81]. В первом, когда ошибка квантования, вызванная АЦП, больше ошибки квантования, вызванной ШИМ, пульсации выходного напряжения (тока) преобразователя будут обусловлены только разрядностью АЦП. При этом на ошибку квантования на выходе ШИМ влияют только шум АЦП и шумы, появляющиеся вследствие математических операций ЦСП. Во втором варианте ошибка квантования меньше ошибки квантования ШИМ. Измеренное с определенной точностью выходное напряжение после обработки цифровыми фильтрами и после проведения арифметических согласующих операций должно быть установлено ШИМом. Из-за своей недостаточной разрядности ШИМ не может выставить выходное напряжение, соответствующее ошибке рассогласования, что, в свою очередь, в следующем периоде даст новую ошибку рассогласования, которая также может быть искажена разрядной сеткой ШИМ. Пульсации выходного напряжения, вызванные разрядностью ШИМ, называются колебаниями предельного цикла (limit-cycle oscillation). Величина этих пульсаций приближенно может оцениваться следующим образом: (14.4.6) где N — число разрядов ШИМ, d— текущее значение коэффициента заполнения, D — значение коэффициента заполнения в установившемся режиме. Частота пульсаций выходного напряжения определяется резонансной частотой выходного фильтра преобразователя. Ошибка выходного напряжения преобразователя, обусловленная разрядностью АЦП при однополярном измерении, равна: тогда минимальная разрядность ШИМ определяется из (14.4.6): (14.4.7) В качестве примера рассмотрим повышающий преобразователь (ИРН-2) и оценим требование, предъявляемое к ШИМ при цифровом управлении. Допустим, на выходе преобразователя должно поддерживаться напряжение, равное 430 В. Напряжение на входе равно 318В. Используя регулировочную характеристику ИРН-2: определим производную выходного напряжения в точке заданного режи-
14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых фильтров, ошибки квантования при использовании арифметики с фиксированной запятой ма: Если измерение выходного напряжения выполняется с помощью 10-битного АЦП, то в этом случае дош равно: Расчет по формуле (14.4.7) приводит к результату: N>\og7 = 10,43 битов, то есть 11 битов. Таким образом, мы увидели, что при 10-битном АЦП потребовался ШИМ с 11-ю разрядами. Еще раз отметим, что при расчете ошибки квантования, вносимой АЦП, необходимо использовать максимально допустимое выходное напряжение. Одним из способов обеспечения хороших показателей цифровой системы управ- ления является требование разрядности ШИМ большей, чем разрядность АЦП (по Рис. 14.5. Коррекция ШИМ низкого разрешения крайней мере на 1 бит). Процессор TMS320F280x имеет для этих целей специализированный ШИМ высокой разрядности. Возможны и другие способы компенсации пульсаций напряжения (тока) на выходе преобразователя, вызванные колебаниями предельного цикла. Один из них заключается во введении обратной связи с интегратором в ШИМ- контроллер [81]. На рис. 14.5 показана блок-схема данного алгоритма. Функция Trunk заключается в округлении сигнала от выхода корректирующего звена до входа в ШИМ с низким разрешением. Таким образом, работа схемы по рис. 14.5 заключается в постоянной коррекции ошибки, вызванной конечной разрядностью ШИМ. Полученная ошибка накапливается с помощью интегратора и суммируется с сигналом от звена коррекции. 14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых фильтров, ошибки квантования при использовании арифметики с фиксированной запятой Ошибки, связанные с квантованием коэффициентов фильтра, относят к влиянию конечной разрядности. Влияние конечной разрядности достаточно легко анализируется для фильтров с конечной импульсной характеристикой (КИХ- фильтров) и достаточно сложно для фильтров с бесконечной импульсной характеристикой (БИХ-фильтров) из-за действия в последних обратных связей. Степень ухудшения свойств фильтра зависит от длины слова и типа арифмети-
йа Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении ки, метода, используемого для квантования коэффициентов, и, наконец, от структуры фильтра. Перед анализом влияния конечной разрядности коэффициентов фильтра рассмотрим некоторые вопросы арифметики чисел при цифровой обработке сигналов. 14.6.1. Арифметика при цифровой обработке сигналов Любые арифметические операции при цифровой обработке сигналов выполняются либо с использованием арифметики с фиксированной запятой, либо с плавающей запятой. Существуют и другие виды арифметики, например, блочная арифметика, объединяющая достоинства обеих. В цифровой обработке сигналов пока господствует арифметика с фиксированной запятой. Основная причина этого — быстрая и недорогая реализация, однако этому виду арифметики присущи следующие недостатки: — ограниченный диапазон представления чисел; — необходимость проверки переполнения; — необходимость использования масштабирования, что снижает соотношение сигнал-шум. Арифметика с плавающей запятой используется в приложениях, где амплитуды сигналов и различные коэффициенты системы могут изменяться в значительных пределах. Арифметика с плавающей запятой практически снимает проблему переполнения, к тому же ее применение в определенной степени упрощает программирование. Технические средства арифметики с плавающей запятой дороже и менее производительны, однако в последние годы наблюдается значительное снижение разницы по скорости работы и стоимости применения. Одним из представителей ЦСП с плавающей запятой является микроконтроллер серии C67xxTexas Instruments. Рассмотрим подробнее арифметику с фиксированной запятой. В ней все переменные системы цифровой обработки сигналов представляются как дробные числа в форме дополнения до двух с фиксированной запятой. Двоичная запятая находится справа от самого старшего бита, который одновременно является и битом знака. Все переменные в ЦСП находятся в диапазоне: где В — число битов в слове. Например, пусть длина слова составляет 4 бита, тогда дробная часть всех чисел будет равна 2(4,)) = 8, а представляемые числа будут находиться в диапазоне: -1...7/8. Слова, то есть числа, хранящиеся в регистрах ЦСП, при длине слова 4 бита лежат в диапазоне: 0(0Ь0000)...15(0Ы111). Положительные числа в форме дополнения до двух не отличаются от обычного двоичного представления. Отрицательное число получается из положительного путем дополнения до двух всех его битов с последующим прибавлением 1 в младший разряд. Аналогичным образом из отрицательного числа можно получить по-
14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых фильтров, ошибки квантования при использовании арифметики с фиксированной запятой ложительное. Для чисел с выбранной длиной слова их представление с дополнением до двух показано в табл. 14.1. Таблица 14.1 Числа в представлении дополнения до двух Число 7/8 6/8 1/8 0 -1/8 1 -3/8 1 -8/8 Двоичное с представлением до двух ОЬОШ ОЬОПО ObOOOl ОЬОООО Obllll Obi101 OblOOO J Представление чисел, показанных в табл. 14.1, в литературе часто называют форматом Qi или 1.3, где 1 фактически означает диапазон чисел 2(11) = 1. Например, для 16-битного процессора получим, что числа, хранящиеся в регистрах ЦСП, лежат в диапазоне: 0(0Ь 0000 0000 0000 0000)...65535 (0Ь 1111 1111 1111 1111). Числа при использовании слова в 16 битов лежат в диапазоне: -1...1-2-15, формат представления Q\S или 1.15. В таком формате необходимо обеспечить все переменные ЦСП, однако зачастую это невозможно, и в этом заключается недостаток использования чисел с фиксированной запятой. В таких случаях при разработке переменные масштабируются в ходе арифметических операций. Поясним это на операции умножения. Пусть выполняется знаковая операция умножения 5 битов на 5 битов, при этом аккумулятор (регистр хранения результата) является 10-битовым. Пусть в умножении участвуют числа 0,6 и 0,7. 0,6-> 0,6-24* 10-> 01010; 0,7 -> 0,7 • 24« 11 -> 01011. Перемножим в двоичном коде два числа: 01010 01011 00000 01010 00000 01010 01010 0001101110
76 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении Слева в регистре появился дополнительный бит знака, поэтому число (результат перемножения) записано в формате 08 (или 2,8): 0001101110. Т дополнительный знаковый бит. После сдвига числа влево на 1 бит поучим число в формате 09 (или 1.9). 0011011100. t бит добавлен в результате сдвига влево. Для того, чтобы привести полученный результат к исходному формату, производится сдвиг вправо на 5 бит, тогда окончательно получим: 00110. Здесь самый старший бит — знаковый, его значение показывает, что произведение двух заданных чисел положительное число. Результат перемножения: (22 + 2')/24 = 0,375, что является приближением для заданного формата 04 (1.4). Точное значение перемножения исходных чисел равно 0,42. Для чисел с фиксированной запятой существует возможность представления чисел не только диапазоном —1...(1—_(В_1)), но и — 2...2(1—2~(*_1)) и т. д. В — общее число бит. Например, для 16-битных слов справедливы форматы вплоть до целочисленного представления (табл. 14.2). Таблица 14.2 Таблица форматов 16-битных слов Диапазон чисел -1...1-2-15 -2...2-214 -2 2"15-2"° Формат 015 014 00 1.15 2.14 16.0 Таким образом, можно сформулировать следующее правило: любая переменная в ЦСП с фиксированной запятой, лежащая в диапазоне —2M~1...(2M_1—2-N), где М = 1...16 и N = 15...0, представляется в формате «Q16-M» или «М.16-М». Другим примером применения масштабирования является АЦП. Если АЦП содержит 12 бит, то есть формат 012, а последующие операции потребуют формат 015, то необходимо выполнить сдвиг влево на 3 бита, что приведет результат АЦП к необходимому формату. При масштабировании должны действовать два основных правила: - необходимо обеспечить защиту от переполнения; - необходимо учитывать, что с расширением диапазона уменьшается точность представления чисел. Масштабирование необходимо применять при выполнении операции цифровой свертки. Например, если результат каждого перемножения в КИХ-фильтре 4-го порядка (рис. 14.6) является 16-битным числом в формате 015, то при выполнении четырех последовательных суммирований необходимо использовать или
14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых фильтров, ошибки квантования при использовании арифметики с фиксированной запятой 18-битный буфер, или результаты перемножения загрубить до формата Q13 перед суммированием. В приведенном выше примере, когда перемножались числа 0,6 и 0,7 в формате (?4, результат оказался заметно меньше истинного. Поскольку точность представления числа определяется значением 2~N (N— число разрядов дробной части), представление исходных чисел в формате 07(1.7) даст более точный результат (0,421875), не говоря уже о применении формата Q15 (1.15). рис иб КИХ-фИЛьтр (нерекурсивный Арифметика с плавающей запятой фильтр) стандартизована. Существует несколько стандартов, определяющих работу с данной арифметикой, среди которых стандарт IEEE754. Любое двоичное число с плавающей запятой представляется в этом случае как: М-2е, где М— знаковая мантисса; Е — экспонента. Экспонента определяет диапазон чисел, а мантисса — точность. Для чисел обычной точности по стандарту IEEE754 представление имеет вид [1]: (-l)'-(l + /).2?"127, где F — мантисса дробной части в формате дополнения до двух, представленное битами от 0 до 22; Е— экспонента в форме представления «с избытком 127», 0 < Е < 255; s — знаковый операнд. Перед мантиссой предполагается слагаемое 1 и используется смещенный порядок. Например, пусть число с плавающей точкой равно: 0 0110 0011.1100 0000 0000 0000 Экспонента равна 0110 0011 = 99, мантисса 1100...0000 = 0,75, s = 0, тогда искомое число: (-1)° • (1 + 0,75).2"-127 = 1 • 1,75 • 2"28. В приложениях, связанных с цифровым управлением устройств преобразовательной техники, или электроприводом, используются ЦСП с фиксированной запятой. Это обусловлено стоимостными параметрами, достаточной точностью 16- и 32-битных ЦСП с фиксированной запятой, а также тем, что ЦСП с плавающей запятой имеют набор периферийных устройств, не свойственных для управления преобразователями.
78 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении 14.6.2. Квантование коэффициентов цифровых фильтров Рассмотрим ошибки квантования на примере рекурсивного цифрового фильтра второго порядка (фильтр с бесконечной импульсной характеристикой — БИХ- фильтр). Его передаточная функция записывается в виде: Алгоритм работы фильтра в ЦСП описывается разностным уравнением: Коэффициенты ак и Ьк, полученные в результате разработки фильтра, имеют высокую точность, реализация же их в микроконтроллере, связанная с конечной разрядностью чисел, может приводить к ошибкам при вычислениях. Коэффициенты фильтра, полученные реализацией в ЦСП с конечной разрядностью, считаются квантованными. Ошибки от применения цифровых фильтров в ЦСП, связанные, кстати, не только с ошибками квантования коэффициентов, приводят к изменению частотной характеристики фильтра и, следовательно, к искажению временного сигнала на его выходе. При малом числе разрядов, отведенных в ЦСП для коэффициентов фильтра, может произойти потеря устойчивости его работы. Примем следующие коэффициенты рассматриваемого фильтра 2-го порядка: Воспользуемся функцией qfilt в MATLAB, создающей объект квантованного фильтра и подробно описанной в [39]. Мы используем вызов этой функции следующим образом: hq= qfilt(dfl',fy, a\quantizer1\ где 9dfl9 - реализация фильтра в прямой форме (Direct Form I); {b, a} - массив из двух векторов, задающих коэффициенты полиномов числителя и знаменателя передаточной функции фильтра; quantizer, \М, N] _ параметр функции и его значение — задание общего формата квантования для всех величин фильтра. [М, N] — формат с фиксированной запятой с длиной слова М битов и N битами дробной части. В нашем случае зададим формат чисел [8, 4]. Возврат полученных и исходных коэффициентов получим с помощью функции: [bq, aq, br, ar] = tfQiq) 9 где bq, aq— значения коэффициентов полиномов числителя и знаменателя передаточной функции квантованного фильтра; br, яг — те же коэффициенты для исходного фильтра.
14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых - _ J^s фильтров, ошибки квантования при использовании арифметики с фиксированной запятой ™ Рис. 14.7. /и-файл для создания и демонстрации работы цифровых фильтров В показанном ниже т-файле (рис. 14.7) задан входной импульсный сигнал (меандр) с амплитудой 0,5 и с полупериодом, в котором используется 150 точек квантования. Затем этот сигнал пропускается через цифровой фильтр с исходными и квантовыми коэффициентами. Несколько команд в /w-файле предназначены для одновременного размещения двух рисунков на экране. Результат работы двух фильтров с исходными и квантованными коэффициентами показан на рис. 14.8. Заметим, что в команде у—q = ... /w-файла в списке параметров функции filter должны присутствовать коэффициенты квантованного фильтра (bq, aq), но никак не объект hq. Расхождение сигналов, полученных на выходах исходного и квантованного фильтров, можно было бы предсказать, сравнив их частотные характеристики. Фильтры, имеющие разные частотные характеристики, будут показывать и различие сигналов во временной области при одном и том же сигнале на входе. Рис. 14.8. Результат работы исходного цифрового фильтра (слева) и фильтра с квантованными коэффициентами. Входной сигнал — импульсы, 150 выборок за полупериод
E? Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении Рассмотрим еще один пример, имеющий непосредственное отношение к проектированию фильтра ЦСП при разработке системы управления. Пусть коэффициенты фильтра были получены с помощью SISO Design Tool для коррекции частотных характеристик разомкнутой системы управления. На рис. 14.9 показаны частотные характеристики только звена коррекции, найденная передаточная функция которой C(z) отображается в левом верхнем углу окна SISO Design Tool. Частота дискретизации фильтра 50 кГц (Td = 20 мкс). Выполним экспорт передаточной функции C(z) в Workspase (File > Export > Compensator С Export to Workspase), а затем в рабочем окне или в созданном Аи-файле запишем команды: Td=20e-6 Рис. 14.9. Частотные характеристики звена коррекции (цифрового фильтра) C(z) = 0.913 х ((z - 0.929)(z - 0.999))/ /((z-l)(z-0.976)) \num_u, denju, Td]=tfdata(C, V) где Td — период дискретизации; numju и denju — векторы числителя и знаменателя цифрового фильтра, полученные с помощью SISO Design Tool. Функция tfdata(C, V) возвращает числитель и знаменатель передаточной функции С, заданной в форме ZPK модели. Параметр' v' заставляет функцию tfdata возвращать числитель и знаменатель непосредственно в виде строк. Две указанные выше команды приводят к появлению информации на экране: пит_и- 913.0000^-003 -1.7597ef000 846.744k-003 denji- l.OOOOefOOO -1.9755ef000 975.5518^-003 Td= 20.0000^-006 Результат представления коэффициентов в арифметике с фиксированной запятой определяется наибольшим модулем коэффициента, который в нашем примере равен 1,9755. Следовательно, коэффициенты в лучшем случае могут быть представлены в форматах 030 для 32 битных слов и Q14 для 16-битных. Для демонстрации влияния коэффициентов примем формат Q15 для 32-битных слов. Используем снова функцию qfilt, создающую квантованный фильтр в заданном формате. Созданный /w-файл записывается следующим образом:
14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых фильтров, ошибки квантования при использовании арифметики с фиксированной запятой Рис. 14.10. Модель, показывающая влияние квантования коэффициентов на частотные ха рактеристики фильтра и его поведение во временной области % QuantFilter clc Td=20e-6; [numju, den_u, Td]=tfdata(C, V) hq=gfilt^dfY, \num_u, den_u},'quntizer' [32, 15]) [bq, aq, br, ar]=tf(hq) Слева от равенства в последней строке файла возвращенные с помощью функции //'коэффициенты исходного фильтра (br, ar) и квантованного с заданной разрядностью (bq, aq). Покажем с помощью модели Simulink (рис. 14.10) влияние квантования коэффициентов фильтра на его частотные характеристики и его поведение во временной области. Исходный фильтр имеет коэффициенты числителя и знаменателя br, ar, квантованный фильтр — коэффициенты bq, aq. Все коэффициенты фильтров получены в результате работы /w-файла, рассмотренного выше. На рис. 14.11 показаны частотные характеристики обоих фильтров; из него можно видеть, что нет различия в характеристиках фильтра, показанных на рис. 14.9, и в характеристиках исходного фильтра на рис. 14.11. Различие в поведении обоих фильтров проявляется только в некоторой области частот, причем один полюс квантованного фильтра переместился в область очень низких частот, можно сказать, что этот фильтр фактически содержит интегратор. Рис. 14.11. Частотные характеристики исхо- Результаты работы модели, показан- дного и квантованного фильтров. Различие ной на рис. 14.10, во временной области, наблюдается в области нижних частот
Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении можно увидеть из рис. 14.12. Амплитуда входного синусоидального сигнала 0,5 В, частота — 2,5 Гц (верхняя осциллограмма). Амплитуда выходного сигнала исходного фильтра (средняя осциллограмма) на данной частоте несколько больше амплитуды на выходе квантованного фильтра, что хорошо согласуется с логарифмическими амплитудными характеристиками фильтров (рис. 14.11). Фаза сигнала квантованного фильтра заметно отстает от фазы исходного фильтра, что также хорошо поясняется с помощью рис. 14.11. Если формат чисел при квантовании коэффициентов фильтра изменить, например, принять длину слова 32 бит при длине дробной части хотя бы 26 бит, картина для данного примера изменится радикально. Частотные характеристики исходного и квантованного фильтров совпадут, а выходные сигналы этих фильтров окажутся неразличимыми для любой частоты входного сигнала. Рис. 14.12. Результаты работы исходного (средняя осциллограмма) и квантованного (нижняя осциллограмма) фильтров. Вверху показан входной сигнал. 14.6.3. Анализ точности в MATLAB с помощью блоков для моделирования систем ЦОС Среда MATLAB Simulink имеет достаточно мощные средства для моделирования цифровых систем и позволяет учитывать арифметические возможности ЦСП. Как было показано ранее, для цифрового управления в настоящее время главным образом применяется арифметика с фиксированной запятой. Для обработки цифровых сигналов в MATLAB имеется Signal Processing Blockset, содержащий набор блоков, размещенных внутри нескольких ориентированных для решения определенных задач библиотек. Эти библиотеки разработаны специально для приложений, в которых производится цифровая обработка сигналов. Отдельными блоками можно воспользоваться либо из основной библиотеки Signal Processing Blockset, либо из Simulink Library Browser. Блоки (модели) для цифровой обработки позволяют выполнить настройку атрибутов сигналов, таких как длина слова, масштабность целочисленного представления, позволяют вести работу с различными фильтрами, выполнять математические операции. Библиотеки Signal Processing Block- set показаны на рис. 14.13. Рассмотрим простейшие способы моделирования цифровых фильтров, используя особенности свойств арифметики с фиксированной запятой. Одним из элементов преобразования Рис. 14.13. Библиотека Signal Processing Blockset
14.6. Арифметика при обработке сигналов, квантование коэффициентов цифровых фильтров, ошибки квантования при использовании арифметики с фиксированной запятой 3Э сигналов является блок Convert, позволяющий преобразовывать сигналы в различные форматы. На рис. 14.14 показано окно свойств блока, в котором для примера заданы следующие параметры выходного сигнала: — тип выходных данных: sfix(16) — фиксированная запятая, длина слова 16 битов; — масштаб представления: 2А-15 - диапазон входа -1... 1; — формат входного/выходного сигналов: RWV (Real World Value); — округление при целочисленных расчетах: Floor (приведение к ближайшему меньшему целому числу). На рис. 14.15 показан простой пример: Рис. 14.14. Свойства блока Convert Рис. 14.15. Пример работы блока Convert Рис. 14.16. Модели цифровых фильтров для анализа влияния представления сигналов и коэффициентов
184 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении минимальное положительное число для данного представления 1/32768 преобразуется с помощью блока Convert и выводится на дисплей. Если данный входной сигнал уменьшить на любое значение, например на 0,5/32768, — на дисплее будет 0. Аналогично, если максимальное положительное число для представления Q15 — 32767/32768 увеличить, допустим, на 214, то появится сообщение об ошибке. На рис. 14.16 показаны модели трех фильтров второго порядка. Исходным является рассмотренный ранее фильтр второго порядка, он смоделирован при исходных коэффициентах на основе блока Discret Filter. Коэффициенты двух других фильтров соответствуют формату Q30 (пит_и(п) и den_u(n)) — фильтр в середине рисунка и формату Q15 (num_uq(n) и den_uq(n)) — фильтр в нижней части рисунка. Блоки усиления, устанавливающие коэффициенты, настроены на работу с 32-битными входными сигналами. В блоке Discret Filter нет ограничения на коэффициенты. Результаты решения разностных уравнений квантованных фильтров получаются в блоках суммирования, данные блоки фактически моделируют аккумулятор процессора (32-бита, формат Q30). На рис. 14.17 показаны результаты моделирования трех фильтров при входном воздействии типа единичный скачок. Выходные сигналы двух фильтров — исходного и квантованного с коэффициентами в формате Q30 оказываются одинаковыми. Значительно отличается от них выходной сигнал фильтра с коэффициентами в формате (Л 5. Можно видеть, что расхождение в выходных сигналах особенно велико с возрастанием времени, что объясняется различием частотных характеристик фильтров (рис. 14.11) в низкочастотной области. При подаче на входы всех трех фильтров синусоидального сигнала (амплитуда 0,1 В, частота 1000 Гц) выходные сигналы всех трех фильтров совпадают (рис. 14.18). При частоте 1000 Гц имеет место совпадение на этом участке частотных характеристик фильтров, что можно видеть из рис. 14.11 и что подтверждается временными диаграммами. Рис. 14.17. Результаты моделирования цифровых фильтров при скачке входного сигнала Рис. 14.18. Результаты моделирования трех фильтров (модель рис. 14.16). Выходные сигналы совпали между собой
14.7. Ошибки цифровых фильтров, вызванные округлением и переполнением I4.7. Ошибки цифровых фильтров, вызванные округлением и переполнением При умножении чисел с фиксированной запятой происходит увеличение числа значащих цифр произведения, что было показано на примере перемножения чисел 0,6 и 0,7, представленных в форматах 1.4. Для представления результата в том же формате приходится производить округление, что приводит к потере точности. Другой пример возникновения ошибок округления можно увидеть, рассматривая решение разностного уравнения в ЦСП. В рассмотренном ранее примере рекурсивного фильтра второго порядка при представлении коэффициентов фильтра 32-битном словом в формате 030 имеем: Z>0=0,91256861574948 ; ?,=-1,75566224101931 ; Ь2 =0?4317933488637 ; ^=-1^7562042530626 ; я2=0?7562556993216 . Алгоритм реализации фильтра в ЦСП: Пусть результатом каждого перемножения является 64-битное знаковое слово. При операции умножения в ЦСП производится сдвиг вправо на 32, то есть деление на 232. Восстановление масштабов производится программным способом сдвигом влево. Если исходный сигнал имеет формат Q15 при 16-битной длине слова, тогда операция умножения с учетом сдвига даст результат: Поскольку точность числа, состоящего из В битов, равна ±0,5 • 2~в, результат после перемножения становится менее точным в 4 раза. Для того, чтобы избежать потери точности, необходимым условием является меньшая разрядность входных сигналов фильтра, чем разрядность коэффициентов или внутренних сигналов. В данном примере приведем входной сигнал перед использованием в фильтре к формату Q17, тогда результат сохранит свою точность относительно исходного сигнала: При выполнении алгоритма фильтрации в ЦСП приходится учитывать, что некоторые коэффициенты по своему значению выходят за пределы диапазона [—1, 1 ], принятого для форматов 1.15 или 1.31. Покажем, как в этом случае помогают операции масштабирования коэффициентов. Пусть требуется реализовать нерекурсивный фильтр 3-го порядка с коэффициентами: *0=1;А1 = 2;*2 = 3;А3 = 3,9. Входные данные не превышают по модулю 1. Масштабируем коэффициенты фильтра, используя множитель 2~2, что соответствует сдвигу числа вправо на два бита. После суммирования четырех произведений необходимо умножить результат на константу 22.
86 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении Рис. 14.19. Нерекурсивный фильтр с масштабированием коэффициентов Следует учесть, что при произведенной операции результат суммирования может превзойти 1, что не позволит хранить его в регистре для чисел [— 1, 1 ]. Поэтому масштабирование с коэффициентом 23 будет наиболее приемлемым вариантом. Схема фильтра с учетом масштабирования и генератором сигналов на входе показана на рис. 14.19. Другой способ масштабирования заключается в изменении коэффициентов фильтра [1], в данном случае, для нерекурсивного фильтра — коэффициентов импульсной характеристики h(m), согласно соотношению: Для рассмотренного фильтра коэффициенты фильтра делятся на число: И в данном случае, для сохранения правильности результата, требуется компенсация масштабирования коэффициентов фильтра. 14.8. ПИД-регуляторы в системах управления преобразователями ПИД-регулятор (пропорционально-интегральный дифференциальный), предложенный десятки лет назад, является удобным и эффективным устройством, позволяющим провести необходимую коррекцию динамических свойств системы.
14.8. ПИД-регуляторы в системах управления •» я7^8 преобразователями W&-J0 Принцип действия ПИД-регулятора основан на параллельном соединении звеньев системы автоматического управления — пропорционального, интегрального и дифференциального (рис. 14.20). Довольно часто используется иная структура ПИД-регулятора, в которой _ %. _л _ _„_ *J \Г н J у * Рис. 14.20. Структура ПИД-регулятора входной сигнал непосредственно воздействует только на пропорциональное звено (рис. 14.21). Для такой структуры, которую мы будем рассматривать в дальнейшем, справедливо уравнение: (14.8.1) В изображении по Лапласу последнее уравнение при нулевых начальных условиях записывается в виде: (14.8.2) Передаточная функция ПИД-регулятора в непрерывной системе, следовательно, записывается в виде: (14.8.3) где Кр, Кj = — и KD = TD — коэффициенты усиления регулятора. Числитель дроби (14.8.3) представим в следующем виде: Выражение (14.8.4) запишем в виде: (14.8.4) где (14.8.5) Рис. 14.21. Структура ПИД-регулятора с вынесенным пропорциональным звеном
Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении (14.8.6) Z\,z2 — нули передаточной функции (14.8.3). Считая, что нули (14.8.3) действительные и отрицательные числа, выразим их через соответствующие постоянные времени: Тогда передаточная функция ПИД-регулятора (14.8.3) запишется виде: (14.8.7) Используя инструментарий MATLAB SISO Design Tool, можно подобрать требуемую коррекцию системы управления в виде передаточной функции, содержащей интегратор и два реальных дифференцирующих звена: (14.8.8) где коэффициент усиления Кх и постоянные времени Tzl, Ta определяются подбором в SISO Design Tool. Коэффициент передачи Кр и постоянные времени ПИД- регулятора, входящие в (14.8.3), еще предстоит определить. Приравняв правые части выражений (14.8.7) и (14.8.8), учитывая (14.8.5) и (14.8.6), а также соотношения между zx, Z2 и Tzl9 Ta, найдем: (14.8.9) (14.8.10) (14.8.11) Последние три выражения позволяют определить требуемые значения Кр, 7) и TD (или К„ KD), входящие в передаточную функцию ПИД-регулятора, через параметры КХ9 ТгХ и Тф найденные в результате работы SISO Design Tool. В MATLAB можно задать и кратные нули искомой передаточной функции. Это означает, что Tzl = Та = Tz и выражения (14.8.9...14.8.11) упрощаются: (14.8.12) (14.8.13) (14.8.14> В том случае, если одна из постоянных времени Tzl или Та равна нулю, имеем пропорционально-интегральный (ПИ) регулятор, и в этом случае также упрощаются выражения (14.8.9...14.8.11). В окне SISO Design Tool «Current Compensation» корректирующее звено может быть представлено не через постоянные времени форсирующих звеньев, как в (14.8.8), а с помощью нулей передаточной функции (настройка в Edit > Siso Tool Preferences > Options):
14.8. ПИД-регуляторы в системах управления - ft J§Ss преобразователями \^-Ж (14.8.15) Что соответствует записи передаточной функции ПИД-регулятора в виде: (14.8.16) Из (14.8.15) и (14.8.16), учитывая (14.8.5) и (14.8.6), получим требуемые коэффициенты ПИД-регулятора, выраженные через коэффициент К2 и нули передаточной функции zx, Z{. (14.8.17) (14.8.18) (14.8.19) Рассмотрим теперь случай, когда нули в передаточной функции ПИД- регулятора (14.8.3) являются комплексными. Задание комплексно-сопряженного нуля в SISO Design Tool приводит к появлению в окне «Current Compensation» передаточной функции в виде: (14.8.20) Сравнение (14.8.3) и (14.8.20) позволяет определить коэффициенты ПИД- регулятора для этого случая: (14.8.21) (14.8.22) (14.8.23) При работе ПИД-регулятора в дискретной системе, выполнив в (14.8.3) замену: получим из передаточной функции (14.8.3): (14.8.24) Можно не проводить расчеты Кр, Тги TD (Kl9 и KD) по вышеприведенным формулам вручную, а определять их с помощью средств MATLAB. Рассмотрим пример. Пусть в SISO Design Tool получены частотные характеристики исходной системы, которые соответствуют единичной передаточной функции непрерывной систе-
|J390 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении мы. Эти частотные характеристики экспортируются после работы mdl- файла в Workspace, после чего командой sisotool выполняется переход в SISO Design Tool и производится импорт указанной системы. Добавим к формируемому звену коррекции интегратор (Edit > Open-Loop Bode > Add Pole/zero > Integrator), а после этого комплексно-сопряженные нули (Compensators > Edit > С > Edit Рис. 14.22. Пример построения ПИД-регулятора, Compensator С) и коэффициент уси- нули комплексно-сопряженные ления к д^ нашего примера примем C(s) = 0.000144 х ((sA2 + 4.25e+003s + 1.09e+007)/(s)) ™жж„„™„л ™™™«™™ ™™ ™» v' vv "v" комплексно-сопряженные нули равными —2125 ±/2524,84, а коэффициент усиления равным 1,4410~4. На рис. 14.22 показаны частотные характеристики звена коррекции, полученные с помощью SISO Design Tool. В левом верхнем окне можно видеть передаточную функцию этого звена: Далее экспортируем передаточную функцию в рабочую область (Workspace), например, с именем Сотри (следует выполнить переименование (Rename) в Workspace). Ниже показан /и-файл, в котором рассчитываются коэффициенты ПИД- регулятора непрерывной системы, а затем они же пересчитываются в соответствующие коэффициенты ПИД-регулятора, работающего в дискретной системе с периодом дискретизации 20 мкс. clc Td = 20e-6 % период дискретизации \num_pid, den_pid]=tfdata(Compuy) % определение коэффициентов Рис. 14.23. Результаты расчетов коэффициентов ПИД-регулятора
14.8. ПИД-регуляторы в системах управления преобразователями % передаточной функции ПИД-регулятора % определение коэффициентов ПИД-регулятора Кр = num_j>id(2) KI= num_pid(3)/Kp KD=num_j>id(l)/Kp % определение коэффициентов % ПИД-регулятора дискретной системы Kp_Td=Kp KI_Td=KI*Td KD_Td=KD/Td На рис. 14.23 показаны результаты расчетов, выполненные с использованием /я-файла. Легко проверить, что коэффициенты ПИД-регулятора, определенные по формулам (14.8.21...14.823) при использовании передаточной функции C(s), оказываются очень близкими к тем, что показаны на рис. 14.23. 14.8.1. Работа ПИД-регулятора с учетом насыщения Ранее рассматривалась линейная схема ПИД- регулятора, в которой не было учета реального насыщения его выходной характеристики. При заходе рабочей точки в область насыщения характе- рис ы и Схема регулятора^ в КОТорой разностный сигнал ристики быстродействие от блока ограничения поступает на сумматор после интегри- ПИД-регулятора, если не руЮщего звена принимать никаких мер, снижается, а динамика всей системы резко ухудшается. Можно контролировать заход рабочей точки в область насыщения и формировать сигнал, улучшающий работу ПИД-регулятора. Рассмотрим работу двух регуляторов, полагая для упрощения, что дифференцирующее звено отсутствует. На рис. 14.24 показана первая схема регулятора, в которой разностный сигнал между выходом (ивых) и входом в ограничитель (ис) поступает через усилитель с коэффициентом Кс на сумматор. Последний включен на выходе пропорционального (А^,) и интегрального (Кр K,/s) звеньев. На этом рисунке е — входной сигнал регулятора. Допустим, выходной сигнал находится на участке насыщения ограничителя и не изменяется. В т. 1 (вход ограничителя) сигнал равен: Отсюда, понимая передаточную функцию регулятора как отношение изображений по Лапласу сигналов ис и е, получим:
92 Глава 14. Применение фильтров и ПИД-регуляторов при цифровом управлении После простого преобразования последнего выражения получим окончатель- но: (14.8.25) Соотношение (14.8.25) показывает, что в данном случае принципиально сохраняется интегральная часть регулятора при его работе в области насыщения. На рис. 14.25 показана вторая схема регулятора, в которой разностный сигнал от блока ограничителя поступает через усилитель (Кс) на сумматор до интегрирующего звена. Рассматривая эту схему, мы также, как и в первом случае, считаем, что сигнал ивых достиг насыщения и не изменяется. Получим для этих условий передаточную функцию регулятора, рассматривая сигналы в отдельных точках, показанных на схеме рис. 14.25: Рис. 14.25. Схема регулятора, в которой разностный сигнал от блока ограничения поступает на сумматор до интегрирующего звена Из последнего соотношения получим: (14.8.26)
14.8. ПИД-регуляторы в системах управления преобразователями Сравнивая (14.8.25) и (14.8.26), можно видеть, что при насыщении (ивых находится на участке насыщения) во втором регуляторе нет интегратора. Следовательно, рабочая точка не может уйти далеко на участок насыщения ограничителя. Поэтому быстродействие регулятора во втором случае оказывается выше, чем в первом. В качестве доказательства рассмотрим рис. 14.26, на котором нижняя осциллограмма показывает входной сигнал, Рис. 14.26. Сравнение двух регуляторов, перепоступающий на оба регулятора, даточные функции которых описываются вы- Средняя осциллограмма соответству- ражениями (14.8.26) и (14.8.25). Нижняя осцил- ет выходному сигналу первого регу- лограмма - входной сигнал лятора, а верхняя — выходному сигналу второго. Осциллограммы ясно показывают преимущество в быстродействии второго регулятора с передаточной функцией (14.8.26). В обоих случаях коэффициент передачи Кс был принят равным единице, а коэффициенты Кр, Kfn KD были одинаковы для обоих ПИД-регуляторов.
ЧАСТЬ IV. ПОСТРОЕНИЕ АНАЛОГОВЫХ И СМЕШАННЫХ СИСТЕМ УПРАВЛЕНИЯ ГЛАВА 15 ПРОЕКТИРОВАНИЕ ДИНАМИЧЕСКИХ СВОЙСТВ КОРРЕКТОРА КОЭФФИЦИЕНТА МОЩНОСТИ ПРИ ИСПОЛЬЗОВАНИИ СТАНДАРТНОГО КОНТРОЛЛЕРА Обычным при проектировании корректора коэффициента мощности (ККМ) является применение стандартного контроллера, позволяющего в одной микросхеме получить требуемые операции преобразования и усиления сигналов, обеспечивая необходимый импульсный сигнал на входе силового ключа. Покажем, каким образом следует определять параметры корректирующих цепей при использовании применяемого для этих целей контроллера UC2854 фирмы Texas Istruments. 15.1. Исходные данные для проектирования Напряжение сети, В, rms 170...300. Частота сети/с, Гц 47...63 . Мощность на выходе номинальная, Вт 1100. Напряжение на выходе, В 410...420. Переменная составляющая напряжения на выходе, пик-пик, В < 30. Провал выходного напряжения при набросе нагрузки до номинальной, В < 70. Длительность переходного процесса на выходе при набросе нагрузки до номинальной, мс ; 40. Коэффициент мощности при номинальной нагрузке и номинальном напряжении сети (220 В) > 0,98. Напряжение сети при снижении мощности на выходе, В, rms 85... 170. Частота коммутации ШИМ, кГц 50. В приведенных данных rms — действующее значение. За основу ККМ принимается повышающая схема импульсного регулятора напряжения (ИРН-2). 15.2. Параметры элементов силовой части Расчет и выбор элементов силовой части, который здесь не показан, привел к следующим результатам: L = 680 мкГ, сердечник — аморфное железр, типоразмер АМСС-4, суммарный зазор 1,6 мм, две половины обмотки выполнены на двух каркасах проводом 0,84 мм и соединены параллельно.
15.3. Подключение контроллера 39! С = 330 мкФ, 450 В, Rc = 410 мОм. При работе с преобразователем DC-DC — два конденсатора по 330 мкФ. Резистор RT = 0,08 Ом, мощность 5 Вт. Ключ-транзистор IRFP 460. Диод — типа SDT06S60 на основе карбида кремния. Выпрямительный мост — типа KBU8J. 15.3. Подключение контроллера Некоторые параметры внешних цепей, подключаемых к контроллеру, должны учитываться при расчете корректирующих цепей. Поэтому необходимо подробнее рассмотреть и состав микросхемы, и подключение контроллера в схему ККМ. На рис. 15.1 показана часть схемы контроллера, элементы которой влияют на динамические свойства ККМ. На рисунке показан операционный усилитель рассогласования по напряжению (ОУН), на прямой вход которого поступает опорное напряжение 7,5 В от внутреннего источника, а на инверсный — от BbiBOflaVSENSE — часть выходного напряжения ККМ. На вывод микросхемы IAC поступает ток, по форме повторяющий выпрямленное напряжение сети. На вывод VRMS поступает напряжение, пропорциональное среднему (не действующему) напряжению сети за полупериод. Это напряжение затем возводится в квадрат в блоке дс2. Перемножитель выполняет операцию AB/Q где А — сигнал на выходе ОУН, В- сигнал, задающий форму входного тока ККМ, и С- сигнал с выхода квадратора, реализующего функцию х2. Выход перемножителя — токовый сигнал, обозначенный на рис. 15.1 как IM. Этот ток проходит, как видно из рис. 15.1, через сопротивления RT и RTC (RTC > > RT), создавая напряжение сигнала на прямом входе операционного усилителя по току (ОУТ). С другой стороны, на входе ОУТ действует напряжение, создаваемое в результате прохождения выпрямленного входного тока ККМ через сопротивление RT (полярность напряжения показана на рис. 15.1). Рис. 15.1. Узлы микросхемы контроллера, оказывающие непосредственное влияние на динамические свойства ККМ
Глава 15. Проектирование динамических свойств корректора коэффициента мощности при использовании стандартного контроллера Рис. 15.2. Подключение внешних цепей к контроллеру По отношению к источнику сигнала, каким является падение напряжения на сопротивлении R^ усилитель по току включен дифференциально через одинаковые сопротивления RTC и RTCl, что необходимо для снижения уровня помех. Дифференциальный сигнал на входе ОУТ вычисляется из сигнала, создаваемого выходным током перемножителя. Выходной сигнал ОУТ поступает на инверсный вход компаратора, на прямом входе которого действует пилообразное напряжение, создаваемое генератором (Ген) и конденсатором, подключенным к выводу СТ. Таким образом в микросхеме реализован ШИМ. Размах пилообразного напряжения определяет коэффициент передачи ШИМ. На рис. 15.2 показано подключение внешних цепей, влияющих на динамические свойства ККМ, к контроллеру. Выходное напряжение делителя Rg, RH поступает на инверсный вход ОУН (VSENSE) и сравнивается с опорным напряжением. На вывод IAC от напряжения ивыпр = V21 исети | через сопротивление RAC поступает ток, по форме повторяющий синусоидальное выпрямленное напряжение. Несколько резисторов и конденсаторов образуют двухзвенный RC-фильтр, поэтому на вывод контроллера VRMS поступает постоянная составляющая выпрямленного напряжения сети, пропорциональная действующему значению. Сопротивления RTC и RTa равны и во много раз превышают низкоомное измерительное сопротивление Rr Задача формирования динамических свойств ККМ заключается, во-первых, в создании выпрямленного входного тока (а, следовательно, и тока сети), предельно близкого к синусоидальному, и, во-вторых, удовлетворительному поведению выходного на-
15.4. Исходные данные для создания модели в МЛ TLAB 3 9 пряжения при изменениях напряжения сети и тока нагрузки ККМ. Обычно изменения тока нагрузки наиболее резко влияют на выходное напряжение ККМ. 15.4. Исходные данные для создания модели в MATLAB Силовая часть ККМ (ИРН-2) представляется непрерывной моделью. При работе ККМ не существует установившегося режима — входное напряжение и коэффициент заполнения непрерывно изменяются. Поэтому необходимо выбрать режим ИРН-2 по постоянному току. Для создания модели — ./я*//-файла — необходимо ввести в нее все цепи, подключаемые к контроллеру. При этом следует опираться на техническую документацию производителя, в которой раскрываются особенности применения контроллера. Пример расчета подключаемых к контроллеру цепей приведен в [23]. Пользуясь приведенными там сведениями, производятся соответствующие расчеты, и мы будем полагать, что значения внешних элементов, подключаемых к микросхеме (конденсаторов и резисторов), известны. Конечно, речь не идет о цепях коррекции по напряжению и току, которые еще предстоит определить. Коэффициент передачи делителя Re, RH (рис. 15.2) от выхода ККМ к выводу VSENSE: сопротивления Re, RH в килоомах. Коэффициент передачи двухзвенного фильтра с учетом перевода действующего значения напряжения в среднее: Сопротивление RAC, задающее мгновенный ток на вывод IAC, состоит из нескольких сопротивлений, установленных на плате управления, и равно: RAC =365 + 2 274 = 913 к. Сопротивления RTCnRTCl выбраны по 3,3 к. Размах напряжения на конденсаторе Ст составляет согласно документации на UC2854 около 5 В. 15.5. Модель корректора коэффициента мощности в MATLAB На рис. 15.3 показан фрагмент ККМ модели MATLAB, которая воспроизводит функции перемножителя микросхемы. Выход ОУН (сигнал ивыхоун) поступает на усилитель, коэффициент передачи которого равен lA^mAep)2 . Тем самым с помощью коэффициента кпер воспроизводится среднее напряжение, получаемое с помощью двухзвенного RC- фильтра, затем полученное напряжение Рис. 15.3. Реализация операций умножения и возводится в квадрат и обратная величи- деления в модели ККМ
P»H Глава 15. Проектирование динамических свойств корректора коэффициента мощности при использовании стандартного контроллера Рис. 15.4. Схема модели ККМ, включающая непрерывную линейную модель ИРН-2 под- программа (Boost) на поступает на перемножитель. На другой его вход поступает сигнал, получаемый от мгновенного выпрямленного напряжения сети при прохождении тока через RAC. Выход перемножителя — ток в размерности мА, поскольку такой же выбрана размерность тока, проходящего через сопротивление RAC. Поэтому напряжение от прохождения этого тока через сопротивление RTC(pnc. 15.1,15.2) получается после блока RTC, моделирующего сопротивление 3,3 к. На рис. 15.4 показана модель ККМ, выполненная на основе непрерывной линейной модели ИРН-2. НЛМ, используемая в данном случае в MATLAB, показана на рис. 15.5. В модели ИРН-2 (рис. 15.5) два активных сопротивления (RI и R2) по несколько миллиом, не влияющие на протекающие процессы. Напряжение Vinmodel — это постоянное напряжение на входе ИРН-2 (напряжение источника DCVS на рис. 15.4), напряжение V0 — выходное напряжение ИРН-2. Оба напряжения задают режим работы схемы по постоянному току, по ним определяется коэффициент заполнения D в заданном режиме. В схеме управления ККМ, модель которой показана на рис. 15.4, два контура обратной связи — по выходному напряжению и по току дросселя. Контур по напряжению — медленный, его задача — стабилизировать напряжение на выходе, в то время как контур по току быстрый, с широкой полосой пропускания, он должен формировать синусоидальную форму тока в течение полупериода сети. В контуре по напряжению блок кш реализует выходной делитель ККМ, его коэффициент передачи Vnf/Vout - отношение опорного напряжения к выходному. В блоке Fcuz из выходного напряжения ОУН вычитается единица, что требует алгоритм работы перемножителя микросхемы. Блок «0...5.8» показывает ограничение напряжения ОУН. Сигнал тока дросселя проходит через блок Кь, воспроизводящий сопротивление токового датчика, — 0,08 Ом. В блоке PWM (ШИМ) выставлен коэффициент 0,2, поскольку размах «пилы» принят равным 5 В согласно документации на микросхему. Следовательно, коэффициент передачи ШИМ равен 1/5. На рис. 15.4 корректирующие цепи в контурах по напряжению и току отсутствуют — по-
75.5. Модель корректора коэффициента мощности eMATLAB Рис. 15.5. Схема непрерывной линейной модели ИРН-2 подпрограмма (Boost) казана исходная схема до введения коррекции. Разомкнут контур по напряжению (входной сигнал In 1,выходной сигнал — Оий), контур по току замкнут, суммирование сигналов обоих контуров производится в сумматоре с отрицательной связью по току. В схеме показаны также выходной конденсатор С\ со своим эквивалентным последовательным сопротивлением и сопротивление нагрузки R. Задание параметров элементов и режима работы производится в /и-файле со следующей программой: clc Vrms = 220; % действующее значение напряжения сети Vin = Vrms*sqrt (2) % амплитудное значение напряжения сети Vo = 420; % задание выходного напряжения Р= 10; % задание выходной мощности L = 680е-6; /Я = 100е-3; %сопротивление обмотки дросселя Г—=350; D=\-VinmodJVo R= Уол2/Р С=0.33е-3; Re = 410е-3; % сопротивление схемы замещения конденсатора RAC= 365 + 2*274 % сопротивление в цепи задания формы тока, кОм #1 = 15.1;/$/2 = 47; #3 = 365; kper= Rf\*0.9/(Rf\ + Rfl + 3*/?/3) Vref= 7.5; %эталонный источник напряжения Kus=7.5/Vo Kis = 0.08; %сопротивление датчика тока
Глава 15. Проектирование динамических свойств корректора коэффициента мощности при использовании стандартного контроллера 15.6. Корректирующие звенья по напряжению и току В схеме на рис. 15.4 отсутствуют звенья коррекции, поэтому в таком виде замкнутая обратными связями схема ККМ является неработоспособной. Рис. 15.6. Частотные характеристики ККМ, Рис. 15.7. Частотные характеристики ККМ, разомкнут контур по напряжению, звенья разомкнут контур по напряжению, включено коррекции отсутствуют (C(s) = 1) звено коррекции по напряжению (C(s) = 1) Рис. 15.6 показывает частотные характеристики ККМ, построенные при размыкании контура по напряжению (рис. 15.4) при отсутствии корректирующих звеньев. Можно видеть недопустимо малый коэффициент передачи на низких частотах, наклон асимптоты ЛАХ равен — 20 дБ/дек. С помощью инструментария SISO Design Tool формируется коррекция по напряжению, в результате получается передаточная функция: (15.6.1) На рис. 15.7 показаны частотные характеристики ККМ для разомкнутого контура по напряжению при включении звена с передаточной функцией (15.6.1). Из рис. 15.7 видно, что низкочастотное усиление возросло на 30 дБ, а наклон высокочастотной асимптоты составил теперь 40 дБ/дек. Рис. 15.8. Схема модели ККМ, размыкание контура в цепи сигнала d (коэффициент заполнения), включено звено коррекции по напряжению
15.6. Корректирующие звенья по напряжению и току 40 Г фдс p/W-> J C2 г—л/vwmn-.—¦|77|^ L Г"[13~П lul Т »^ГУас1 1 ' Goto3 ш TrfcnUPFC1000 Fcn2 Sat1 Sat5 Productl * [fVacfrwi/RAT^rsJ From7 L—-ГГТ7 Qdpp Goto2 Ramp Рис. 15.9. Схема замкнутой модели ККМ, включены звенья коррекции по напряжению и току Для построения корректирующего звена по току перенесем место разрыва замкнутого контура после блока насыщения Sat2 (после сумматора), рис. 15.8. Теперь система разомкнута таким образом, что оба контура — по напряжению и току — действуют параллельно. В разомкнутой системе следует не забыть изменить знак сигнала по току в сумматоре—теперь оба сигнала, приходящие в сумматор, должны быть положительными. Коррекция по току формируется в SISO Design Tool таким же образом, как и коррекция по напряжению. В результате получена передаточная функция: Интегратор, включенный в W%s), обеспечивает высокий коэффициент пере- 2,74 2,745 2,75 2,755 2,76 2,765 2,77 2,775 440 г «kJUf 400 г daUr 380 г Sf\jy УОМг OOUr 340L . \l \ у v Л I I Л r V 1 1 л _[„ л \Л л J\fi \J\j л Л Л/' IT 9 Л У№ V \J 1\Г \l\l l\l \}\l 1,98 2 2,02 2,04 2,06 2,08 2,1 2,12 2,14 Рис. 15.10. Работа ККМ в установившемся ре- ,>_ «с и с» ^ът* ч „ Рис. 15.11. Выходное напряжение ККМ при жиме (модель). Верхняя осциллограмма—пере- v ' к к ^ скачке тока нагрузки от холостого хода до менная составляющая напряжения на выход- ПЛЛ1) к мощности 1100 Вт ном конденсаторе, нижняя — ток в дросселе
Глава 15. Проектирование динамических свойств корректора коэффициента мощности при использовании стандартного контроллера Рис. 15.12. Установившийся режим работы Рис. 15.13. Ток в дросселе в результате скачка ККМ, мощность на выходе 1100 Вт. Верхняя Т0Ка нагрузки (нижняя осциллограмма) и осциллограмма (канал 2) - переменная состав- переменная составляющая напряжения на ляющая напряжения на выходе, нижняя — ток в выходе ККМ дросселе, измеренный на резисторе 0,08 Ом дачи на низких частотах в контуре по току и увеличивает такой же коэффициент в контуре по напряжению. Замкнутая система управления со звеньями коррекции показана на рис. 15.9. Знаки двух сумматоров представлены теперь таким образом, чтобы обратные связи как по напряжению, так и по току были отрицательным. Результат работы замкнутой системы в установившемся режиме показан на рис. 15.10. Верхняя осциллограмма — переменная составляющая напряжения на выходном конденсаторе, нижняя — ток в дросселе. Частота пульсаций напряжения на конденсаторе — удвоенная частота сети, а амплитуда пульсаций при заданной емкости соответствует номинальной нагрузке на ККМ (R = 154 Ома). Напряжение сети — 220 В. Результат скачка нагрузки (изменение от холостого хода до мощности 1100 Вт) показан на рис. 15.11. Длительность первого провала выходного напряжения около ЗОмс. 15.7. Сравнение с экспериментальными результатами Образец ККМ был выполнен с целями коррекции, передаточные функции которых определяются выражениями (15.6.1) и (15.6.2). На рис 15.12 показаны осциллограммы переменной составляющей напряжения на выходе и тока в дрос- Рис. 15.14. Нелинейная модель ИРН-2
75.7. Сравнение с экспериментальными результатами селе в установившемся режиме работы при сопротивлении нагрузки 154 Ома (1100 Вт). Верхняя осциллограмма (канал 2) — переменная составляющая напряжения на выходе, нижняя — ток в дросселе. Размах напряжения около 30 В, амплитуда низкочастотной составляющей тока составила 600 мВ по напряжению на токовом резисторе 0,08 Ом, что в итоге равняется 7,5 А. Рис. 15.13 показывает результат скачка тока нагрузки от холостого хода до максимального значения мощности в нагрузке (1100 Вт) в образце ККМ. Верхняя осциллограмма — переменная составляющая выходного напряжения, нижняя — ток в дросселе ККМ. Результаты, полученные в модели MATLAB и в образце ККМ, сходятся достаточно хорошо. Коэффициент мощности, измеренный в образце ККМ при полной нагрузке, составил 0,99. Поскольку реальный ККМ предназначен для работы в составе транзисторного выпрямителя мощностью 1000 Вт, на его выходе были установлены два конденсатора по 330 мкФ — один на плате корректора и один на плате преобразователя DC-DC. Все предыдущие результаты, как для модели, так и для образца ККМ приведены для емкости ЗЗОмкФ. В заключение отметим, что при моделировании ККМ хорошие результаты показывает нелинейная модель ИРН-2. Схема модели показана на рис. 15.14, ее линеаризация производится компьютером в процессе решения задачи. Удобство нелинейной модели ИРН-2 заключается в том, что нет необходимости задавать постоянное входное напряжение и задавать входной ток установившегося режима, что требуется для работы линейной модели. Сложность работы с линейной моделью (НЛМ) появилась вследствие того, что входные напряжение и ток ИРН-2 непрерывно меняются во времени, что как раз является характерной особенностью работы ККМ. Подробно об использовании непрерывной нелинейной модели ИРН-2 при проектировании ККМ будет изложено в гл. 23. Передаточные функции, определенные с помощью одной модели ИРН-2 (например, НЛМ), показывают такие же результаты и при использовании нелинейной модели ИРН-2. Реализация передаточных функций (15.6.1) и (15.6.2) на операционных усилителях микросхемы UC2854 показана на рис. 15.6.15 я, б, где проставлены номиналы элементов. Рис. 15.15. Реализация передаточных функций звеньев коррекции: по напряжению (рис. а, формула 15.6.1) и по току (рис. б, формула 15.6.2) на операционных усилителях микросхемы UC 2854
ГЛАВА 16 УПРАВЛЕНИЕ DC-DC ПРЕОБРАЗОВАТЕЛЕМ В данной главе рассматривается преобразователь с выходом на постоянном токе и постоянным напряжением на входе (DC-DC — преобразователь), который может быть конструктивно выполнен как самостоятельный блок в системе электропитания или входить вместе с ККМ в состав транзисторного выпрямителя, преобразующего переменное напряжение сети в постоянное (AC-DC — преобразователь). Основное внимание уделено построению контура управления и определению параметров цепей коррекции. Для управления преобразователем обычно используется регулируемая переменная (выходной ток или выходное напряжение), а также ток ключа, дросселя, выходного конденсатора или другого элемента силовой части. Ток элемента, используемого в контуре управления, может быть преобразован таким образом, что выделяется только его медленная составляющая и поэтому пульсации тока на частоте коммутации ключей (на частоте квантования) не учитываются. Такой метод называется управлением по среднему значению тока. Довольно часто в DC-DC преобразователях, работающих по методу фазового сдвига, несимметричных полумостовых, с активным клампом, а также других применяется управление по максимальному току элемента. При этом способе управления переключение регулирующего элемента (транзистора) происходит в момент достижения током ключа (или другого элемента силовой части) максимального значения. Управление по максимальному току [24,90] позволяет не только улучшить динамические свойства преобразователя, но и ускорить действие токовой защиты при перегрузках. Рис. 16.1. Общая схема управления по максимальному току 16.1. Принцип управления по максимальному току Общий вид схемы, в которой реализуется управление по максимальному току, показан на рис. 16.1.
16.1. Принцип управления по максимальному току 40! Силовая часть преобразователя (СЧ) на рисунке не предусматривает гальванического разделения входного источника (?/вх) и нагрузки (R). Условно в силовой части показан ключ, к которому подсоединен датчик тока {ДТ\). Другой датчик тока (ДТ2) измеряет ток в нагрузке. Преобразователь может работать как в режиме управления выходным напряжением (в этом случае сигнал передается через операционный усилитель ОУи), так и в режиме управления выходным током (передача сигнала через ОУ1). Переход от одного режима к другому происходит при переключении диодов Du и DL Комплексные сопротивления Zn, Z12, Z21 и Z22 формируют передаточные функции по выходному напряжению и току нагрузки, причем в реальной схеме сопротивления Z12 и Z21 подключаются как показано на рисунке пунктиром. Компаратор (Комп) на своих входах сравнивает между собой выход одного из операционных усилителей и сумму двух сигналов: пилообразного, формируемого конденсатором С, и напряжения от датчика тока ДТ1 (идп), поступающего через сопротивления R\, R2, R3. В свою очередь, пилообразное напряжение (ип) формируется включением транзистора Гна короткое время действия тактовых импульсов (ТИ), следующих с постоянным периодом. С приходом каждого тактового импульса выход триггера Тг устанавливается в единицу, а перевод его в ноль происходит под действием импульса с выхода компаратора, когда напряжение на прямом входе достигает значения, равного напряжению на инверсном входе. Выход триггера воздействует на драйвер, управляющий ключом в силовой части. Рис. 16.2 показывает временные диаграммы сигналов на входах компаратора. На этом рисунке: tun — длительность импульса (замкнутого состояния ключа) в п-м периоде переключения; Um — амплитуда напряжения «пилы»; &т*кл.нач ~ напряжение, создаваемое на выходе датчика тока ДТ\ в начале л-го периода; Rji^ — напряжение на выходе датчика тока ДТ1 в середине импульса, где RT — сопротивление датчика. Ток, воспринимаемый датчиком Д71, имеет определенный наклон, тангенс угла которого обозначим через к. Коэффициент наклона к зависит от конкретной схемы преобразователя. Используя принятые обозначения, составим уравнение для момента срабатывания компаратора на основе рис. 16.2: ( j \ где (16.1.1) Рис. 16.2. Временные диаграммы сигналов на входах компаратора при управлении по максимальному току
|м106 Глава 16. Управление DC—DC преобразователем d = — — коэффициент заполнения в п-м периоде; fk= частота коммутации; т = —- — тангенс угла наклона пилообразного напряжения. Уравнение (16.1.1) перепишем в более удобном для дальнейшего изложения виде: (16.1.2) Последнее выражение является общим и применимо для любой схемы, однако коэффициент к оказывается различным для разных схем СЧ. 16.2. Особенности управления по максимальному току несимметричного полумостового преобразователя На рис. 16.3 показана схема несимметричного полумостового DC-DC- преобразователя, в схему которого помимо сигнала по выходному напряжению (или по току нагрузки) вводится сигнал по току первичной обмотки силового трансформатора (Гр), получаемый от трансформатора тока (77). Коэффициент транс- W WW формации токового трансформатора пТ = ——, силового — п = —— = —— Сигнал Rji^ и амплитуда «пилы» Um, показанные на рис. 16.2 для прямого входа компаратора, должны в соответствии с рис. 16.1 быть заменены на KR2Um соответственно, где коэффициенты деления сигналов кд] и к^ равны: Рис. 16.3. Схема несимметричного полумостового преобразователя с трансформатором тока (ТТ) в цепи первичной обмотки силового трансформатора (Тр)
16.2. Особенности управления по максимальному току ^ft^ji несимметричного полумостового преобразователя >^Л (16.2.1) (16.2.2) Сопротивление R3 — входное сопротивление компаратора. Полагая, что оно значительно больше сопротивлений R{ и R2, из (16.2.1) и (16.2.2) получим: При выводе соотношений (16.2.1) и (16.2.2) полагалось, что сопротивление Лл включенное во вторичную цепь трансформатора тока (рис. 16.3), намного меньше сопротивления /?,. Кривая тока в первичных обмотках трансформаторов Тр и ТТ показана на рис. 16.4. В компаратор через 7Тпосту- пает сигнал, пропорциональный положительному значению тока (диод D3 на рис. 16.3). Угловой коэффициент накло- Рис. 16.4. Ток в первичных обмотках силового на тока к, входящий в уравнение (16.1.2), и токового трансформаторов для рассматриваемой схемы равен: где Aim - приращение тока за время импульса (рис. 16.4), tu — длительность импульса. Подставив значение А: в (16.1.2) и учитывая коэффициенты кд1 и к^, получим: (16.2.3) В последнем выражении ток —, приведенный к компаратору и соответствую- щий середине импульса /и, заменяет ток iM в (16.1.2). Выразим приращение тока Aim, входящее в (16.2.3). Для этого запишем мгновенный ток im за время импульса: (16.2.4) гДе hyiMOH и 1[мач = п^ь.нач ~~ значения тока в индуктивности намагничивания ?ц и приведенного к обмотке Wx силового трансформатора тока выходного дросселя в момент начала импульса. В момент окончания импульса tu значение тока /WI(fu) равно: (16.2.5)
ffa08 Глава 16. Управление DC—DC преобразователем Приращение тока Aim определим из (16.2.4) при t= О и (16.2.5): г- После преобразования последнего выражения приращение тока Ыт, приведенное к вторичной обмотке токового трансформатора, можно записать: (16.2.6) где V = —г- — индуктивность выходного дросселя, приведенная к первичной обмотке п силового трансформатора; 1Э = - — эквивалентная индуктивность. В (16.2.3) значение тока — в середине импульса равно: (16.2.7) где /Ьц и /'l — значения токов в середине импульса. Подстановка из (16.2.6) и из (16.2.7) в (16.2.3) приводит к результату: (16.2.8) Последнее уравнение нелинейное, поэтому, выполнив процедуру его линеаризации, получим выражение для коэффициента заполнения в приращениях: Рис. 16.5. Формирование малосигнального коэффициента за- Рис. 16.6. Подключение усилителей ошибки (рассогласо- полнения при управлении по току ванИя), оптрона и компаратора ШИМ-контроллера первичной обмотки силового трансформатора
16.2. Особенности управления по максимальному току несимметричного полумостового преобразователя где (16.2.10) ?/ex, f/ffba — входное и выходное напряжения преобразователя в заданном периодическом режиме; D — коэффициент заполнения в заданном режиме, определяется из регулировочной характеристики преобразователя. Структурная схема формирования сигнала dдля несимметричного полумостового преобразователя с управлением по максимальному току представлена на рис. 16.5.Она выполнена на основе уравнения (16.2.9). Можно видеть, что малосигнальное значение d в рассматриваемом случае зависит от всех переменных состояния силовой части {икомп функция напряжения выходного конденсатора), а также от изменения входного напряжения и^. Рис. 16.7. Подключение датчика тока первичной обмотки силового трансформатора и источника пилообразного напряжения к компаратору ШИМ-контроллера Гальваническая развязка между входом и выходом в рассматриваемом преобразователе выполняется в силовой части с помощью трансформатора, а по цепи управления — с помощью оптрона. Это дает возможность располагать операционные усилители и источники опорных сигналов на вторичной стороне преобразователя, а ШИМ-контроллер управления силовыми ключами полумоста - на первичной. Схема подключения усилителей рассогласования, расположенных на вторичной стороне, оптрона и компаратора ШИМ-контроллера показана на рис. 16.6. Общие точки цепей управления первичной и вторичной сторон обозначены по-разному. Сопротивления в цепи диода оптрона и коллекторе транзистора оптрона обозначены R]on и R2on соответственно. Сопротивления внутри микросхемы ШИМ-контроллера, подключенные к инверсному входу компаратора, обозначены как RMCXl и Ямсх2. Прямой вход компаратора подключен к датчику тока первичной обмотки силового трансформатора и источнику пилообразного напряжения, как показано на рис. 16.7. Сопротивления R\ и R2 на схеме рис. 16.7 соответствуют аналогичным сопротивлениям на схеме рис. 16.1. Коэффициенты деления кд1 и /сл, определяемые сопротивлениями R\ и R2, рассчитываются по формулам, приведенным ранее.
ЩА10 Глава 16. Управление DC—DC преобразователем 16.3. Основные требования, предъявляемые к преобразователю, результаты расчета и выбора компонентов К DC-DC преобразователю, проектирование динамических свойств которого рассматривается в данной главе, предъявляются следующие основные требования: входное напряжение U^ В 390...430 выходное напряжение Ueblx, В 48...59 участок постоянной мощности при 1/вых >48 В номинальная мощность Рнном, Вт 1000; амплитуда переменной составляющей и^ш9 мВ <20; напряжение псофометрических шумов, йпсф, мВ < 2; выходная характеристика с участками постоянного напряжения, постоянной мощности и тока; КПД>0,9 при нагрузке > 50%; статическая ошибка при всех изменениях тока нагрузки и входного напряжения не более 0,2%; переходный процесс на выходе при изменении тока нагрузки от 10 до 90 %: — длительность не более 20 мс; — провал напряжения не более чем на 1,5 В; участок постоянного тока при Ueblx < 48 В. Расчет силовой части преобразователя, который находится вне данного рассмотрения, приводит к следующим параметрам компонентов на основе выбранной частоты 85 кГц: — силовой трансформатор — выполнен на ферритовом сердечнике ETD44, материал N87, Wx = 25, W2 = W2X + W22 = 16 в (здесь рассмотрен вариант равенства витков W2X и W22)\ — силовые транзисторы IRFP460; — токовый трансформатор — конденсатор в первичной цепи 1 мкФ; — выходной дроссель — выполнен на сердечнике из аморфного железа MP3510LDGC, И^=11в,1 = 30мкГн; — сопротивление — датчик тока, включенный последовательно с выходным дросселем для формирования выходной характеристики — 0,01 Ом; — выходные электролитические конденсаторы — два по 1000 мкФ, включены параллельно, эквивалентное последовательное сопротивление каждого — 0,2 Ом. В качестве ШИМ-контроллера выбрана микросхема UC2844, обеспечивающая максимальный коэффициент заполнения 0,5 и поступление сигнала от токового трансформатора на прямой вход компаратора, реализующего ШИМ-управление (рис. 16.7). Силовыми ключами полумоста управляет драйвер IR2110, подключенный к выходу ШИМ-контроллера. Оптрон-SFH 6156-2.
16.3. Основные требования, предъявляемые к преобразователю, результаты расчета и выбора компонентов Схема управления преобразователем построена таким образом, что сигналы от выходного напряжения и тока выходного дросселя (оба сигнала формируют выходную характеристику преобразователя) поступают на соответствующие дифференциальные усилители. Выходы этих усилителей подключены к усилителям ошибки (рассогласования сигналов). Применение дифференциальных усилителей (показанных на рис. 16.8, д, 6) требуется для устранения погрешностей измерения, имеющих место при обычном включении операционных усилителей. К прямым входам усилителей ошибки подключаются источники опорных сигналов по напряжению и току, формируемые микропроцессором. Статические коэффициенты передачи дифференциальных усилителей рассчитываются таким образом, чтобы их выходные напряжения при номинальных значениях 1/вых и тока выходного дросселя составляли бы около 3 В. Апериодические звенья в дифференциальных усилителях рассчитываются на подавление высокочастотных помех и в дальнейшем учитываются при расчете корректирующих звеньев. Рис. 16.8. Дифференциальные усилители по выходному напряжению (а) и по току дросселя (б); RT — резистивный датчик тока 16.4. Модель несимметричного полумостового преобразователя и расчет цепей коррекции После расчета силовой части преобразователя и определения структуры управления (принцип управления по току вид выходной характеристики, тип ШИМ- контроллера и оптрона) проводится с помощью MATLAB или какой-либо иной программы расчета корректирующих звеньев, позволяющих получить характеристики преобразователя, удовлетворяющие предъявляемым требованиям. Если к преобразователю предъявляется требование заданного вида выходной характеристики, имеющей участки постоянного напряжения, постоянной мощности и постоянного тока, как было записано в предыдущем параграфе, следует рассматривать раздельно работу на участке постоянного напряжения и на участках, где поддерживается работа с заданным опорным (эталонным) током. Здесь мы ограничимся построением цепей коррекции только для работы преобразователя в режиме постоянного напряжения. Когда последовательность расчета будет завершена, мы поясним, каким образом рассчитываются цепи коррекции для других режимов. Модель разомкнутой системы с управлением по выходному напряжению
'412 Глава 16. Управление DC—DC преобразователем Рис. 16.9. Модель разомкнутой системы без цепей коррекции на основе несимметричного полумостового преобразователя с управлением по выходному напряжению Рис. 16.10. Непрерывная линейная модель несимметричного полумостового преобразователя (блок AsymHB)
16.4. Модель несимметричного полумостового преобразователя и расчет цепей коррекции Рис. 16.11. Блок, содержащий параметры оптрона, резисторов в его первичной и вторичной цепях, а также коэффициент передачи делителя Ш ИМ-контроллера RmcxI, Rmcx2 (Kcontr) без цепей коррекции показана на рис. 16.9. В блоке AsymHB размещена непрерывная линейная модель несимметричного полумостового преобразователя (рис. 16.10). Эта модель аналогична модели, полученной в гл. 9. Сигналы напряжения (uout, ис) и тока (/X, iLm) требуются для создания модели ШИМ с управлением по максимальному току. Блок R\op-Optr-R2op (рис. 16.9) содержит элементы, показанные на рис. 16.6: значения сопротивлений R\op и К2ор, параметры оптрона и коэффициент передачи делителя ШИМ-контроллера Kcontr (рис. 16.11). В блок внесены параметры: RXop = 1370 Ом; К2ор = 3000 Ом; Кет = 1 (статический коэффициент передачи оптрона); хоп = 15,9е-6 с (постоянная времени оптрона; соответствует частоте сопряжения апериодического звена ЮкГц); (сопротивления RMCXl, RMCx2 показаны на рис. 16.6, коэф- фициент деления Kcontr определен по документации на ШИМ-контроллер UC2844). Модели, показные на рис. 16.9,16.10 и 16.11, работают с/w-файлом, текст которого приведен ниже: %m-file к модели разомкнутой системы без %корректирующих звеньев clc п = 8/25; L = 30е-6; R1 = 5е-3; Lm = 175е-6; Rim = 10е-3; Cout = 2000е-6; Re = 0.1; пТ = 50; Vout = 54.4; R = 25; Vin = 410;C=le-6; RT = 2.43; D = (l-sqrt(l-2*Vout/(n*Vin)))/2 IL = Vout/R %диф. усилитель Roc = 8.2e3;Rldif=121e3; Rin = 2e3; Cin = le-9; Tau = Rin*Cin Coc=100e-12; Tauoc = Roc*Coc num = [Roc/RldiP[l]] den = [TauTauoc Tau + Tauoc 1]
Глава 16. Управление DC—DC преобразователем % сопротивление первичной цепи оптрона Rlop=1370;%OM KRlop=l/Rlop % передаточная функция оптрона Kst=l; Toptr= 15.9e-6 numo = [Kst] deno = [Toptr 1] % сопротивление вторичной цепи оптрона R2op = 3000; %Ом % широтно-импульсный модулятор (PWM) Kcontr = 1/3; Um = 2; fk = 85e3; Т = l/(2*fk) % период пилообразного напряжения LPrim = L/n"2 Le = LPrim*Lm/(LPrim + Lm) m = Um/T %коэффициент наклона «пилы» Vc = Vin*D %напряжение на конденсаторе первичной стороны %в период, режиме R1PWM=1.47;%kOm R2PWM = 4.7; %кОм Kdl = R2PWM/(R1PWM + R2PWM) Kd2 = R1PWM/(R1PWM + R2PWM) GAM = l/(Vin-Vc-Le*Vout/(LPrim*n) + 2*m*Kd2*Le*nT/(RT*Kdl)) Kcomp = 2*GAM*Le*fk*nT/(RT*Kdl) %коэффициент передачи ШИМ по % напряжению Рис. 16.12. Логарифмические частотные характеристики разомкнутой системы без цепей коррекции с управлением по напряжению (C(s) = 1) В m-файле расчет проводится для выходного номинального напряжения 54,4 В и входного напряжения 410 В. Эти параметры можно изменять для проверки работы модели в других выбранных режимах. Сопротивление нагрузки выбрано 25 Ом (R = 25) и его также можно изменять. Коэффициент заполнения (D) определяется из регулировочной характеристики несимметричного полумостового преобразователя. Передаточная функция дифференциального усилителя по напряже-
16.4. Модель несимметричного полумостового преобразователя и расчет цепей коррекции Рис. 16.13. Модель разомкнутой системы без цепей коррекции на основе несимметричного полумостового преобразователя с управлением по выходному напряжению и максимальному току нию определяется исходя из параметров схемы, показанной на рис. 16.8 я, числитель ее обозначен пит, знаменатель — den. Индуктивность выходного дросселя (L), приведенная к первичной цепи преобразователя, обозначена как Lprim, эквивалентная индуктивность — Le. Сопротивления, обозначенные как RlPWMn R2PWM, соответствуют сопротивлениям R1 и В2 на рис. 16.7. Последние два оператора /w-файла соответствуют коэффициенту (16.2. 10) и коэффициенту при сигнале икомп (16.2.9). Логарифмические частотные характеристики модели преобразователя (рис. 16.9) показаны на рис. 16.12. Система неустойчивая, поскольку отсутствует запас по амплитуде, кроме того, система статическая и коэффициент передачи на низких частотах слишком мал. Следующий шаг — применение управления как по выходному напряжению, так и по максимальному току. Для этого в модели (рис. 16.9) следует подключить все сигналы к блоку PIVM, как показано на рис. 16.13. На рис. 16.14 раскрыт блок PWM, где показаны шесть приходящих в него сигналов с коэффициентами, определяемыми в соответствии с (16.2.9) и рис. 16.5. Результат подключения всех сигналов к блоку PWMможно видеть на логарифмических частотных характеристиках, показанных на рис. 16.15. Из рисунка видно, что система стала устойчивой, но имеет два существенных недостатка: малый коэффициент передачи в низкочастотной области и малая частота среза (224 Гц), что определяет плохие переходные процессы в замкнутой системе. Рис. 16.15 отображает в левом верхнем углу текущее состояние звена коррекции, включаемого последовательно в разомкнутый контур (окно Current Compensator). Передаточная функция звена C(s) равна 1, что означает, что коррекция еще не выбрана.
El? Глава 16. Управление DC—DC преобразователем Рис. 16.15. Логарифмические частотные характеристики разомкнутой системы без цепей коррекции с управлением по выходному напряжению и максимальному току (C(s) = 1) Используем усилитель ошибки по напряжению, который следует за дифференциальным усилителем и еще не введен в контур управления (рис. 16.13)длясозда- « tgi tA „ „ ния корректирующего звена. Имея лога- Рис. 16.14. Непрерывная линейная модель , ШИМ при управлении по выход- Рифмические частотные характеристики, ному напряжению и максималь- в окне <<SISO Design Tool» (рис. 16.15), ному току формируем цепь коррекции в следующей последовательности: 1) ставим интегратор (для получения большого коэффициента передачи на низких частотах); 2) дополняем цепь коррекции действительным полюсом на высоких частотах; 3) подключаем дифференцирующее звено с частотой сопряжения (нулем), позволяющей значительно поднять фазу в области частот около 1 кГц (где происходит измерение псофометрического шума). Получившаяся передаточная функция звена коррекции в окне «Current Compensator» имеет вид: Система получается устойчивой, что можно увидеть в записи окна SISO Design Tool, но частота среза оказывается слишком низкой (0,72 Гц). Поэтому увеличиваем статический коэффициент передачи звена и доводим его до 6300. Полученные логарифмические частотные характеристики показаны на рис. 16.16, из которого можно видеть, что усиление в низкочастотной области достаточно высокое и удалось резко поднять фазовый угол на частотах 100...6000 Гц. Тем не менее система оказывается неустойчивой, и требуется дополнительная цепь коррекции для завершения работы по формированию динамических свойств. Сначала дополним /и-файл операторами, которые вводят в модель созданную ранее передаточную функцию:
16.4. Модель несимметричного полумостового преобразователя и расчет цепей коррекции Taup= 1.274e-6; numm = [Tauz 1 ] denm = [Tau l*Taup Taul 0] Модель преобразователя дополняется передаточной функцией, имеющей числитель питт и знаменатель denm. Еще одна цепь коррекции, которая требуется для обеспечения устойчивости преобразователя, может быть введена, если использовать выходную цепь оптрона с сопротивлением R2on *"• 16Л6* Логарифмические частотные харак- / ,/а тт теристики разомкнутой системы с корректирующие. 16.6). Подключение емкости па- к к J FF w v^ ' щим звеном на основе усилителя рассогласова- раллельно этому сопротивлению (рис. ния при ^^ш по выходному напряжению 16.17) позволяет использовать полу- и максимальному току C(s) = 6.3е+003 х чаемое при этом апериодическое звено (i + 0.0017s)//(s(l + 1.3e-006s)) для коррекции. Теперь, подключив к модели созданную цепь коррекции на основе усилителя рассогласования, снова используем опцию Control Design > Linear Analysis... и, получив графики логарифмических частотных характеристик (с помощью Bode response plot и Linear Model), экспортируем их из LTI Viewer в рабочую область (Workspace). Затем, используя окно SISO Design Tool, производим импорт SISO модели, выбрав объект (?(для этого выбирается клавиша с соответствующей стрелкой). В результате получим в окне SISO Design Tool логарифмические частотные характеристики точно такие же, Рис 15.17. Подключение ем- как показаны на рис. 16.16 с одним существенным от- КОсти С к сопротивлению личием: в окне Current Compensator теперь установле- R2on для создания апериодиче- на передаточная функция C(s) = 1. Это означает, что ского звена коррекции мы, уже применив первое корректирующее звено, будем теперь вести поиск параметров, точнее, одного параметра, второго звена, считая известным, что оно апериодическое и имеет статический коэффициент передачи, равный единице. Учитывается, что сопротивление R2on (в m-файле указано значение этого сопротивления 3000 Ом) уже было включено в модель. Итоговые характеристики показаны на рис. 16.18,которыеобеспечиваютпри- р^ 16Ж Логарифмические частотные харак- емлемые параметры преобразователя, теристики разомкнутой системы с двумя звенья - Найденная постоянная времени апе- ми коррекции (управление по выходному на- риодического звена Т = 140е—6, еле- пряжению и максимальному току) довательно, емкость Con равна: (C(s) =1 х (1/(1 + 0.00014s)))
Глава 16. Управление DC—DC преобразователем В /w-файле должны появляться операторы, подключающие апериодическое звено к модели: Rap = 3000; Сар = 47е-9; Тар = Rap* Cap numap = [Rap]; числитель передаточной функции denap = [Тар 1]; знаменатель передаточной функции. В результате появления в модели апериодического звена коррекции требуется выполнить изменение блока R\op_Optr_B2op (рис. 16.11), в котором вместо усилителя К2ор теперь используется передаточная функция с числителем питар и знаменателем denap (рис. 16.19). Рис. 16.19. Блок, содержащий параметры оптрона, резисторов в его первичной и вторичной цепях, апериодического звена, а также коэффициент передачи делителя ШИМ- контроллера RmcxI, Rmcx2 (Kcontr) Схема усилителя рассогласования с подключенными элементами корректирующего звена, параметры которых соответствуют передаточной функции с числителем питт и знаменателем denm, показана на рис. 16.20. Усилитель рассогласования по напряжению и дифференциальный усилитель находятся в одном корпусе микросхемы. Сопротивление в цепи источника опорного напряжения (Ronop) выбрано равным 59 кОм. Модель замкнутой обратной связью системы показана на рис. 16.21. В схеме появился сумматор, в котором из опорного напряжения вычитается сигнал с выхода дифференциального усилителя. Разностный сигнал поступает на вход усилителя рассогласования. Управляемый источник тока CCS, источник линейного сигнала Ramp и блок насыщения Sat позволяют изменять ток на- Рис. 16.20. Схема усилителя рассогласования ^узки преобразователя в большую или по напряжению с элементами корректирую- мен с заданной скоро- щего звена стью. 16.5. Результаты моделирования и эксперимента Результаты моделирования преобразователя показывают, что статическое отклонение выходного напряжения при изменении входного напряжения в пределах 390...430 В и тока нагрузки от минимального (когда еще верна модель преобразователя в режиме непрерывного тока) до максимального составляет не более 1 мВ. Близкие результаты показывают образцы преобразователя.
16.5. Результаты моделирования и эксперимента Рис. 16.21. Модель замкнутого обратной связью несимметричного полумостового преобразователя с управлением по выходному напряжению и максимальному току Рис. 16.23. Результат скачка выходного Рис. 16.22. Результат скачка выходного тока с 2 тока с 2 до 16 А в выходном напряжении до 16 А в выходном напряжении (модель) (образец) На рис. 16.22 показан результат скачка тока нагрузки с 2 А до 16 А при длительности нарастания тока около 100 мкс. Сравнение с рис. 16.23, где показано изменение выходного напряжения при тех же условиях на реальном образце, показывает достаточную сходимость переходного процесса с моделью. Для моделирования в режимах стабилизации выходной мощности или тока следует воспользоваться моделью дифференциального усилителя по току (рис. 16.8, б), и сохранив коррекцию в виде апериодического звена, разомкнуть систему аналогично тому, как это было показано на рис. 16.9. Затем, используя окно SISO Design Tool, определить передаточную функцию корректирующего звена, которое выполняется на основе усилителя рассогласования по току. После этого следует проверить работу замкнутой модели во временной области.
ГЛАВА 17 ВЫСОКОЧАСТОТНЫЙ ТРАНЗИСТОРНЫЙ ВЫПРЯМИТЕЛЬ С КОРРЕКЦИЕЙ КОЭФФИЦИЕНТА МОЩНОСТИ ПРИ СМЕШАННОМ УПРАВЛЕНИИ В настоящей главе рассмотрены особенности построения управляющей части двухступенчатого высокочастотного транзисторного выпрямителя, работающего от однофазной сети, обеспечивающего близкий к единице коэффициент мощности, гальваническое разделение входа и выхода, а также изменение по заданному закону выходной мощности при изменении тока нагрузки. Силовая часть выпрямителя содержит ККМ и DC-DC-преобразователь, управление которыми на основе обратных связей было рассмотрено в предыдущих двух главах. Тем не менее, ряд важных вопросов построения выпрямителя, определяющих его функциональные возможности и надежность, должны быть внимательно проанализированы. 17.1. Защитные и сервисные функции выпрямителя На рис. 17.1 показана структурная схема построения двухступенчатого (с двумя преобразованиями электроэнергии в силовой части) транзисторного выпрямителя. Схема не содержит элементы защиты от импульсных воздействий по сети и фильтры защиты радиопомех, которые устанавливаются как на входе выпрямителя, так и на его выходе. Задание выходного напряжения может изменяться в широких пределах и стабилизироваться с высокой точностью. Выходная характеристика выпрямителя помимо участка стабилизации напряжения содержит участок защиты по току нагрузки, а также может содержать участок постоянной мощности. Система управления содержит несколько аналоговых узлов, включая ШИМ- контроллеры, микроконтроллер и ЦАП. Пунктирная линия, показанная на рис. 17.1, разделяет первичную сторону выпрямителя (гальванически связанную с сетью) со вторичной (связанную с выходом). ШИМ-контроллер DC-DC, показанный на рис. 17.1, размещен на первичной стороне, но в зависимости от построения схемы DC- DC преобразователя может быть и на вторичной стороне выпрямителя. В таком случае отпадает необходимость в оптроне обратной связи (Отрон ОпОс), но появляется необходимость в передаче импульсных сигналов на затворы ключей DC-DC преобразователя с помощью развязывающих импульсных трансформаторов. Микропроцессор подключен к вторичной стороне преобразователя, поэтому появляется необходимость в оптронных развязках сигналов (оптроны Onl...On4). Оп1 предает сигнал об измеренном напряжении сети; с помощью Оп2 передается сигнал о перенапряжении сети, полученный от компаратора; через ОпЗ к Оп4 передаются сигналы от микропроцессора на включение или выключение ККМ и DC-DC преобразователь. На АЦП со вторичной стороны выпрямителя поступают сигналы о выходном напряжении и токе нагрузки. Последний формируется с помощью токового датчика (низкоомный резистор RT на рис. 17.1 или датчик Холла).
17.1. Защитные и сервисные функции выпрямителя 421 Рис. 17.1. Структурная схема двухступенчатого транзисторного выпрямителя Опорные сигналы по выходному напряжению и току поступают от ЦАП и могут изменяться в зависимости от требований к выпрямителю и режиму его работы. Одной из функций, выполняемой выпрямителем, является плавный запуск, который может быть заметно растянут во времени для того, чтобы избежать больших токов через силовые ключи и диоды вследствие заряда емкости выходного фильтра и возможности подключения большой нагрузки или даже короткого замыкания при запуске. Поэтому в процессе запуска опорный сигнал по току плавно нарастает, удерживая усилитель рассогласования по выходному току в рабочем режиме, а аналоговый усилитель по напряжению в блокированном состоянии. Нарастающий опорный сигнал по току во время запуска формируется микроконтроллером. На рис. 17.2 показан запуск выпрямителя при полной нагрузке, опорный сигнал по току плавно нарастает. Скорость нарастания во времени опорного сигнала по току при запуске остается неизменной для любой нагрузка выпрямителя, поэтому при XX время выхода на режим заметно сокращается. Сигналы от температурных датчиков (непре- Рис. 17.2. Плавный запуск выпрями- рывных или дискретных), устанавливаемых теля при полной нагрузке
Глава 17. Высокочастотный транзисторный выпрямитель с коррекцией коэффициента мощности при смешанном управлении обычно на теплоотводах ККМ и DC-DC преобразователя, также поступают в АЦП микроконтроллера. 17.2. Слежение за сетью и управление максимальной мощностью Вид выходной характеристики (зависимость Ueblx от 1Н) зависит от назначения выпрямителя и при некоторых требованиях к нему может содержать три участка: стабилизации напряжения, мощности и тока. Характеристика остается неизменной, если диапазон Рис. 17.3. Выходные характеристики выпрямите- изменения напряжения сети сравни- ля. При исети < 175 В предельные мощность и теЛьно узкий (например, допуск ±20% ток снижаются при понижении U^ or номинального значения). Приболь- шом диапазоне изменения, вызываемом кратковременными и значительными провалами и выбросами напряжения, можно снижать предельную мощность и соответственно ток на выходе, начиная с некоторого выбранного порогового напряжения сети. Например, при возможном диапазоне изменения сети 85...300 В пороговое напряжение может быть выбрано 175 В. При напряжении сети > 175 В предельная мощность и максимальный выходной ток выпрямителя сохраняются неизменными, а при уменьшении напряжения мощность на выходе снижается с заданным коэффициентом кратности. К примеру, при Ucemu=85 В предельная мощность может составлять 40, 50 или 70% от максимально возможной. Такое построение выпрямителя, если нет требования работы от универсальной сети с полной мощностью, позволяет не увеличивать число транзисторов и диодов в ККМ, размеры дросселя и поверхность радиаторов этого узла, что, в конечном счете, не приводит к увеличению объема и массы выпрямителя. Алгоритм работы микропроцессора для выполнения данной задачи сводится к расчету опорного тока, передаваемого в соответствующий усилитель через ЦАП. Техническое решение с использованием микроконтроллера описано в [54]. На рис. 17.3 показаны предельные выходные характеристики выпрямителя, состоящие из трех участков стабилизации. При управлении выходным напряжением горизонтальный участок характеристики снижается. Для выпрямителя с характеристикой, показанной на рис. 17.3, предельная мощность снижается пропорционально изменению сетевого напряжения, если последнее меньше 175 В. Алгоритм построения участка постоянной мощности с помощью микроконтроллера показан в [55]. Построение интерфейсов. Измеренные выходные напряжения и токи выпрямителей, работающих в системе бесперебойного питания, передаются в систему управления верхнего уровня с помощью любого протокола, например RS485. Для этого используется UART интерфейс микроконтроллера каждого выпрямителя и внешние драйверы RS485. Для управления системой верхнего уровня может быть использован микропроцессорный блок или персональный компьютер. С его помощью можно не только управлять выпрямителями, но и выполнять необходимые юстировки в процессе производства выпрямителей, как, например, установка требуемых уровней выходного напряжения и тока защиты.
ГЛАВА 18 ЭЛЕКТРОННАЯ НЕРАССЕИВАЮЩАЯ НАГРУЗКА Электронная нерассеивающая нагрузка (ЭНН) — это статический преобразователь, позволяющий экономить расход электроэнергии передачей ее циклическим образом. Для силовой электроники экономия электроэнергии путем использования эффективных новых решений является одной из приоритетных задач. Одна из областей, в которой циклирование электрической энергии приносит значительную экономию, — это различные нагрузочные устройства, требующиеся для электротермотренировочных и других видов испытаний преобразователей с выходом на переменном или постоянном токе, а также АБ, генераторов переменного и постоянного тока и другого оборудования, связанного с преобразованием энергии. Циклирование электроэнергии на основе работы ЭНН или направление ее в сеть переменного тока при разряде, например, АБ не только экономит электроэнергию, но и снижает стоимость устройств охлаждения, поскольку в этом случае тепло, обычно рассеиваемое в нагрузках, значительно уменьшается. Несмотря на то, что известны публикации, посвященные ЭНН, например, [23, 46], последние достижения в разработке компонентов для силовой части, широкое применение микропроцессорной техники позволяют создавать новые компактные, надежные и недорогие устройства для указанных целей. Одной из последних работ в данном направлении является [68]. 18.1. Структурные схемы построения ЭНН на основе статических преобразователей Структурная схема испытаний транзисторного выпрямителя (ТВ) показана на рис. 18.1, она требует применения инвертора (И) той же мощности и вспомогательного транзисторного выпрямителя (ВТВ), отбирающего некоторую мощность от сети переменного тока для компенсации потерь в системе И-ТВ [46]. Выход ТВ подключен ко входу И и, в свою очередь, выходное напряжение И поступает на вход ТВ, При полной загрузке ТВ от сети потребляется мощность в 3...4 раза меньше, чем при испытании этого же устройства, нагруженного на рези- стивную нагрузку. Эта же схема может быть применена, если испытуемым устройством является инвертор, а выпрямитель (ТВ) используется для подачи энергии на Рис. 18.1. Структурная схема испытания транзисторного выпрямителя (ТВ) или инвертора (И). ВТВ — вспомогательный транзисторный выпрямитель
fc4 Глава 18. Электронная нерассеивающая нагрузка Рис. 18.2. Структурная схема испытания DC-DC преобразователя его вход. Несмотря на некоторые недостатки, как, например, необходимость применения специального трансформатора, включаемого между Ии ТВ (входное напряжение Г5 при испытаниях необходимо менять в достаточно широких пределах), идея подключения на одни клеммы источников напряжения постоянного тока давно и продуктивно используется в промышленности. При необходимости длительно испытывать DC-DC преобразователь может быть применен вспомогательный преобразователь такого же класса и той же мощности, как показано на структурной схеме рис. 18.2. На этом рисунке ТВ —транзисторный выпрямитель небольшой мощности, обеспечивающий на своем выходе постоянное напряжение, необходимое для работы испытуемого DC-DC преобразователя. Выходная мощность ТВ должна покрывать потери в испытуемом и вспомогательном DC-DC преобразователях. Может быть много разновидностей схемы по рис. 18.2, в частности испытуемых DC-DC преобразователей может быть несколько, соединенных по выходу, например последовательно. Принцип циклической передачи электроэнергии с суммированием на клеммах переменного тока показан на рис. 18.3. ЭНН доставляет к клеммам сети переменный ток, который направляется в испытываемый преобразователь — в данном случае ТВ. Вместо транзисторного выпрямителя может испытываться преобразователь любой сложности, работающий от сети переменного тока, а в том случае, если выход преобразователя — напряжение переменного тока, к нему всегда может быть добавлен выпрями- Рис. 18.3. Структурная схема нагружения испытываемого тель, подключаемый соглас- преобразователя (ТВ) на ЭНН с суммированием на стороне но СХеме рис. 18.3 ко входу переменного тока ЭНН Одна из возможных структурных схем ЭНН, позволяющая нагружать испытуемый преобразователь по схеме рис. 18.3, показана на рис. 18.4. Помимо входного и выходного фильтров защиты от радиопомех, в схеме применены два блока (две ступени) преобразования энергии: 1 — формирование входного тока, выполняющий помимо этой функции гальваническое разделение входа и выхода; 2 — высокочастотный инвертор, доставляющий выходной ток данной ступени к клеммам сети переменного тока.
18.2. Построение силовой части ЭНН 42$1| Рис. 18.4. Структурная схема ЭНН с двумя ступенями преобразования энергии (1,2) Конденсатор С, показанный на схеме и запасающий достаточно большую энергию, предназначен для компенсации разницы в мгновенных значениях мощности на входе и выходе ЭНН. Схема, показанная на рис. 18.4, выгодно отличается от подробно рассмотренной в [23] более простым решением, меньшим числом преобразований энергии и более высоким КПД. Возможность работы с источниками, имеющими разный уровень выходного напряжения, возможность параллельного включения нескольких ЭНН и одновременной работы на трехфазную сеть являются дополнительными достоинствами схемы на рис. 18.4. В дальнейшем материале главы подробно рассматривается как силовая часть ЭНН, построенная по схеме рис. 18.4, так и схема управления, выполняющая сложные и функционально различные требования. 18.2. Построение силовой части ЭНН Обычно входное напряжение ЭНН составляет несколько десятков вольт, или около 100 В. При достаточно большой мощности (2...3 кВт), как правило, именно первая ступень определяет КПД и удельную мощность электронной нагрузки. Анализ различных схем показал преимущества мостового преобразователя с дросселем на входе, содержащим силовой трансформатор для гальванической развязки и получения на выходе первой ступени требуемого напряжения. Схема такого преобразователя рассматривалась в разд. 1, где говорилось о вредном влиянии на его работу индуктивности рассеяния трансформатора. Для устранения данного недостатка был предложен активный кламп, включаемый между плюсовой и минусовой шинами на входе моста [87]. Вторая ступень ЭНН — мостовой инвертор, передающий синусоидальный ток к клеммам сети на вход испытываемого конвертора, выполнен по принципу работы понижающего импульсного регулятора (ИРН-1). Схема силовой части без входного и выходного фильтров радиопомех показана на рис. 18.5. Дадим более подробное описание ее работы. Первая ступень выполнена с использованием сложной цепи клампа, описанной в разд. 1. Рис. 18.5. Силовая часть ЭНН
Ef Глава 18. Электронная нерассеивающая нагрузка Помимо известных элементов (VTcll, СсГ), предложенных в [87], дополнительно включены транзистор VTcll, дроссель Zc/и диод Del. Их появление в схеме вызвано необходимостью работы 1-й ступени в двух режимах. Первый режим — запуск — дозаряд конденсатора Сдо требуемого напряжения через выпрямитель В. Начальный его заряд до амплитудного значения напряжения сети происходит при подключении ЭНН к сети через внутренние диоды транзисторов инвертора VT5... VT& и ограничительное сопротивление (термистор), не показанное на рис. 18.5. Для работы второй ступени этого напряжения конденсатора С (310 В при напряжении сети 220 В) оказывается недостаточно — нужно получить 405...415 В для работы ЭНН при всех возможных напряжениях сети. Дозаряд конденсатора С производится первой ступенью, при этом транзисторы моста VT\... VT4 работают таким образом, что в каждом полупериоде имеется интервал импульса /и, когда включены транзисторы одной диагонали и интервал паузы (/„), в которой все транзисторы заперты. В интервале tu ключ VTcll заперт, VTcll открыт и конденсатор Сс/передает энергию конденсатору вторичной стороны (С) через дроссель Lcl, открытые транзисторы одной из диагоналей и трансформатор Тр. Через основной дроссель (L) в режиме запуска в интервале tu от источника U^ через транзисторы моста также проходит ток, подзаряжающий конденсатор С. Рис. 18.6. Упрощенная временная диаграмма импульсов на затворах транзисторов первой ступени
18.3. Управление нагрузкой 4 2' В интервале /„ режима запуска ключ VTcll включен, VTcll выключен и ток дросселя L заряжает конденсатор клампа Ccl. В этом же интервале дроссель Lcl передает накопленную энергию через VTcll и диод Del в конденсатор Ccl. В нормальном режиме после запуска схемы (это второй режим работы первой ступени) также существуют интервалы импульса и паузы. Теперь в интервале tu включены все транзисторы моста, а в паузе (tn) — транзисторы диагонали. Транзистор VTcll закрыт в интервале tu и открыт в интервале tn, проводя ток, как в известном техническом решении [87]. Второй транзистор — VTcll заперт в нормальном режиме работы как в интервале импульса, так и в интервале паузы. Упрощенная временная диаграмма, показывающая управляющие импульсы на затворах транзисторов первой ступени, изображена на рис. 18.6. Стрелки в левой части рисунка, относящиеся к режиму запуска, показывают расширение во времени или, наоборот, сужение импульсов на затворах. В нормальном режиме можно видеть интервал tu, когда открыты все четыре транзистора моста, этот интервал называют также перекрытием (имеется ввиду перекрытие включенного состояния транзисторов обеих диагоналей). Между двумя режимами выдерживается небольшой временной интервал, необходимый из-за резкого изменения работы ключей после окончания процесса запуска. Во второй ступени — инверторе — одна стойка транзисторов работает с частотой сети, а другая — с частотой ШИМ. Во время импульса ток проводят диагонально расположенные транзисторы, а во время паузы открыт только один транзистор моста, а именно: один из транзисторов, переключающихся с частотой сети. 18.3. Управление нагрузкой Достаточно сложная система управления ЭНН может быть выполнена на основе различных принципов, однако недорогое решение получается при использовании смешанного цифро-аналогового управления, которое и рассматривается в дальнейшем. Структурная схема управления показана на рис. 18.7. Основная функция первой ступени заключается в стабилизации или регулировании по заданному закону входного тока ЭНН. При изменении задаваемого тока будет меняться и напряжение промежуточной шины (напряжение Uc на конденсаторе С, рис. 18.5). Например, при возрастании задаваемого тока происходит увеличение потребляемой от испытуемого оборудования (в частности, от транзисторного выпрямителя) мощности, что приводит к увеличению напряжения Uc и мощности на выходе первой ступени. Два контура управления второй ступенью (по входному напряжению Uc и по току, отдаваемому на выходе) обеспечивают как стабилизацию напряжения Uc, так и синусоидальность выходного тока. Поэтому автоматически изменяется мощность, отдаваемая ЭНН, при изменении входного тока. Принцип построения схемы управления позволяет представить, если требуется, вход ЭНН для испытуемого оборудования как источник постоянного тока (/^ = Const), резистор (UBX/IBX = Const) или нагрузку постоянной мощности (?/вх/вх= Const). Кроме перечисленных выше задач управление ЭНН должно обеспечивать синхронизацию с сетью, формирование опорного синусоидального напряжения для работы второй ступени, различные блокировки и защиты, а также интерфейс с
428 Глава 18. Электронная нерассеивающая нагрузка Рис. 18.7. Структурная схема управления электронной нерассеивающей нагрузкой внешними управляющими устройствами. На рис. 18.7 показано, что на первичной и вторичной сторонах используются аналоговые ШИМ-контроллеры, цепи коррекции формируются на основе операционных усилителей. Как можно видеть из рис. 18.7, совместно с аналоговыми ШИМ-контроллерами работают цифровой микроконтроллер и матрица программируемой логики (ПЛИС). Функции микроконтроллера заключается в следующем: — формирование задающих импульсов для ШИМ-контроллера первой ступени; — формирование задающих импульсов для программируемой логики; — управление программируемой логикой при смене режимов; — фазовая автоподстройка частоты для синхронизации с сетью; — формирование опорного синусоидального напряжения для аналогового ШИМ-контроллера второй ступени через внешний ЦАП; — формирование сигналов защит в результате срабатывания компараторов при получении предельных значений сигналов от датчиков; — обеспечение интерфейса RS485; — управление индикацией; — управление либо от лицевой панели ЭНН, либо от стенда с необходимым числом таких электронных нагрузок. Для управления первой ступенью может быть использована недорогая CMOS EEPROM PLD матрица (ПЛИС), которая по выходному сигналу от ШИМ-
18.3. Управление нагрузкой 42S? контроллера формирует управляющие импульсы. Кроме того, матрица формирует, если необходимо, импульсы токовой защиты в каждом периоде работы мостового преобразователя с дросселем на входе. Защита по мгновенному входному току, как видно из рис. 18.7, выполняется с помощью компаратора, сигнал которого обеспечивает глобальный запрет для логики матрицы. Матрица обеспечивает также режим запуска силовой части первой ступени, в течение которого логика работы управляющих импульсов кардинальным образом отличается от работы в нормальном режиме. ЭНН помимо защиты по входному току имеет еще ряд необходимых защит: по максимальному выходному току; уровням напряжения на входе; промежуточной шине и в сети переменного тока; по температуре компонентов первичной и вторичной стороны. Изоляция между входом и выходом выполняется с помощью силового трансформатора, а по целям управления — с помощью оптронов. Подключение ЭНН к сети приводит к началу работы вспомогательного источника (на рис. 18.7 обозначен как «Вторичные источники»). Его входное напряжение получается от выходных конденсаторов первой ступени — напряжения промежуточной шины. Конденсаторы подключены ко вторичной стороне и, как уже упоминалось, обычно получают начальный заряд через термистор. Вспомогательный источник обеспечивает необходимые напряжения для работы первичной и вторичной сторон ЭНН при требуемой изоляции между ними. Система ПЛИС — микроконтроллер — ШИМ-контроллер первой ступени обеспечивает работу управляющей части как в режиме запуска, так и в нормальном режиме. Оба режима определяются трехбитовым сигналом («Переключение режимов», рис. 18.7), причем нулевые три бита означают, что первая ступень, а следовательно, и вторая, находятся в выключенном состоянии. 18.3.1. Режим запуска Пусковой режим реализуется плавным расширением импульсов, подаваемых на затворы моста первой ступени при одновременной работе ключей клампа VTcll, VTcfl и дросселей L9 Lcl (рис. 18.5). В этом режиме ШИМ-контроллер первой ступени выключен, тактовые импульсы ТИХ и 77/2 (рис. 18.7) формируются микроконтроллером и являются управляющими для каждой диагонали. Импульсы микроконтроллера плавно расширяются с заданной скоростью, их коэффициент заполнения возрастает от нуля до максимального значения. ПЛИС одновременно с передачей импульсов на драйверы моста первой ступени формирует управляющие импульсы транзисторов VTcll и VTcH. Пусковой режим разделяется на три временных интервала: — нарастание коэффициента заполнения импульсов в каждой диагонали от нуля до 0,48...0,49; — пауза, при которой выключены все ключи первой ступени; — нарастание коэффициента заполнения импульсов от 0,51 со скоростью более высокой, чем в первом интервале. Режим запуска заканчивается, когда напряжение на промежуточной шине достигнет заданного значения. Коэффициент заполнения, упоминаемый в первом и третьем интервалах пуска, определяется как 2-tJT, где tu — длительность импульса,
W4 30 Глава 18. Электронная нерассеивающая нагрузка Рис. 18.8. Временные диаграммы, поясняющие работу первой ступени ЭНН в нормальном режиме передаваемого на входы ключей моста первой ступени, а Г— период работы тех же ключей (период работы силового трансформатора). Переход от режима запуска к нормальному происходит через заранее установленную паузу (несколько мс). Это необходимо, поскольку алгоритмы управления ключами первой ступени в режимах запуска и в нормальном отличны между собой. 18.3.2. Нормальный режим Поясним этот режим с помощью структурной схемы (рис. 18.7) и временных диаграмм (рис. 18.8). При поступлении от вторичной стороны «Сигнала нулевой фазы», соответствующего переходу через ноль напряжения сети, в микроконтроллер начинают поступать импульсы. В результате обратно на вторичную сторону поступает сформированный микропроцессором «Опорный синусоидальный сигнал» для работы инвертора. Поэтому теперь первая ступень может передавать энергию через инвертор к испытуемому изделию. В нормальном режиме микроконтроллер передает в ПЛИС (рис. 18.8) два коротких импульса с частотой, в четыре раза превышающую частоту работы силового трансформатора — тактовые импульсы ТИХ и ТИ2. Оба сигнала синфазны по фронтам, длительность ТИХ около 300 не, длительность ТИ2 - 600 не. Импульсы ТИХ задают частоту ШИМ-контроллера, а также необходимы для формирования управляющих импульсов ключей первой ступени. Частота ШИМ-
18.4. Практическое выполнение ЭНН но контроллера образуется делением на два частоты импульсов ТЖ с последующим их поступлением на транзистор, участвующий в получении пилообразного напряжения ШИМ-контроллера. Если ШИМ-контроллер получает от микроконтроллера разрешающий сигнал «Управление ШИМ», происходит формирование последовательности импульсов с коэффициентом заполнения, определяемым обратной связью. Указанная последовательность образует сигнал «Сигнал ШИМ», который поступает в ПЛИС. В свою очередь, логика ПЛИС формирует несколько сигналов, которые управляют ключами силовой части в последовательности, необходимой для нормального режима (рис. 18.6). «Сигнал ШИМ», вырабатываемый аналоговым ШИМ-контроллером, имеет задержку около 100... 150 не относительно импульсов ТЖ. Микросхема ПЛИС не может правильно вырабатывать выходные сигналы при наличии такой задержки, поэтому было необходимо найти достаточно простой способ преодоления указанной проблемы. Он заключается в организации (создании) импульсов ТИ2, которые имеют большую длительность, гарантированно перекрывающую задержку импульсов ШИМ-контроллера. ПЛИС формирует промежуточные тактовые импульсы ТИП, получая от микроконтроллера импульсы ТЖ и 77/2. Тогда фронт импульсов ГЯ/7формирует фронты управляющих импульсов силовых ключей моста, а спад (срез) импульсов «Сигнал ШИМ» — соответственно спад управляющих импульсов этих ключей. Поскольку в зависимости от сигнала ошибки коэффициент заполнения (D) может быть нулевым, то перед формированием среза управляющих сигналов «Сигнал ШИМ» смешивается по операции ИЛИ с ТИП. В этом случае гарантируется минимальный коэффициент Д определяемый длительностью импульсов ТИП. Эта длительность очень мала и не влияет на работу силовой части, поэтому D может изменяться от минимального до максимального значения без сбоев и потери синхронизации. Длительность импульсов ключа клампа VTcll будет при этом меняться от Т/2 до минимально разрешенной. Максимальное значение D импульсов, поступающих на входы ключей моста, также ограничивается с помощью ПЛИС. Это выполняется делением частоты ТЖ до 2f(f— частота работы трансформатора) и логическим умножением результата на сигнал «Сигнал ШИМ», полученный от аналогового ШИМ-контроллера. Последние три диаграммы на рис. 18.8 поясняют сказанное. 18.4. Практическое выполнение ЭНН Проведенный анализ был подтвержден разработкой двух типов ЭНН. Они отличаются уровнями входного напряжения и входной максимальной мощностью: — входное напряжение от 20 до 30 В, мощность 2400 Вт; — входное напряжение от 40 до 60 В, мощность 3000 Вт. В обоих случаях электронные нагрузки были рассчитаны на работу с сетью, напряжение которой может изменяться от 175 до 300 В. КПД составляет 88% для ЭНН с входным напряжением 20 ... 30 В и 90% для ЭНН с более высоким напряжением на входе. Оба устройства были выполнены по одинаковым электрическим схемам, и в принципе их отличие друг от друга заключается в числе витков первичной обмотки силового трансформатора и в типе транзисторов первой ступени. Частота
ВШ1 ' inft'v 1СП21 100 A IMH.OOmsl Al Line У «О.ОтИ Рис. 18.9. Осциллограммы напряжения сети и рИс. 18.10. Внешний вид ЭНН с входной выходного тока ЭНН мощностью 3 кВт переключения транзисторов моста первой ступени — 50 кГц; транзистор клампа в рабочем режиме переключается с частотой 100 кГц. Частота переключения транзисторов моста инвертора второй ступени равна 20 кГц. ЭНН удовлетворяет требованиям по помехам в соответствии с нормами CISPR 11-97, класс А. Осциллограммы напряжения сети и тока, передаваемого в испытуемое изделие, при входном напряжении ЭНН 54,4 В и входном токе 55,6 А показаны на рис. 18.9. На рис. 18.10 показана конструкция ЭНН.
ГЛАВА 19 ПРОЕКТИРОВАНИЕ ЦЕПЕЙ ОБРАТНОЙ СВЯЗИ В ТРАНСФОРМАТОРНОМ ПОВЫШАЮЩЕМ МОСТОВОМ ПРЕОБРАЗОВАТЕЛЕ - ПЕРВОЙ СТУПЕНИ ЭЛЕКТРОННОЙ НЕРАССЕИВАЮЩЕЙ НАГРУЗКИ Как уже говорилось в предыдущей главе, электронная нерассеивающая нагрузка (ЭНН) позволяет заменить обычную резистивную нагрузку, используемую при испытаниях электронных источников питания, электромашинных генераторов и аккумуляторных батарей. При такой замене большая часть электроэнергии, ранее бесполезно рассеиваемая, возвращается к испытуемому преобразователю или в сеть переменного тока. Ниже рассматривается только первая ступень ЭНН, в которой напряжение постоянного тока испытуемого источника преобразуется в постоянное более высокого уровня с гальванической развязкой от входа. Передача электроэнергии обратно к испытываемому преобразователю или в сеть производится второй ступенью — инвертором, работа которого кратко рассматривалась в предыдущей главе. 19.1. Исходные данные для проектирования, структура и параметры силовой части Рассмотрим ЭНН, основными электрическими требованиями к которой являются: Входное напряжение Uex, В 43...60; Максимальная мощность на входе Ртах, Вт 3000; Общий КПД при мощности 0,5 Ртах... Ртах, г\, % >90; Точность задания выходного тока нагружаемого источника, % <±1; Передача энергии производится к однофазной сети с напряжением, В 170...290. ЭНН выполняется двухступенчатой, поэтому каждая ступень должна иметь достаточно высокий КПД с тем, чтобы общий КПД согласно предъявляемым требованиям был не меньше 90%. Особо жесткие требования по энергетике предъявляются к первой ступени, работающей при низком входном напряжении с большими входными токами. На основании предварительного анализа выбрана схема повышающего трансформаторного преобразователя (рис 19.1). Преобразователь имеет особенности, связанные с необходимостью безопасного начального заряда выходного конденсатора Си обеспечением надежной работы при наличии индуктивности рассеяния трансформатора. Подробнее эти вопросы рассматривались в предыдущей главе.
Глава 19. Проектирование цепей обратной связи в трансформаторном повышающем мостовом преобразователе — первой ступени электронной нерассеивающей нагрузки Работа преобразователя в основном режиме (не в режиме запуска) происходит с перекрытием ключей К1...К4. Обозначив интервал перекрытия tu (интервал импульса — накопления энергии в индуктивности L), а период переключения Т= \/fK (fK — частота коммутации ключей), регулировочную характеристику преобразователя при его работе с перекрытием и в режиме непрерывного тока дросселя запишем в виде: (19.1.1) где D - —- — коэффициент заполнения; п = — — коэффициент трансформации. Рис. 19.1. Схема трансформаторного повы шающего мостового преобразователя; В — ди одный мост Работа схемы по рис. 19.1 аналогична работе повышающего импульсного регулятора ИРН-2 [23]. При заданных требованиях к ЭНН напряжение на выходном конденсаторе С должно быть около 400 В, и реально вместо сопротивления нагрузки R к выходу первой ступени подключается преобразователь второй ступени, передающий энергию в испытываемое устройство. В результате расчета определены параметры и типы компонентов, входящих в силовую часть первой ступени преобразователя: - рабочая частота 50 кГц; - сердечник дросселя выполнен на разрезном аморфном железеАМСС16А, обмотка намотана на двух каркасах, содержащих по 10 витков провода диаметром 1,6 мм. Обмотки, выполненные на двух каркасах, соединяются параллельно. Индуктивность — 15 мкГн; - силовой трансформатор выполнен на кольцевом сердечнике R63, феррит N87, Wx = 7 в, Ж, = 42 в; - силовые транзисторы типа IRF4310 соединены по два параллельно в каждом ключе; - емкость С образована параллельным соединением пяти электролитических конденсаторов емкостью по 470 мкФ, U= 450 В, эквивалентное последовательное сопротивление каждого конденсатора 0,41 Ом. Для построения схемы управления прежде всего необходимо создание непрерывной линейной модели силовой части.
19.2. Непрерывная линейная модель трансформаторного повышающего преобразователя >^^^j 43Sf 19.2. Непрерывная линейная модель трансформаторного повышающего преобразователя Получение непрерывной модели трансформаторного повышающего преобразователя и ее линеаризация производятся по методике, изложенной в [23]. Выполнив необходимые преобразования в предположении, что схема по рис. 19.1 работает в режиме непрерывного тока дросселя и с перекрытием ключей, получим непрерывную линейную модель, показанную на рис. 19.2. Рис. 19.2. Непрерывная линейная модель трансформаторного повышающего преобразователя Малосигнальные напряжения на входе и выходе и ток в дросселе обозначены как и^ ивых и TL соответственно. В данном случае напряжение на выходе совпадает с напряжением на емкости. Выходное напряжение в периодическом режиме определяется по (19.1.1), где U^ - входное напряжение в этом же режиме. Ток дросселя IL в периодическом режиме: (19.2.1) В схему может быть включено эквивалентное последовательное сопротивление выходного конденсатора, показное пунктиром и обозначенное как Rc. Сравнение схемы рис. 19.2 с аналогичной схемой повышающего преобразователя (ИРН-2) показывает, что их отличие заключается только во введении коэффициента трансформации п, входящего теперь во все зависимые источники тока и напряжения на рис. 19.2. 19.3. Разомкнутая модель управления Первая ступень ЭНН управляется входным током (выходным током нагружаемого источника). Поэтому задающий сигнал по току, указывающий, насколько должен нагружаться испытываемый источник, должен сравниваться с сигналом входного токового датчика. Учитывая большой диапазон и высокую требуемую точность измерения входного тока в качестве измерителя, выбирается датчик Холла с коэффициентом пере- Рис. 19.3. Схема дифференциального усили- дачи 1/1000, нагруженный на сопротив- теля входного тока
Глава 19. Проектирование цепей обратной связи в трансформаторном повышающем мостовом преобразователе — первой ступени электронной нерассеивающей нагрузки ление 25 Ом. Таким образом, коэффициент передачи датчика составил 0,025 В/А. Сигнал датчика Холла должен усиливаться операционным усилителем, включенным по дифференциальной схеме с целью снижения погрешности. Схема дифференциального усилителя входного тока ЭНН показана на рис. 19.3. Резисторы внешних цепей рассчитаны таким образом, чтобы при максимальном входном токе (около 60 А), напряжение на выходе дифференциального усилителя составляло около 3,5 В. Из рис. 19.3 следует, что при входном токе 60 А и коэффициенте передачи датчика Холла 0,025 В/А выходное напряжение ОУ будет равно: Дифференциальный усилитель с его внешними цепями образует два апериодических звена, частоты сопряжения которых достаточно высокие и эти звенья не могут оказать заметного влияния на динамику замкнутого контура. Назначение апериодических звеньев — отфильтровать высокочастотные шумы, не допуская их прохождения в контур управления. Например, входное звено, образованное сопротивлением 2,2 к и емкостью 470 пФ, имеет постоянную времени, равную: х = ЛС = 2,2103-47010-12= 1,034 мкс. Частота сопряжения (в Гц), соответствующая постоянной времени: что значительно выше выбранной частоты переключения (квантования) 50кГц. Второе звено, образованное сопротивлением 30 к и емкостью 1 нФ и имеющее частоту сопряжения fconp29 фильтрует более низкие частоты, находящиеся за частотой среза разомкнутой системы. Это будет видно после формирования частотных ха- Рис. 19.4. Схема модели преобразователя с необходимыми элементами управления
19.3. Разомкнутая модель управления 43 рактеристик в MATLAB. Для работы модели составим /я-файл, начальный текст которого показан ниже: clc W1 = 7; W2 = 42; n = W2/W1; % трансформатор Vin = 54; Vo = 400; % напряжения на входе и выходе L = 15е-6; R1 = 5е-3; % индуктивность и активное сопротивление дросселя D = l-n*Vin/Vo % расчет коэф. заполнения IL = 40; % задание входного тока (А) Iload = Vin/Vo*IL % ток нагрузки R = Vo/Iloald % сопротивление нагрузки С = 5*470е-6; Re = 410-3/5; % 5 конденсаторов параллельно Kis = 1 е-3*25; % к-нт передачи датчика Холла % Параметры дифференциального усилителя Roc = ЗОеЗ; Rldif = 11еЗ; Rin = 2.2еЗ; Cin = 470е-12; Сое = 1е-9; Таи = Rin*Cin % постоянная времени 1-го % апериодического звена Tauoc = Roc*Coc % постоянная времени 2-го % апериодического звена num = [Roc/Rldif*[l]] % числитель передаточной % функции den = [ Таи* Таис Таи + Таиос 1] % знаменатель передаточной % функции %ШИМ Um = 2; % амплитуда пилообразного напряжения KPWM = 1/Um % к-нт передачи ШИМ Рис. 19.5. Блок Transfboost, воспроизводящий непрерывную линейную модель трансформаторного повышающего преобразователя (входной дроссель показан на рис. 19.4)
Глава 19. Проектирование цепей обратной связи в трансформаторном повышающем мостовом преобразователе — первой ступени электронной нерассеивающей нагрузки Рис. 19.6. Логарифмические частотные характеристики исходной модели (C(s) = 1) В /и-файл помимо уже известных параметров включены амплитуда пилообразного напряжения, формирующего ШИМ (UJ, и коэффициент передачи ШИМ, равный l/Um. Последнее допустимо, если частота среза достаточно удалена от частоты квантования. Схема модели в MATLAB, включающая необходимые элементы в цепи управления и предназначенная для получения частотных характеристик, показана на рис. 19.4. На схеме блок с именем TransfBoost содержит силовую часть преобразователя в виде непрерывной линейной модели, аналогичной показанной на рис. 19.2. Блок TransfBoost с параметрами, содержащимися в /я-файле, показан на рис. 19.5. Частотные характеристики исходной разомкнутой системы, полученные с помощью Tool > Control Design > LinearAnalysis... и их экспорта в SISO Design Tool, показаны на рис. 19.6. Из них можно видеть, что система устойчива, но частота среза превышает 10 кГц, что довольно близко к частоте квантования. Кроме того, в низкочастотной области мал коэффициент передачи, что означает получение значительной ошибки на низких частотах в замкнутой системе. В качестве ШИМ-контроллера применим микросхему UC2843A с напряжением питания около 8 В. Максимальный коэффициент заполнения для этой микросхемы достигает 1, поэтому его ограничение выполняется с помощью микропроцессорной системы, входящей в состав управления ЭНН. Микросхема, так же как аналогичная ей микросхема UC2844A, имеет в своем составе резистивный делитель 1:3, что необходимо учитывать при построении модели в MALAB. Кроме того, следует также учесть, что при использовании усилителя ШИМ-контроллера, инвертирующего входной сигнал, и наличии внешнего усилителя ошибки, следующего за ранее рассмотренным дифференциальным усилителем, необходимо вводить еще одну Рис. 19.7. Инвертирующий транзисторный каскад, схема с общим эмиттером инверсию, не требующую коррекции частотной характеристики. Проще всего это сделать, применив каскад с общим эмиттером, в котором транзистор работает в активной области. На рис. 19.7 показан такой каскад, в котором для стабилизации режима транзистора используются резистор в эмиттере (RI) и резистор R2 При сопротивлении в эмиттере RI более или равном 100 Ом коэффициент передачи каскада по напряжению почти не зависит от коэффициента И2]Э ф) транзистора и внутренних параметров его схемы замещения, например от сопротивления эмиттера гэ.
19.3. Разомкнутая модель управления 43 9j| Коэффициент передачи каскада по напряжению определяется из следующего соотношения, в котором не учитывается сопротивление гэ и частотные свойства транзистора: Un РЛ1Л2 + Л.(Л2 + РД1)* В /w-файл добавим параметры схемы рис. 19.7 и определим коэффициент передачи Ки. Все сопротивления выражаются в килоомах: Rloadb = l,5 ;7Й* = 0Д ;Ш = Ю ;Rib = 47 ;beta = l25 ; -R2b*Rloadb*beta В обозначениях символ «b» означает биполярный каскад. С учетом подключения транзисторного каскада схема модели показана на рис. 19.8. Усилитель — 1 (Phase) учитывает инвертирование сигнала в блоке задания коэффициента Kub (блок Kubipol) с тем, чтобы не было инвертирования в прохождении сигнала в разомкнутой системе. Усилитель Kmsh учитывает делитель 1/3 ШИМ-контроллера, который вносится в /я-файл: Kmsh = 1/3; % делитель ШИМ-контроллера. Частотные характеристики, соответствующие схеме на рис. 19.8, близки ктем, что показаны на рис. 19.6 и поэтому здесь не приводятся. Теперь, используя схему модели на рис. 19.8, экспортируем ее частотные характеристики в SISO Design Tool с целью определения параметров корректирующего звена, построенного на основе усилителя ошибки и следующего за дифференциальным усилителем. Рис. 19.8. Схема модели разомкнутого преобразователя с учетом транзисторного каскада, коэффициента деления ШИМ-контроллера и введения инверсии (блок Phase)
Глава 19. Проектирование цепей обратной связи в трансформаторном повышающем мостовом преобразователе — первой ступени электронной нерассеивающей нагрузки Поставив интегратор (правая кнопка > Add Pole > Zero > Integrator), действительный полюс — 19990 (Compensator > Edit > С > Add Real Pole) и действительный ноль — 288 (Compensator > Edit > С > Add > Real Zero) и добавив усиление 7,25еЗ, получим в окне Current Compensator передаточную функцию: Полученные частотные характеристики показаны на рис. 19.9, из которых следует: введение цепи коррекции позволило значительно повысить коэффициент передачи на низких и средних частотах, при этом система оказалась неустойчивой, без запасов как по амплитуде, так и по фазе. Внесем в /и-файл информацию о полученном звене коррекции: Taul = 1/7.25еЗ; Tauz = 3.5е-3; Таир = 5е—5; numm = [Tauz l] % числитель передаточной функции denm = [TaulTaup Taul 0] % знаменатель передаточной функции. Включив в схему модели передаточную функцию усилителя ошибки с числителем numm и знаменателем denm (рис. 19.10), входим в SISO Design Tool с целью создания еще одного звена коррекции — теперь уже на основе усилителя ШИМ- Рис. 19.10. Схема модели разомкнутого преобразователя с учетом передаточной функции корректирующего звена на основе усилителя ошибки Рис. 19.9. Логарифмические частотные характе- ристики после подключения транзисторного каскада, коэффициента деления ШИМ- контроллера и корректирующего звена на основе усилителя ошибки (C(s) = 7.25е+003 х (1 + + 0.0035s)/(s(l + 5e-005s)))
19.3. Разомкнутая модель управления 44 РЦ Рис. 19.11. Логарифмические частотные характеристики модели после подключения корректирующего звена на основе усилителя ШИМ-контроллера (C(s) = 0.133 х (1 + + 0.00027s)/(l+ 0.0071s)) о контроллера. Поставив в окне Current Compensator коэффициент усиления 0,133, *"•19Л2' «епи коррекции, выполненные „A»^n.~~»T„ ,„ „ч „ „ 1 л~> /^л.™л.™*л.. на основе усилителя ошибки (а) и усилите- деиствительныи полюс — 142 (Compensator mm/ v/ J ч с,ч . г- \ а лл г> 1 г» 1 ч « - ля ШИМ-контроллера > Edit > С > Add Real Pole) и действительный ноль - 3771 (Compensator > Edit > С > Add Real Pole), получим передаточную функцию звена коррекции: Полученные в результате частотные характеристики показаны на рис. 19.11. Система устойчива (запас по амплитуде 11,4 дБ, запас по фазе 29,8°), частота среза 1,51 кГц, усиление не менее 40 дБ вплоть до частоты 100 Гц. Вносим в ди-файл ин- Рис. 19.13. Окончательная схема модели преобразователя с разомкнутым контуром управления
Глава 19. Проектирование цепей обратной связи в трансформаторном повышающем мостовом преобразователе — первой ступени электронной нерассеивающей нагрузки Рис. 19.14. Схема модели с замкнутым контуром управления формацию о звене коррекции на основе инвертирующего усилителя ШИМ- контроллера: Tauzmsh = 270e-6; Taupmsh = 7.1e-3; Kmsh = 0,133; numsh = [—Kmsh*[ Tauzmsh 1]] % числитель передаточной функции denmsh = [Taupmsh 1] % знаменатель передаточной функции: Полученные передаточные функции двух корректирующих звеньев позволяют определить цепи, работающие совместно с операционными усилителями. На рис. 19.12, а показана схема усилителя ошибки, а на рис. 19.12, б— схема внешних цепей усилителя, входящего в состав микросхемы UC2843A. Выполнив расчеты элементов цепей коррекции, в разомкнутую схему модели (рис. 19.10) вставим передаточную функцию микросхемы (Amplmsh) с числителем numsh и знаменателем denmsh вместо инвертирующего (Ки = — 1) усилителя. Для схемы, показанной на рис. 19.13, частотные характеристики аналогичны показанным на рис. 19.11. 19.4. Замкнутая модель управления В схему модели с замкнутым контуром управления (рис. 19.14) включено несколько дополнительных элементов. Сигнал с выхода звена DifAmpl вычитается в сумматоре Suml из опорного сигнала, поступающего с выхода сумматора Sum2. Блок Step позволяет изменить уровень опорного (задаваемого) тока в требуемый момент времени. Два блока (Satl и Sat2) ограничивают сигналы на уровнях, соответствующих в реально работающей схеме. Ключ (IS) и генератор сигналов SB позволяют изменить нагрузку схемы, то есть отобрать больший или меньший ток от выхода первой ступени. Работа первой ступени ЭНН с замкнутой обратной связью по току дросселя во временной области показана на рис. 19.15. На нем видно, что выходное напряже-
19.4. Замкнутая модель управления 443j| ние в установившемся режиме равно около 400 В. В момент времени, равном 1,5 с, увеличивается от блока Step опорный сигнал по току. В результате емкости выходных конденсаторов получают дополнительный заряд и напряжение на выходе возрастает. В момент времени 1,68 с с помощью ключа IS подключается дополнительная нагрузка (370 Ом) и выходное напряжение снижется. Первая ступень ЭНН, которую мы сейчас рассматриваем, не управляет выходным напряжением, не следит за ним. Управляемой переменной первой ступени является только ток дросселя, то есть входной ток ЭНН. На рис. 19.16 показано изменение тока дросселя с 40 А (заданный в /и-файле) до 48 А вследствие скачка опорного сигнала по току на 20% по сравнению с исходным. Перерегулирование тока и его колебательный характер после скачка объясняются недостаточным запасом по фазе (около 30°, рис. 19.11). На работу всей ЭНН перерегулирование по току влияет косвенно, поскольку вторая ступень реагирует только на изменение своего входного напряжения, устанавливая необходимый по амплитуде и форме ток, передаваемый на выход. Рис. 19.15. Выходное напряжение первой ступени ЭНН, возрастание напряжения определяется увеличением опорного сигнала по току, снижение — увеличением нагрузки Рис. 19.16. Входной ток первой ступени ЭНН при скачке опорного сигнала по току на 20%
ЧАСТЬ V. ПОСТРОЕНИЕ ЦИФРОВЫХ СИСТЕМ УПРАВЛЕНИЯ ГЛАВА 20 ТРЕХФАЗНЫЙ ИНВЕРТОР В данной главе рассматривается построение инвертора, обеспечивающего выходное трехфазное напряжение с управлением на основе ЦСП. Импульсное выходное напряжение с достаточно хорошим спектральным составом может затем дополнительно фильтроваться либо LC-фильтром, который обеспечит синусоидальную форму напряжения, либо менее объемным фильтром, ограничивающим скорость изменения импульсного напряжения. Инвертор, описываемый ниже, может применяться до выходной мощности в десятки кВА, его типовой нагрузкой может являться, например, трехфазный асинхронный двигатель, регулируемый по закону U/f= Const. 20.1. Формирование квазисинусоидального трехфазного напряжения (векторная широтно-импульсная модуляция) Рассматриваемая ниже модуляция на основе метода пространственного вектора (Space-Vector PWM) или просто векторная широтно-импульсная модуляция — уже стала известной технологией для управления трехфазными инверторами напряжения (ТИН), широко применяется для управления как трехфазными асинхронными, так и другими типами двигателей и нагрузками. Существуют различные методы формирования ШИМ сигналов в трехфазных инверторах. Помимо векторной широтно-импульсной модуляции (ШИМ) существуют давно известные синусоидальная ШИМ, гистерезисная ШИМ, а также ШИМ с устранением определенных номеров гармоник. Векторная ШИМ является относительно новым методом [110,18], который в дальнейшем рассматривается в данном параграфе. Выходной каскад ТИН показан на рис. 20.1 и состоит из трехфазного моста, подключенного к источнику входного напряжения (U^) и нагрузке (Ua, Ub, Uc). На рисунке обозначены сигналы а, Ь, с, управляющие верхними ключами моста, и сигналы а\ Ь\ с', управляющие нижними. Будем полагать, что ключи в одной фазе (например, К1 и К2) управляются комплементарно, то есть эти ключи в один и тот же момент времени находятся в разных состояниях — один замкнут, другой разомкнут. При работе обычного инвертора, от Рис. 20.1. Выходной каскад трехфазного инвертора напряжения
20.1. Формирование квазисинусоидального трехфазного ... напряжения (векторная широтно-импульсная модуляция которого требуется получение простейшей формы трехфазного напряжения, насчитывается шесть состояний ключей за период переключения [23]. В данном случае добавим к ним еще два, при которых либо замкнуты все верхние ключи (JP1, A3, А5), либо нижние. Из сказанного ранее следует, что если, например, сигнал аравен 1 (ключ К\ замкнут), то сигнал d равен 0 (ключ А2 разомкнут). Таким образом, состояние вектора Рис. 20.2. Прямоугольная декартова система управления [я, Ь, с]Т=[1 1 1]гозначает координат в пространстве, плоскость а прохо- замкнутое состояние верхних ключей, а *"*че**3 концы единичных векторов ij, k состояние [0 0 0]т — замкнутое состояние нижних. В табл. 20.1 показаны все возможные состояния сигналов а, Ь, с, линейных выходных (Uab, lib, Uca) и фазных выходных напряжений (Ua, Ub9 Uc). Фазные напряжения отсчитываются относительно нуля нагрузки, соединенной в звезду. Таблица 20.1 Состояния управляющих сигналов и выходных напряжений в трехфазном мосте Из табл. 20.1 следует, что нулевые линейные и фазные напряжения получаются при двух состояниях вектора управления: [0 0 0]ги [1 1 1]г. Рассмотрим переход от трехфазной системы управляющих сигналов к плоскости с прямоугольной системой координат (ее часто называют d-q плоскостью) На рис. 20.2 показана прямоугольная декартова система координат в пространстве.
446 Глава 20. Трехфазный инвертор Рис. 20.3. Правильная пирамида, полученная в результате прохождения плоскости а через концы единичных векторов /,у, к Рис. 20.4. Треугольник abc, лежащий в основании правильной пирамиды и оси d, q прямоугольной декартовой системы координал на плоскости Векторы /, j, к — базисные векторы. Управляющие сигналы д, Ъ и с в том случае, если они равны единице, будем считать векторами, совпадающими с векторами I, у и Л соответственно. Поскольку другое значение каждого из сигналов может быть равным только нулю, нулевым оказывается и соответствующий вектор, отображающий данный сигнал. Проведем плоскость а таким образом, чтобы она проходила через концы векторов i,y и Л. В результате отрезки ab, be и са образуют правильный треугольник, служащий основанием правильной пирамиды (рис. 20.3) Поскольку пирамида правильная (этот факт не требует особых доказательств), проекция вершины s на плоскость основания совпадает с центром треугольника 0, лежащего в основании. Не забудем, что векторы sa, sh и sc на рис. 20.3 отображают единичнь1е управляющие сигналы д, Ь, с. Каждый из этих сигналов может быть нулевым, следовательно, нулевым будет и вектор sa, $й или sc. Перейдем к рассмотрению треугольника, лежащего в основании правильной пирамиды (рис. 20.4). Введем оси d, g9 образующие прямоугольную декартову систему координат на плоскости. Отрезки оа, оЬиос — проекции единичных сигналов а, Ь, с на плоскость а (плоскость треугольника abc). При нулевом сигнале его проекция совпадает с центром треугольника. Рассмотрим проекции отрезков оа, оЬ и ос на оси d, q. Это даст возможность отобразить трехфазную систему управляющих сигналов на плоскость Od, Oq, или просто на плоскость d— q. Пусть сигналы а, Ъ, с единичные. В таком случае проекции этих сигналов на оси d, q оказываются равными, как показано в табл.20.2. Таблица 20.2
20.1. Формирование квазисинусоидального трехфазного напряжения (векторная широтно-импульсная модуляция Полученный в табл. 20.2 результат следует из рассмотрения треугольника abc на плоскости d-q (рис. 20.4) и его можно считать матрицей, позволяющей пересчитывать сигналы трехфазной системы в плоскость d-q. Обозначив эту матрицу как Tabc-dq, получим: Результат проектирования любых сигналов а, Ь, с на плоскость d-q может быть записан в виде матричного соотношения: где матрица-столбец [IIpd IIpq] — суммарная проекция сигналов а, Ь, с на оси d,q плоскости d-q. Если сигналы а, Ь, с все нулевые или все единичные (состояния 0 и 7 табл. 20.1), проекции IIpd и IIpq равны нулю. Во всех остальных случаях, при любых комбинациях сигналов a, Ь, с, одна из проекций или обе — ненулевые. Проекции сигналов а, b, с на плоскость d—q можно изобразить в виде восьми векторов, из которых два являются нулевыми, а остальные шесть имеют равные мо- дули (рис. 20.5). На рисунке рядом Рж-205' Восемь векторов, показывающих проек- ции сигналов а, о, с на плоскость d—q. Два вектора с каждым вектором проставлены /тт гт ч , (Чюои ^ш) ~ нулевые значения сигналов а, Ь, с и его ко- ординаты на плоскости d-q. Если предположить, что на выходе инвертора получено синусоидальное напряжение, как линейное, так и фазное с определенной амплитудой, то такому напряжению в плоскости d-q соответствует вектор, выходящий из центра координат и вращающийся в положительном направлении (против часовой стрелки). Фактически на выходе инвертора синусоидального напряжения быть не может в силу импульсного характера работы трехфазного моста. Поэтому, говоря о синусоидальности выходного напряжения, будем иметь в виду первую (основную) гармонику спектра. Все остальные гармоники являются достаточно высокими и поэтому могут быть подавлены выходным LC-фильтром. При индуктивном характере трехфазной нагрузки легко устраняются высокочастотные гармоники тока. Вращающийся вектор в d—q плоскости принято называть пространственным. Мы его будем называть опорным, или референсным (Uref). Такой вектор показан на рис. 20.6 под углом а к горизонтальной оси d. На этом рисунке векторы U0, Um и {/240 являются главными и каждый из них имеет еще одно обозначение — Ux.
^•48 Глава 20. Трехфазный инвертор Векторы ?/60, Um и Um являются вспомогательными, и они обозначаются как 1/хШ. В размерности напряжения длина каждого вектора равна V2/3~?/dc, где Udc = Uex(Uex- входное напряжение моста). Вектор UKf задает уровень выходного напряжения, которое, в свою очередь, зависит от частоты основной гармоники. Изменение частоты приводит к пропорциональному изменению выходного напряжения U/f= Const и, в свою очередь, требует изменения величины ипГ Максимально возможное значение U^ — радиус окружности, вписанной в шестигранник на рис. 20.6. Если длина векторов Ux и U^ равна V2/3 Udc, то максимальная длина вектора Unf равна f/dc/V2. Максимальный радиус Unf равный t/dc/V2, — это максимально возможное значение амплитуды фазного напряжения при располагаемом постоянном напряжении Udc и при изображении на данной d-q плоскости. Реальная максимально возможная амплитуда фазного напряжения получается домножением Udc/42 на коэффициент V2/3. В результате эта амплитуда оказывается равной: (20.1.1) Максимально возможная амплитуда линейного напряжения на выходе преобразователя, как следует из (20.1.1), равна: (20.1.2) Наконец, максимально возможное линейное напряжение (действующее значение) связано с напряжением Udc соотношением: (20.1.3) Таким образом, согласно вышеприведенным соотношениям и рис. 20.6 вектор ипГ отображает на d-q плоскости действующее значение линейного напряжения, причем максимально возможное значение этого напряжения оказывается равным UdJ^2. Вектор ипГ отображает на d-q плоскости действующее значение линейного напряжения, причем максимально возможное значение этого напряжения оказывается равным f/dc/V2. Вектор U„r вращается против часовой стрелки по окружности с частотой, равной частоте выходного напряжения инвертора. Условимся в каждом периоде ШИМ сигналы д, Ь, с располагать симметрично. Считая, что начало отсчета выходного вектора (UJ) соответствует его направлению вдоль горизонтальной оси Рис. 20.6. Опорный вектор (Uref), основные (Ux) и вспомогательные (Ux+60) векторы
20.1. Формирование квазисинусоидального трехфазного напряжения (векторная широтно-импульсная модуляция Рис. 20.7. Диаграммы сигналов а, Ь, с для вектора Uref, находящегося в секторе U0 — U60 (ось d, данное положение вектора обо- Рис. 20.8. Сложение векторов в первом секто- значим как U0), покажем на рис. 20.7 ди- Ре (<*)и во втором (б) аграммы сигналов а, Ь, с при условии, что вектор 11ы находится в секторе U0 — U60. На этом рисунке состояние Qm(0 0 0), U0(l 0 0) и т.д. соответствуют состояниям сигналов в табл. 20.1. Смысл рис. 20.7 заключается в том, что часть периода ШИМ (Тшим) находится в состоянии 100, что соответствует вектору U0 на рис. 20.6; другую часть Тшим выходной каскад находится в состоянии 110, что соответствует вектору Ueo. Наконец, часть периода Тшим замкнуты нижние ключи трехфазного моста (состояние 000) или верхние (состояние 111). Таким образом, за период Тшим происходит многократное переключении транзисторов трехфазного моста. Вектор [/„.^показанный на рис. 20.6, домножим на скалярную величину Тшим, тогда вектор икТ Тшим можно представить как геометрическую сумму векторов их Tj и их±в0 Тр где Тх — время, в течение которого ключи трехфазного моста находятся всостоянии, соответствующем вектору Ux, а Т2 — время, соответствующее вектору Чс±60- На рис. 20.8 показано сложение векторов в первом секторе (U0— U60, рис. а) и во втором (U60 — U120i рис. б). Заметим, что отсчет угла а в каждом секторе начинается от вектора Ux, а заканчивается в направлении вектора Ux±60. Поэтому в каждом нечетном секторе возрастание угла а происходит в направлении против часовой стрелки, а в каждом четном — по часовой, что показано на рис. 20.8 для первого и второго секторов. Рассмотрим соотношение, справедливое для данного периода работы ШИМ: (20.1.4) где Т0 — суммарное время нахождения ключей трехфазного моста в состояниях 000 и 111 за период ШИМ. Векторы t^o и ии1 равны нулю. Для любого сектора и любого момента времени действует соотношение: (20.1.5)
^50 Глава 20. Трехфазный инвертор Полагая, что длины векторов ?/х, ?/х±60 равны V2/3 Udc, из рис. 20.8, а, б на основании теоремы синусов получим: (20.1.6) (20.1.7) Два последних соотношения позволяют определить времена Тх и Т2 при заданных значениях Unfi\ а. Значения Udc и период Тшим полагаются известными. Интервал времени Т0 определяется из соотношения: (20.1.8) Изменение угла а в каждом новом периоде ШИМ происходит в соответствии с соотношением: где fum — изменяющаяся частота инвертора; /шим — частота ШИМ. Задание максимального требуемого значения Unf) входящего в уравнения (20.1.6) и (20.1.7), выполняется по соотношению: (20.1.9) В правой части (20.1.9) величина f/dc/V2 равна наибольшему значению амплитуды фазного напряжения, представленной на плоскости d—q. В исходную трехфазную систему правая часть (20.1.9) должна быть пересчитана с коэффициентом V2/3. Поэтому получаем реальное наибольшее значение амплитуды фазного напряжения, равное f/dc/V2 • V2/3 = f/dc/V3. Наибольшее значение амплитуды линейного напряжения, следовательно, равно Udc, а его действующее значение - f/dc/V2. Отсюда следует удобство соотношений (20.1.6) и (20.1.7), когда в них вместо Unf подставляются непосредственно значения задаваемых эффективных линейных напряжений. 20.2. Построение защиты по току на основе П И Д-регулятора Рассматриваемый трехфазный инвертор должен быть снабжен защитой по току, которая удерживает выходной ток в фазе посредством снижения частоты, и следовательно, выходного напряжения. Инвертор продолжает работу в режиме ограничения тока, при сниженных частоте и выходном напряжении. Структурная схема инвертора с регулятором ограничения тока показана на рис. 20.9.
20.2. Построение защиты по току на основе ПИД-регулятора 45 Гш Рис. 20.9. Структурная схема трехфазного инвертора с регулятором ограничения тока Инвертор с векторной ШИМ дополняется трехфазным LC-фильтром, позволяющим выделить из импульсного напряжения первую гармонику. Датчики тока с изолированным выходом (например, датчики Холла) образуют напряжения на резисторах RA, RB, Rc, пропорциональные токам в фазах инвертора. Индуктивно-активная нагрузка в фазах инвертора - индуктивность LH и сопротивление R. Напряжения на резисторах RA, RB и R^ выпрямляются трехфазным мостом. Через фильтр Ф, выполняемый обычно на операционном усилителе, выпрямленный сигнал, пропорциональный амплитуде тока в каждой фазе инвертора, поступает на вычитающий вход сумматора 1. На другой его вход поступает сигнал эталонного тока (1^), уровень которого определяет ток в фазе инвертора при работе последнего в режиме защиты по току. Сигнал с выхода сумматора поступает на регулятор — звено с передаточной функцией, обеспечивающей требуемую динамику инвертора в режиме защиты по току. На выходе регулятора действует звено ограничения положительных и отрицательных входных сигналов. Сигнал с выхода ограничителя поступает на второй сумматор, складываясь с эталонным сигналом по частоте (?). Сигнал^ задает выходную частоту инвертора (и, следовательно, пропорциональное ей выходное напряжение), если на выходе сумматора 1 действует положительный сигнал. В таком случае на выходе ограничителя сигнал равен нулю, а выход сумматора 2 — это сигнал fr При достижении сигналом иф уровня, соответствующего сигналу 1^, рабочая точка на характеристике ограничителя оказывается в зоне линейности, сигнал с выхода этого элемента поступает на сумматор 2 с отрицательным знаком. Поэтому частота/инвертора снижается по сравнению с эталонной частотой^. Поскольку при данном управлении U/f= Const, происходит снижение выходного напряжения и, следовательно, стабилизация тока в фазе на уровне, задаваемом сигналом
E2 Глава 20. Трехфазный инвертор 20.2.1. Модель трехфазного инвертора Для построения модели инвертора, которая необходима при разработке регулятора тока, нет необходимости моделировать ШИМ, работающий по принципу векторной ШИМ или по закону синусоидальной развертки. Основанием для этого является то, что реальный инвертор является практически безынерционным звеном, быстро реагирующим на изменение входного сигнала (например, задания частоты). Вследствие этого инвертор можно просто моделировать тремя синусоидальными напряжениями, образующими трехфазную систему и реагирующими на управляющий сигнал. Модель инвертора в MATLAB Simulink показана на рис. 20.10. Ее основу составляют три тригонометрические функции — Trig F1, Trig F2 и Trig F3, на выходе которых появляются три синусоидальных сигнала с фазами, сдвинутыми между собой на 2я/3 и единичными амплитудами. После прохождения сигналов через блоки умножения PhA, PhB и PhC получаются три синусоидальных напряжения, образующих трехфазную систему, с амплитудой, зависящей от сигнала/, задающего частоту работы модели. Сигнал/(размерность Гц) проходит через блок Ampl с коэффициентом 4,4*1,41. При/= 50 Гц получаем действующее напряжение в фазах инвертора 220 В. На выходе блока Omega с коэффициентом передачи 2я получается круговая частота со, соответствующая частоте/ Для задания мгновенного времени используется вход t, сигнал с которого поступает на перемножитель Omega t. На другой вход этого перемножителя поступает сигнал с выхода блока Omega. Таким образом, формируется значение аргумента со/ функции синус. Фазовый сдвиг каждой функции синус формируется в блоках Phanglel, Phangle2 и Phangle3. Рис. 20.10. Модель инвертора в виде трехфазной системы синусоидальных напряжений
20.2. Построение защиты по току на основе ПИД-регулятора 43 Рис. 20.11. Модель трехфазного LC-фильтра и LR-нагрузки Выходные сигналы инвертора PHASEA, PHASEB и PHASEC поступают в модель трехфазного LC-фильтра и LR-нагрузки (рис. 20.11). Элементы фильтра L1C1 ...L3C3 получают выходные напряжения инвертора от управляемых источников напряжения CVS1...CVS3. Индуктивности в фазах нагрузок — L11...L13, постоянные активные сопротивления — RL1... RL3. Модель позволяет изменять скачком активную часть комплексного сопротивления фазы, для чего используются ключи IS1... IS3, переключатели и блок подачи сигнала SB. Выходы Va_m и Ia_m позволяют увидеть напряжение и ток фазы А при подключении к ним осциллографа (Scope). В правой части модели рис. 20.11 находится блок Current sense, в котором производится пере- Рис. 20.12. Модель измерения тока в каждой фазе инвертора
|?454 Глава 20. Трехфазный инвертор Рис. 20.13. Полная схема модели трехфазного инвертора с регулятором ограничения тока счет токов каждой фазы, что позволяет моделировать работу токовых датчиков Холла (рис. 20.12). Каждый датчик Холла (деление тока 1/1000) нагружен на свое сопротивление (RH1...RH3), напряжения с которых поступают на трехфазный мостовой выпрямитель (Bridge). Выход модели на рис. 20.12, обозначенный как Isum, представляет собой напряжение, пропорциональное амплитуде тока в фазе. Этот же сигнал на рис. 20.11 обозначен как Iabc. На рис. 20.13 показана полная схема модели инвертора с регулятором выходного тока, LC-фильтром, нагрузкой и возможностью изменения тока в каждой фазе. Блок Time определяет мгновенное время, поступающее в аргумент функции sin. Передаточная функция TrFOA задает параметры обратной связи операционного усилителя, включенного за выпрямителем (Bridge, рис. 20.12), которые выбираются для фильтрации сигнала на выходе выпрямителя. Ключевой элемент схемы на рис. 20.13 — звено коррекции, обозначенное как Compensator и являющееся регулятором в данной системе. Построение регулятора рассматривается в следующем разделе. 20.2.2. ПИД-регулятор в системе управления Разомкнув замкнутую систему, показанную на рис. 20.13, принимаем сначала коэффициент передачи звена коррекции (Compensator) равным единице. С помощью SISO Design Tool определяем параметры звена коррекции с интегратором и двумя равными дифференцирующими звеньями:
20.2. Построение защиты по току на основе ПИД-регулятора 45Sj| Используя соотношения (14.8.12...14.8.14), определим коэффициенты ПИД- регулятора: Таким образом, передаточная функция ПИД-регулятора оказывается равной: При частоте работы ключей инвертора 4 кГц передаточная функция ПИД, работающего в дискретной системе, принимает вид: 20.2.3. Замкнутая система управления ограничением тока инвертора Схема дискретного ПИД-регулятора с коэффициентами, определенными в предыдущем параграфе, показана на рис. 20.14. Схема замкнутой системы ограничения тока с ПИД-регулятором остается такой же, как на рис. 20.13. Параметры LC- фильтра: L = 430 мкГ; С= 65 мкФ. Параметры неизменной ?Л-нагрузки: L = 1000 мкГ; RL = 36,5 Ом. Рис. 20.14. Схема дискретного ПИД-регулятора
4S6 Глава 20. Трехфазный инвертор Fhc. 20.15. Напряжение (верхняя осцилло- РиС# 20.16. Сигналы на входе сумматора грамма) и ток в фазе при работе ПИД- регулятора в результате скачка нагрузки Нагрузка, коммутируемая с помощью ключа (рис. 20.11): RLa = 4 Ом. Реакция системы (изменение напряжения и тока в фазе) в результате скачка нагрузки показана на рис. 20.15, а сигналы на входе сумматора, формирующего сигнал рассогласования (Scope «signal level control»), показаны на рис. 20.16. Можно видеть апериодический характер переходного процесса. 20.3. Управление трехфазным инвертором с использованием ЦСП В предыдущих параграфах главы было рассказано о теоретических принципах управления трехфазным инвертором с использованием векторной ШИМ. Рассмотрим теперь программную реализацию данного метода, построение сервисных функций и защит с помощью цифрового сигнального процессора Texas Instruments TMS320F2808. В данном материале не ставится цель описать все многочисленные функции, выполняемые процессором. В программах и на схемах встречаются обозначения + 15В, —15В и +5В, которые относятся к напряжениям питания системы управления трехфазным инвертором и получены от вспомогательного преобразователя. Эти напряжения контролируются микропроцессором и сведения о выходе из допуска любого из них могут передаваться в различные внешние системы диагностики и контроля. Передача данных в эту систему производится по CAN интерфейсу. В системе управления инвертором предусмотрены сигналы, позволяющие ограничить на меньшем уровне предельный ток инвертора, что требуется для работы в нештатных ситуациях. 20.3.1. Программная реализация управления Программная реализация управления основана на принципе единичного прерывания, который позволяет воспроизводить программный код с минимальными временными затратами на обработку воздействий при достижении простого про-
20.3. Управление трехфазным инвертором с использованием ЦСП 1Э Рис. 20.17. Программа управления трехфазным инвертором («С»-код) с инициализацией аппаратных средств и основным циклом
ffa58 Глава 20. Трехфазный инвертор граммного обеспечения. Структура представляет собой смешанный код. Оболочка программы (основной цикл) реализована на языке «С» и показана на рис. 20.17, а обработка прерывания и математические вычисления выполнены на ассемблере с вызовом из «С». Программа, написанная на «С», реализована в функции main(), основной цикл программы выполнен в виде while(l) (бесконечный цикл). Некоторые математические функции взяты из стандартной математической библиотеки F280xx «Fixed Point Math Library». 20.3.2. Работа ШИМ, АЦП и организация прерывания На основе принципа единичного прерывания на рис. 20.18 показана диаграмма работы ШИМ каналов, запуска преобразований АЦП и прерывания. Настройка периферийных устройств следующая (подробно о настройке ШИМ ЦСП TMS320F2808 рассказано в параграфе 13.7): — счетчики трех блоков ШИМ EPWM1...3 двухсторонние; Рис. 20.18. Диаграмма работы ШИМ, запуска преобразований АЦП и прерывания
20.3. Управление трехфазным инвертором с использованием ЦСП 45$Р — два блока ШИМ EPWM2,3 синхронизированы с первым блоком EPWM1, фаза равна 0; — выход каждого блока становится в высокое состояние на фронте счетчика и в низкое — на спаде; — преобразования АЦП запускаются по счетчику EPWM1 при нуле и при достижении периода; — прерывание запускается по окончании преобразования АЦП; — для каждого блока включены зоны блокировки выходов ШИМ: две зоны (для канала А и В) однократного срабатывания (OSHT). Ниже представлена подпрограмма настройки ШИМ-контроллера процессора: //основные настройки EPWM1 EPwmlRegs.TBPRD = 6250; //Период EPwmlRegs.TBPHS.half.TBPHS = 0; //Фаза EPwmlRegs.TBCTL.bit.CTRMODE = TB_COUNT_UPDOWN; //симметричный режим EPwmlRegs.TBCTL.bit.PHSEN = TB_DISABLE; //Ведущий блок EPwmlRegs.TBCTL.bit.PRDLD = TB_SHADOW; //Синхронизация с ведомыми модулями от нуля счетчика EPwmlRegs.TBCTL.bit.SYNCOSEL = TB_CTR_ZERO; //Компаратор А работает через теневой регистр EPwmlRegs.CMPCTL.bit.SHDWAMODE = CC_SHADOW; //Загрузка коэфф. заполнения при CTR = 0 EPwmlRegs.CMPCTL.bit.LOADAMODE = CC_CTR_ZERO; //установка режима сравнения для канала AEPwmlRegsAQCTLA.bit.CAU = AQ_SET, EPwmlRegs.AQCTLA.bit.CAD = AQ_CLEAR; // установка режима сравнения для канала В EPwmlRegs.AQCTLB.bit.CAU = AQ_CLEAR; EPwmlRegs.AQCTLB.bit.CAD = AQ_SET; //TZ1 работает в режиме однокр. срабатывания EPwmlRegs.TZSEL.bit.OSHTl = 1; //TZ2 работает в режиме однокр. срабатывания EPwmlRegs.TZSEL.bit.OSHT2= 1; // При срабатывании защиты перевод выводов ШИМ А в 0 EPwmlRegs.TZCTL.bit.TZA = TZ_FORCE_LO; EPwm 1 Regs.TZCTL.bit.TZB = TZ_FORCE_LO; // При срабатывании //защиты перевод выводов ШИМ В в 0; Основные настройки EPWM2 EPwm2Regs.TBPRD = 6250; //Период EPwm2Regs.TBPHS.half.TBPHS = 0; //Фаза //симметричный режим EPwm2Regs.TBCTL.bit.CTRMODE = TB_COUNT_UPDOWN; //Ведомый блок EPwm2Regs.TBCTL.bit.PHSEN = TB_ENABLE; EPwm2Regs.TBCTL.bit.PRDLD = TB_SHADOW;
460 Глава 20. Трехфазный инвертор II синхронизация от SYNC_IN EPwm2Regs.TBCTL.bit.SYNCOSEL = TB_SYNC_IN; EPwm2Regs.CMPCTL.bit.SHDWAMODE = CC_SHADOW; //Загрузка D при CTR = 0 EPwm2Regs.CMPCTL.bit.LOADAMODE = CC_CTR_ZERO; //установка режима сравнения для канала А EPwm2Regs.AQCTLA.bit.CAU = AQ_SET; EPwm2Regs.AQCTLA.bit.CAD = AQ_CLEAR; //установка режима сравнения для канала В EPwm2Regs.AQCTLB.bit.CAU = AQ_CLEAR; EPwm2Regs.AQCTLB.bit.CAD = AQ_SET; //TZ1 работает в режиме однокр. срабатывания EPwm2Regs.TZSEL.bit.OSHTl = 1; //TZ2 работает в режиме однокр. срабатывания EPwm2Regs.TZSEL.bit.OSHT2 = 1; //При срабатывании защиты перевод выводов ШИМ А в 0 EPwm2Regs.TZCTL.bit.TZA = TZ_FORCE_LO; // При срабатывании защиты перевод выводов ШИМ В в 0 EPwm2Regs.TZCTL.bit.TZB = TZ_FORCE_LO; // основные настройки EPWM3 EPwm3Regs.TBPRD = 6250; //Период EPwm3Regs.TBPHS.half.TBPHS = 0; //Фаза //симметричный режим EPwm3Regs.TBCTL.bit.CTRMODE = TB_COUNT_UPDOWN; //Ведомый блок EPwm3Regs.TBCTL.bit.PHSEN = TB_ENABLE; EPwm3Regs.TBCTL.bit.PRDLD = TB_SHADOW; //синхронизация от SYNC_IN EPwm3Regs.TBCTL.bit.SYNCOSEL = TB_SYNC_IN; EPwm3Regs.CMPCTL.bit.SHDWAMODE = CC_SHADOW; //Загрузка D при CTR = 0 EPwm3Regs.CMPCTL.bit.LOADAMODE = CC_CTR_ZERO; //установка режима сравнения для канала А EPwm3Regs.AQCTLA.bit.CAU = AQ_SET; EPwm3Regs.AQCTLA.bit.CAD = AQ_CLEAR; //установка режима сравнения для канала В EPwm3Regs.AQCTLB.bit.CAU = AQ_CLEAR; EPwm3Regs.AQCTLB.bit.CAD = AQ_SET; //TZ1 работает в режиме однокр. срабатывания EPwm3Regs.TZSEL.bit.OSHTl = 1; //TZ2 работает в режиме однокр. срабатывания EPwm3Regs.TZSEL.bit.OSHT2 = 1; //При срабатывании защиты перевод выводов ШИМ А в 0 EPwm3Regs.TZCTL.bit.TZA = TZ_FORCE_LO; //При срабатывании защиты перевод выводов ШИМ В в 0 EPwm3Regs.TZCTL.bit.TZB = TZ_FORCE_LO; //Разрешение преобразования для АЦП
20.3. Управление трехфазным инвертором с использованием ЦСП 46 Г EPwmlRegs.ETSEL.bit.SOCBEN= 1; //SOCB при счетчике = 0; EPwmlRegs.ETSEL.bit.SOCBSEL = ET_CTR_ZERO; //разрешение преобразования для АЦП EPwmlRegs.ETSEL.bit.SOCAEN = 1; //SOCA по достижении периода EPwmlRegs.ETSEL.bit.SOCASEL = ET_CTR_PRD; //импульс происходит каждый период EPwmlRegs.ETPS.bit.SOCBPRD= 1; //импульс происходит каждый период EPwmlRegs.ETPS.bit.SOCAPRD= 1; //старт всех таймеров SysCtrlRegs.PCLKCRO.bit.TBCLKSYNC = 1; EDIS; } Ниже показана настройка драйвера АЦП (подробно о настройке АЦП ЦСП TMS320F2808 рассказано в п. 13.6). АЦП работает по счетчику ШИМ с каскадным включением конвейера (4 преобразования за один запуск). void InitAdc(void) { //внешнее опорное напряжение AdcRegs.ADCREFSEL.all = 0x0001; //Включение опорного сигнала/АЦП схем AdcRegs.ADCTRL3.all = ОхООЕО; //Задержка перед преобразованиями DELAY_US(ADC_usDELAY); //ширина импульса SOC = 2ADCPERIOD AdcRegs.ADCTRLl.bit.ACQ_PS = 1; //каскадное включение SEQ1 и SEQ2 AdcRegs.ADCTRLl.bit.SEQ_CASC= 1; AdcRegs.ADCTRL2.bit.EPWM_SOCA_SEQl = 1; //вкл SOCA /вкл SOCB для каскада AdcRegs.ADCTRL2.bit.EPWM_SOCB_SEQ= 1; / //прерывание SEQ1 включено AdcRegs.ADCTRL2.bit.INT_ENA_SEQl = 1; //прерывание через раз AdcRegs.ADCTRL2.bit.INT_MOD_SEQl = 1; //Fclk = ADCCLK = HSPCLK/4 = 12,5 МГц AdcRegs.ADCTRL3.bit.ADCCLKPS = 2; //один канал за один раз AdcRegs.ADCTRL3.bit.SMODE_SEL = 0; //канал измерения + 5 В AdcRegs.ADCCHSELSEQl.bit.CONVOO = _plus5; //канал измерения -15В AdcRegs.ADCCHSELSEQl.bit.CONVOl = _minusl5; //канал измерения + 15В
|[462 Глава 20. Трехфазный инвертор Рис. 20.19. Алгоритм единичного прерывания
20.3. Управление трехфазным инвертором с использованием ЦСП Abt AdcRegs.ADCCHSELSEQl.bit.CONV02 = _plusl5; //канал измерения температуры AdcRegs.ADCCHSELSEQl.bit.CONV03 = „Temper; //канал измерения средневыпр. тока AdcRegs.ADCCHSELSEQ2.bit.CONV04 = _dl; //канал измерения тока фазы А AdcRegs.ADCCHSELSEQ2.bit.CONV05 = _Ia; //канал измерения тока фазы В AdcRegs.ADCCHSELSEQ2.bit.CONV06 = _Ib; //канал измерения тока фазы С AdcRegs.ADCCHSELSEQ2.bit.CONV07 = _1с; //4 преобразования за один запуск AdcRegs.ADCMAXCONV.all = 3; } Ниже представлен код прерывания программы, а на рис. 20.19 — алгоритм прерывания. В данном проекте скорость обработки прерывания не является критичной, так как период вызова прерывания происходит с фиксированными интервалами времени 250 мкс по сигналу от модуля АЦП (конец преобразования). Такого времени достаточно для обработки прерывания без использования дополнительных механизмов переключения макросов, как это будет показано для высокочастотного выпрямителя с ЦСП для телекоммуникаций. _asmISR: CONTEXT_SAVE ;макрос контекстного сохранения Udc_Filtr_Macro ;макрос фильтрации значения напряжения Udc MOVW DP,#_flag ;если флаг RUN (0-й бит) = 0 — запретить обработку ;векторного управления TBIT @_flag,#0 ;или, если флаг Error установлен BF J_end,NTC ;переход в конец обработки векторного ;управления, если флаг не установлен TBIT @_flag,#2 BF J_end,TC ;переход, если флаг Error установлен MOVW DP,#alfa ;Растет счетчик кол-ва прерываний. ;Если больше 150 - сбрасываем INC @ISR_Count ;Используется для плавного разгона и ;торможения MOV AL,#400 количество прерываний = 400 (100 мс) CMPAL,@ISR_Count SBF NO_UP_DOWN,NEQ MOV @up_down,#0 разрешение изменения частоты и напряжения
464 Глава 20. Трехфазный инвертор MOV@ISR_Count,#0 ;сброс счетчика NO UP DOWN: MOVW DP,#alfa вычисление предельной частоты ;в зависимости от промеж, напряжения Delta_alfa_limit ; макрос Frequency_Decrease ; макрос снижения выходной частоты ;при снижении входного напряжения MOVW DP, #_flag TBIT @_flag,#0 BFJ_end,NTC MOVW DP,#alfa Check_Up_Down PID_Reg_MACRO Calculate U F ;если флаг RUN (0-й бит) = 0 — запретить обработку векторного управления ;или, если флаг Error установлен ; переход в конец обработки векторного ;управления, если флаг не установлен ; макрос обработки запроса ;разгона / торможения ; макрос PID регулятора ; макрос вычисления напряжения ;в зависимости от частоты Voltage_Mult ; макрос умножения сигнала voltage, ; характеризующего выходное напряжение, ; после его расчета ; на значение входного ; напряжения инвертора voltage_mpy = (Udc_Filtr *voltage) (Q15) вычисление синуса,косинуса и времен Т0,Т1,Т2 MOVAL,@alfa SINUS ;макрос вычисления синуса. MOV AL,@alfa ;alfa = alfa + 30 град. ;для вычисления косинуса ADDAL,#alfa30 COSINUS ;макрос вычисления косинуса TIME ;макрос для вычисления времен Т0,Т,,Т2 ;запись в регистры компараторов в зависимости от сектора М OVL XAR2, #сошр 1 ; адреса компараторов MOVL XAR3,#comp2 ; адреса компараторов MOVL XAR4,#comp3 ; адреса компараторов М OV AL, # 1 ; проверка сектора
20.3. Управление трехфазным инвертором с использованием ЦСП 46! CMP AL,@sector_chet; если sector_chet = 1 ; сектор нечетный BFalfa_down,EQ Even_sectors ;макрос четных секторов ; (альфа считается вверх ;от 0 градусов, ;счет секторов от 0 до 5) alfa_down: Odd_sectors ;макрос нечетных секторов ; (альфа считается вниз от 60) AMOUNT_SQR_I ;макрос защиты по токам и ;накопление сумм квадратов токов J_end ; метка при отсутствии обработки ;векторного управления FILTR ;макрос фильтрации значений напряжений + 5В, + 15В, -15В и ;средневыпрямленного тока JAMP: ; метка возврата при выключении ;ШИМ из PWM_Switch_Off INT_reset разрешение следующего прерывания CONTEXT_REST ;контекстное восстановление IRET ; возврат из прерывания PWM_Switch_OfF выключение ШИМ, если ;при торможении дельта альфа = 0; ; конец обработки прерывания На рис. 20.20 показана условная схема соединения макросов прерывания, которая фактически аналогична электрической схеме соединения различных модулей управления. В данном программном обеспечении использовалось прямое обращение к переменным, а не через указатели, как это будет показано для высокочастотного преобразователя (гл. 24) и было показано в п. 13.4. Недостатком такого построения, как уже отмечалось, является негибкое программное обеспечение, а преимуществом - быстрота разработки при условии, что схема соединения макросов не будет изменяться в процессе проектирования и при работе устройства. Одной из основных задач, решаемых при построении программы обработки прерывания, является формирование выходного напряжения инвертора. Оно происходит в соответствии с нижеприведенными выражениями. Времена работы силовых ключей моста вычисляются по формулам (20.1.6), (20.1.7):
466 Глава 20. Трехфазный инвертор 1 Г И ii *\ ч I : щ г' ! °i & 1 1 * i' 1.Ц 2 s1 Ш ? i A * o. J 2 (1 Г i! * ! -1! 1! u.|tt « hi ii. j ii I «o jl o. ! 2 ! ^ A A =A 4 51 g CO; О t t t э ! «- • см ! 5 J—A—f s| o! AAA *! * ГП—*~ oi ii Si it AAA I IS Рис. 20.20. Схема соединения макросов прерывания
20.3. Управление трехфазным инвертором с использованием ЦСП 46 гш В общем случае: где U^ — длина опорного вектора, задающего напряжение на выходе инвертора; Udc — напряжение на входе инвертора; а — текущее значение угла между вектором Ux и обобщенным вектором СУ^рис. 20.8, д, б). Изменение этого угла определяется отношением требуемой выходной частоты инвертора к частоте ШИМ, умноженное на 2я (или на 360° в зависимости от размерности). Расчет времен Тх и Т2 определяет синусоидальную форму трехфазного напряжения на выходе инвертора, при таком расчете обобщенный вектор U^ будет перемещаться по окружности. Кроме того, времена Тх и Т2 пересчитываются в соответствии со значением входного напряжения Udc. При подстановке каждый раз в формулы расчета времен Г, и Т2 реального значения напряжения Udc и Uref— Const осуществляется стабилизация выходного напряжения по отношению к входному. 20.3.3. Описание макросов — filtr, Udc_Filtr_macro — макросы фильтрации измеренных сигналов АЦП методом скользящего усреднения по восьми точкам. — Delta_alfa_limit — макрос вычисления предельной выходной частоты в зависимости от напряжения на входе инвертора. Параметры макроса: Delta_alfa_lim — частота инвертора в зависимости от входного напряжения (пересчитанное значение). Работает на понижение выходной частоты инвертора при снижении входного напряжения. Delta_alfa_lim = KoHCTaHTa/Udc_Filtr (значение из регистра захвата модуля еСАР). — Rrequency_Decrease — макрос снижения выходной частоты при снижении выходного напряжения инвертора ниже определенного значения. Параметры макроса: Delta_alfa_lim — выходная частота инвертора в зависимости от входного напряжения. Delta_alfa_req — требуемая частота инвертора при дальнейшем расчете частоты и напряжения. Delta_alfa_reqC — требуемая частота инвертора от внешнего управления. Если снижение выходной частоты при снижении входного напряжения разрешено, то при снижении входного напряжения ниже определенного зна-
^68 Глава 20. Трехфазный инвертор чения требуемая частота инвертора для дальнейшего расчета устанавливаемой выходной частоты и напряжения Delta_alfa_req = Delta_alfa_lim. Если снижение выходной частоты запрещено или входное напряжение выше определенного уровня, то: Delta_alfa_req = Delta_alfa_reqC. — Check_Up_Down — макрос проверки на наличие запроса разгона/торможения. В зависимости от запроса производится увеличение или снижение частоты. Параметры макроса: Delta_alfa_req — требуемая частота инвертора для дальнейшего расчета выходной частоты и напряжения. UpDown — флаг разрешения изменения частоты. Delta_alfa — текущая частота инвертора. Delta_alfa_up — приращение к Delta_alfa при разгоне (увеличение частоты). Deltaalfadown — приращение к Delta_alfa при торможении (снижение частоты). Ramp — флаг разгона/ торможения. В данном макросе происходит сравнение требуемой частоты инвертора Delta_ alfa_req с текущим значением частоты Delta_alfa. Если текущая частота ниже или выше требуемой, то проверяется наличие разрешения произвести приращение к текущей частоте (флаг Dp_down). Если есть разрешение — производится приращение. — PID_reg_MACRO — макрос расчета ПИД-регулятора. Регулятор воздействует на выходную частоту и, соответственно, на выходное напряжение инвертора для ограничения выходного тока. Параметры макроса: dl - значение средневыпрямленного тока фаз из регистра АЦП. Di_Nominal - значение номинального средневыпрямленного тока (константа, опорное значение). dIMax — значение максимального средневыпрямленного тока (константа, опорное значение). Delta_alfa - текущее значение частоты инвертора. Delta_alfa_PID — значение частоты инвертора после работы ПИД- регулятора. Dlag_l.PID_req_RUN — флаг работы регулятора, характеризующий его состояние: работает или отключен. Данный макрос представляет собой регулятор с изменяемым опорным сигналом. Переключение опорного значения осуществляется с помощью флага flag_2. PSN_Error. Если flag_2. PSN_Error = 0 - dI_Max Если flag_2. PSN_Error = 1 — dI_Nominal Если сигнал dl достиг значения опорного сигнала, то регулятор вступает в работу и изменяет значение частоты инвертора, характеризуемое сигналом Delta_alfa_PID; если входной сигнал Delta_alfa меньше опорного сигнала, то Delta_alfa_PID будет совпадать с Delta_alfa. — Calculate_U_F — макрос расчета выходного напряжения в зависимости от частоты и значения средневыпрямленного тока фаз. Макрос формирует сигнал опорного напряжения (Uref) пропорциональный задаваемой частоте инвертора, то есть величину Uref в соответствии с (20.1.6), (20.1.7). Кроме того, производится добавление сигнала от средневыпрямленного тока dl_
20.3. Управление трехфазным инвертором с использованием ЦСП 46^ Filtr. Добавка сигнала dI_Filtr позволяет решить две задачи: скомпенсировать снижение выходного напряжения из-за активного сопротивления дросселя выходного LC-фильтра; при низких значениях выходной частоты увеличить напряжение на выходе, поскольку при работе на асинхронный двигатель значительная часть этого напряжения падает на активных сопротивлениях обмоток двигателя. Параметры макроса: Delta_alfa — текущая частота инвертора. Freq_ Comp —частота, до которой происходит режим компенсации выходного напряжения. Koef_Komp — коэффициент расчета U/f в режиме компенсации. Volt_Komp — добавка напряжения при компенсации выходного напряжения. dI_Filtr — сигнал средневыпрямленного напряжения, соответствующего токам фаз, после прохождения фильтра (макрос Filtr). Flag_l. Comp Voltage — флаг разрешения работы в режиме компенсации напряжения на обмотках двигателя, voltage — требуемое выходное значение напряжения (UreJ). — Voltage_Mult — макрос умножения сигнала voltage, характеризующего выходное напряжение, после его расчета из требуемой выходной частоты, на значение входного напряжения инвертора. В данном макросе происходит умножение значения voltage после макроса Calculate_U__F на значение отфильтрованного входного напряжения инвертора Udc_Filtr для стабилизации выходного напряжения в зависимости от входного. В рассматриваемом примере входное напряжение передается ЦСП в виде ШИМ-сигнала. ШИМ сигнал при этом имеет обратную зависимость от входного напряжения инвертора: при максимальном входном напряжении сигнал Udc_Filtr будет минимальным и наоборот. Сигнал получается с помощью аппаратного модуля захвата еСАР ЦСП, на рис. 20.20 этот модуль обозначен как СарШге.Таким образом, на выходе макроса получается сигнал voltage_mpy = voltage*Udc_Filtr или в соответствии с (20.1.6), (20.1.7) сигнал UJUdc. — TIME — макрос вычисления времен Г0, Г„ Т2. Тх = voltage_mpycosinus, Т2 = voltage_mpysinus, — SINUS, COSINUS — макросы вычисления синуса и косинуса. Макрос стандартной библиотеки ЦСП. Угол представляется в радианах. Выход макроса в диа —71 ... +7г — в представлении Q15. Синус и косинус определяются использованием разложения в ряды Тейлора. — EVEN_SECTORS — запись в регистры компараторов времен Т0, Т19 Т2 для четных секторов. Увеличение угла альфа и проверка угла на диапазон. — ODDJSECTORS - макрос записи в регистры компараторов времен Т09 Т{, Т2 для нечетных секторов. Уменьшение угла альфа и проверка угла на диапазон. — AMOUNT_SQR__I — макрос вычисления квадратов фазных токов. Накопление суммы квадратов токов за период выходного напряжения для расчета действующих значений токов. Значения токов в фазах берутся из регистров АЦП с периодичностью срабатывания прерывания. Расчет RMS токов производится в соответствии с уравнением:
ин170 Глава 20. Трехфазный инвертор - количество выборок за период выходной частоты инвертора, I. — значение тока при данной выборке. В данном макросе производятся только выборки токов по фазам из регистров АЦП, возведение в квадрат и накопление суммы квадратов токов за период выходной частоты инвертора. Вычисление квадрата накопленной суммы производится по флагу flag_l. CalcCurrent = 1 функцией qsqrt(x), взятой из математической библиотеки процессора. Параметры макроса: SummA_full — текущая сумма квадратов токов фазы А; SummBfull — текущая сумма квадратов токов фазы В; SummC_full — текущая сумма квадратов токов фазыС; Count_I - счетчик количества вызовов прерывания (п). 20.4. Результаты испытаний инвертора Ниже представлены только некоторые результаты испытаний трехфазного инвертора с выходной мощностью 30 кВА. Номинальное входное напряжение трехфазного моста - 620 В постоянного тока. Использованы IGBT модули и три драйвера, управляющие верхним и нижним ключами каждой стойки моста. Использована векторная ШИМ, реализованная на ЦСП TMS320F2808. Закон управления нагрузкой ?///= Const, задание частот и соответственно выходных напряжений производилось дискретно. Максимальное напряжение на нагрузке — 380/220 В (50 Гц). На рис. 20.21 показано выходное линейное напряжение при заданной частоте 50 Гц, Udc = 600 В. Частота ШИМ — 4 кГц. На рис. 20.22 показано фазное напряжение, отсчитываемое от нулевой точки нагрузки, соединенной в звезду. В данном случае выходная частота 37 Гц, Udc = 405 В. Осциллограмма (рис. 20.23) показывает линейное напряжение, полученное после выходного LC-фильтра и измеренное с помощью делителя. Частота выходного напряжения — 50 Гц. На рис. 20.24 показан результат работы ПИД-регулятора, ограничивающего ток в фазе нагрузки на уровне 45 А. Рис. 20.21. Линейное напряжение на выходе Рис. 20.22. Напряжение в фазе инвертора, инвертора отсчитываемое от нулевой точки нагрузки
20.4. Результаты испытаний инвертора 41ГШ Рис. 20.23. Линейное напряжение на выходе Рис. 20.24. Сигнал на входе АЦП, показываю- LC-фильтра, полученное с помощью делителя щий работу ПИД-регулятора при скачке тока нагрузки Начальный ток — 8А. Осциллограмма показывает напряжение на входе АЦП, воспринимающего средневыпрямленный ток в фазах инвертора с учетом коэффициентов передачи датчиков тока Холла и масштабирующих усилителей.
ГЛАВА 21 УПРАВЛЕНИЕ ОДНОФАЗНЫМ ИНВЕРТОРОМ Однофазные инверторы находят широкое применение в силовой электронике. Они образуют обширный класс устройств, которые могут входить в аппаратуру различного назначения. Приведем только несколько разновидностей из этого класса: - Инверторы в составе бытовых и промышленных систем бесперебойного питания переменного тока, обычно называемые UPS (uninterruptible power systems). - Инверторы, как законченные изделия, входящие в состав телекоммуникационных систем бесперебойного питания. - Инверторы в составе автоматизированных электроприводов переменного тока с частотным управлением. - Инверторы, входящие в системы кондиционирования и вентиляции. Построение аппаратуры с применением инверторов может существенно различаться в зависимости от ее назначения. Например, системы бесперебойного питания переменного тока содержат несколько подклассов: on-line, off-line, двойного преобразования (double conversion), дельта — преобразователи. Они в определенной степени влияют и на структуру инверторной части. Задачей данной главы является рассмотрение системы управления инвертором, поэтому различные особенности построения силовой части инвертора здесь не затрагиваются. Рассматривается одна из самых распространенных структур силовой части — мостовая. 21.1. Построение силовой части и принципы управления ключами Мостовая схема однофазного инвертора показана на рис. 21.1. На выходе инвертора подключается индуктивность, образующая первый элемент выходного LC-филыра. На рис. 21.1 индуктивность образована двумя дросселями Ьф1 и Ьф2, гальванически связанными с фазой и нулевым проводом выходного напряжения, что позволяет снизить помехи в сеть, возникающие из-за переключения силовых транзисторов. Существует несколько принципов управления ключами однофазного инвертора для получения выходного напряжения близкого к синусоидальному. * К ним относится синусоидальная широтно-импульсная модуляция [23] и кодовое широтно-импульсное регулирование [21 ]. В последнее время в основном используется синусоидальная ШИМ, что _ -t , .. , вызвано с одной стороны совершенство- Рис. 21.1. Мостовая схема однофазного инвер- „ а ванием микроконтроллеров, а с другой — развитием и улучшением силовых полупроводниковых приборов. Микроконтроллеры позволяют реализовывать алгоритмы управления при частотах коммутации 20 кГц и выше, на которых силовые
21.2. Функции, выполняемые системой управления Рис. 21.2. Управляющие импульсы ключей при синусоидальной модуляции в мостовом инверторе ключи, в частности, выполняемые на IGBT транзисторах, имеют еще приемлемые динамические потери при работе в мостовой схеме однофазного инвертора. Синусоидальная ШИМ позволяет получить наилучшие результаты по содержанию гармоник в спектре выходного напряжения. На рис. 21.2 показаны диаграммы управления ключами инвертора при синусоидальной ШИМ. На этом рисунке Т — период выходного напряжения, Td — период переключения ШИМ (период дискретизации). С целью снижения динамических потерь в ключах одна из стоек моста (например, транзисторы VT1 и VT2, рис. 21.1) переключаются с выходной частотой инвертора, а вторая (транзисторы VT3 и VT4) — по модулированному синусоидальному сигналу с выбранной частотой переключения. 21.2. Функции, выполняемые системой управления Выполняя анализ системы управления однофазным инвертором, примем в качестве основы такого рассмотрения однофазный инвертор, входящий в состав преобразователя DC-AC — источника бесперебойного питания с двойным преобразованием. В данном случае однофазный инвертор является второй ступенью всего устройства. Инвертор обеспечивает получение синусоидального напряжения из постоянного напряжения с уровнем 320...400 В. Система управления должна выполнять широкий круг задач, которые можно разделить на две группы: управление по заданному закону ключами силовой части и выполнение сервисных функций инверторной части. Первую группу задач составляют следующие функции системы управления: — формирование опорного синусоидального напряжения; — измерение и использование мгновенных значений выходного напряжения и тока нагрузки; — обеспечение необходимой коррекции для создания требуемых динамических свойств; — формирование управляющих импульсов силовыми транзисторами; — выполнение мгновенных защит по току в транзисторах. Сервисные функции устройства управления: — формирование выходной характеристики; — выполнение заданного требования крест-фактора, перегрузочной способности инвертора; — синхронизация выходного напряжения с внешними устройствами, например, с аналогичными инверторами, работающими параллельно;
^74 Глава 21. Управление однофазным инвертором — обеспечение различных видов защит: тепловой, по входному напряжению и других; — организация внешнего интерфейса, например RS485 или CAN. 21.3. Система управления однофазным инвертором на основе цифрового сигнального процессора Применение ЦСП для построения системы управления однофазным инвертором является на сегодняшний день не просто оправданным, но и технически и экономически наиболее выгодным решением, позволяющим полностью выполнить многочисленные требования, предъявляемые к устройству. Рассмотрим структуру системы управления инвертором, реализуемую на основе ЦСП (рис.21.3). Часть системы управления не входит в состав ЦСП: драйверы, компараторы, масштабирующие усилители, согласующие уровни измеряемых сигналов с максимальным сигналом на входе АЦП ЦСП. Рассмотрим работу системы управления, показанную на рисунке. Измеренные значения выходного напряжения и тока нагрузки поступают на вход АЦП ЦСП. При работе в составе инвертора требования к АЦП не являются жестки- Рис. 21.3. Система управления однофазным инвертором на основе ЦСП
27. J. Система управления однофазным инвертором на основе цифрового сигнального процессора ми, так как, как правило, не требуется большой точности поддержания выходного напряжения, кроме того, частота коммутации инверторов ниже, чем, например, DC- DC преобразователей. По этой причине 12-битной разрядности АЦП типового ЦСП вполне достаточно и нет необходимости использовать дополнительные механизмы, такие как выборка с запасом по частоте. Для исключения влияния шумов, связанных с квантованием сигналов, все входные сигналы АЦП должны иметь соответствующие аналоговые и цифровые фильтры как средства борьбы с эффектом наложения спектра (anti-aliasing filters). Формирование опорного напряжения осуществляется через таблицу синусоидального сигнала, которая хранится в соответствующей области памяти. Таблица может быть неизменяемой и изменяемой. Изменение таблицы может быть вызвано рядом задач, например, юстировка (калибровка) выходного напряжения при производстве для сведения технологических разбросов к нулю. Измеряемый синусоидальный аналоговый сигнал должен поступать на вход АЦП без выпрямления и быть знакопеременным относительно половины опорного сигнала АЦП, (рис.21.4). Это необходимо для того, чтобы на вход цифрового фильтра, подключенного к АЦП, поступала непрерывная функция; в противном случае при каждой потере производной функции цифровой фильтр будет добавлять на своем выходе переходный процесс. Опорный синусоидальный сигнал (на схеме рис.21.3 вырабатывается блоком «Таблица синусоидального сигнала») также представляет собой синусоидальный сигнал, приподнятый на постоянный уровень, характеризующий половину опорного сигнала АЦП. Частотная коррекция выполнена в двухкон- турной системе управления. Такая система позволяет получить приемлемые динамические характеристики при достижении максимальных требований к коэффициенту нелинейных искажений выходного напряжения. Разностный сигнал между напряжением на входе АЦП канала по выходному напряжению (рис.21.4) и Рис- 2Ы- Синусоидальный сигнал на табличным значением опорного синусоидаль- входе ц- ного сигнала поступает на вход звена коррекции по напряжению (цифрового фильтра коррекции). Табличное значение выбирается согласно текущему значению счетчика таблицы. Счетчик содержит информацию о том, в какой части периода сетевого напряжения происходит сравнение. Сигнал, пропорциональный току нагрузки (/), вычитается из выходного сигнала звена коррекции по напряжению, а разностный сигнал проходит через корректирующее звено по току. Частотные свойства коррекции по выходному напряжению должны обеспечивать максимальное усиление на выходной частоте инвертора, на более высоких частотах необходимо снижать усиление. Частотная коррекция по току должна иметь более широкую полосу пропускания. Таким образом, получается результирующий сигнал от двух контуров (по напряжению и по току), и формирование управляющих импульсов силовых транзисторов происходит по выходному сигналу звена коррекции по току. Поскольку ШИМ-контроллер для получения управляющих импульсов сравнивает сигнал со счетчиком (односторонним или двухсторонним), необходимо сигнал с выхода звена коррекции по току свести к синусоидальному сигналу за полупериод выходного напряжения. Этот сигнал получается математически вычитанием из сигнала на вы-
& Глава 21. Управление однофазным инвертором Рис. 21.5. Формирование управляющего ШИМ-сигнала ходе корректирующего звена по току числа, соответствующего половине опорного сигнала АЦП с последующим взятием абсолютного значения. Например, пусть опорный сигнал АЦП составляет 2,5 В, что соответствует числу 4095 на его выходе. Сигнал выходного напряжения инвертора поступает на вход АЦП относительно уровня 1,25 В, а арифметика корректирующих звеньев 16-битная в формате Q15. Тогда на входе ШИМ формируется сигнал вычитанием из выходного сигнала коррекции по току числа 214. Работа блока формирования управляющего сигнала показана на рис.21.5. Переключение силовых транзисторов одной из стоек инвертора моста должно синхронизироваться несущей частотой, равной частоте инвертора и равной частоте синусоидального сигнала таблицы. Силовые транзисторы второй стойки переключаются по модулированному синусоидальному сигналу, при этом транзисторы чередуют свою работу в соответствии с работой силовых транзисторов VT1 и VT2. Несущим сигналом должны быть импульсы, синхронизированные с синусоидальным сигналом таблицы. Однако правильнее выполнить синхронизацию, используя сигнал на выходе корректирующего звена по току. Это объясняется тем, что любая коррекция совместно с выходным фильтром силовой части даст небольшой фазовый сдвиг относительно опорного синусоидального сигнала на несущей частоте (50 Гц, например). В результате переключение транзисторов VT1, VT2 происходит в моменты времени, когда выходное напряжение не пересекает ноль и поэтому будет искажаться синусоидальное напряжение на выходе инвертора. Показанный на структурной схеме «Блок формирования сдвига по внешней синхронизации» предназначен для сдвига таблицы синусоидального сигнала импульсом синхронизации от ведущего инвертора при параллельной работе инверторов. Формирование мгновенных защит по току в транзисторах реализуется стандартно для использования ЦСП. Токовый сигнал сравнивается в компараторе с опорным сигналом, а полученные импульсы подаются на вход ЦСП, который отвечает за аппаратную блокировку ШИМ (блок «Обработка блокировок ШИМ» на структурной схеме). В блоке «Фильтры действующих значений» определяются действующие значения тока нагрузки и выходного напряжения за половину периода несущей частоты. Сервисные функции, выполняемые с помощью ЦСП, включают в себя: обеспечение заданного крест-фактора (данный параметр определяется как отношение амплитудного тока при нелинейной нагрузке к амплитудному значению тока при синусоидальном токе нагрузки и той же мощности); формирование пускового режима; защиту от перенапряжения на выходе и входе; тепловую защиту. Названные функции моут быть выполнены различными способами. Например, крест-фактор может быть реализован с помощью мгновенной защиты по току, когда ЦСП с помощью блокировки ШИМа ограничивает нелинейный ток, амплитуда которого превысила заданное значение. Выходная характеристика формируется уменьшением действующего значения выходного напряжения при большом токе; при этом используются АЦП ЦСП и соответствующие вычисления.
21.4. Параллельная работа инверторов 47 м| 21.4. Параллельная работа инверторов Различные методы управления применяются при параллельной работе инверторов, образующих локальные сети переменного тока, при этом основной задачей управления является синхронизация выходного напряжения инверторов. Задача является и одной из самых сложных, поскольку для параллельной работы инверторов (источников переменного напряжения) необходимы и синфазная работа этих устройств, и выравнивание мгновенных значений напряжений. Основным отличием методов организации параллельной работы является наличие или отсутствие дополнительных цепей управления (синхронизации). 21.4.1. Использование связи по цепям управления В первом случае (инверторы связаны между собой по цепям управления) устройства обычно работают, используя принцип «ведущий/ведомый» («master/ slave»). Инвертор, работающий как ведущий, задает остальным инверторам в системе синхроимпульсы с частотой локальной сети (например, 50 Гц). Основной проблемой является определение инверторами системы, кто же из них является ведущим. Один из способов решения — определение ведущего инвертора при включении. Инвертор, включившийся первым, считается ведущим. От него поступает сигнал для остальных инверторов, что ведущий теперь определен. Ведущий инвертор также может передавать информацию о действующем значении выходного тока. Информация о токе служит для ведомых инверторов сигналом для уменьшения/ увеличения своего выходного напряжения с целью выравнивания отдельных токов. Такой подход может быть реализован усилителем, сравнивающим собственный ток с током ведущего инвертора. Другой способ выравнивания токов в системе инверторов «ведущий/ведомый» заключается в формировании выходной характеристики с определенным наклоном — метод выравнивания по падению напряжения (voltage droop control method). При этом Рис. 21.6. Параллельное соединение двух источников постоянного напряжения с выходными сопротивлениями rl и г2 способе выходное напряжение каждого инвертора снижается с ростом тока, благодаря чему расхождение между токами отдельных инверторов оказывается в заданных пределах. Идею метода можно пояснить на примере параллельного соединения двух преобразователей с выходными на- пряжениями постоянного тока [82]. При синхронизации по методу «ведущий/ведомый» принцип выравнивания выходных токов инверторов аналогичен применяемому при параллельном соединении преобразователей постоянного тока (рис.21.6). Если источники постоянного напряжения имеют выходные сопротивления rl и г2 соответственно, то при определенной нагрузке /^выходные токи будут отличаться друг от Рис. 21.7. Распределение токов при ра- друга: боте двух источников
E? Глава 21. Управление однофазным инвертором (21.4.1) На рис.21.7 показано распределение токов при выходном напряжении UR. Пусть источники постоянного напряжения имеют конечную нестабильность выходного напряжения, обусловленную работой обратной связи в режиме стабилизации. В таком случае можно Рис. 21.8. Параллельное соединение двух источников посто- считагь>чю вводится допол- янного напряжения с выходными сопротивления- нительное сопротивление ми г1, г2 и введенными сопротивлениями Rdrop Rdrop (см. рис. 21.8). Величина этого сопротивления может быть намного больше выходного сопротивления источников и одинакова для них обоих, так как определяется только обратной связью. Как видно из рис. 21.9, в этом случае распределение токов будет более равномерным и фактически определяться только разницей в напряжениях U1 и U2. Разница в этих напряжениях может быть компенсирована настройкой (юсти- Рис. 21.9. Распределение токов с учетом ^^ исгочников. гор' Необходимо отметить, что на сегодняшний день для преобразователей постоянного тока данный метод практически не используется, так как достаточно просто выполняется активное выравнивание токов, при кагором в контуре управления каждого преобразователя используется разностный токовый сигнал. 21.4.2. Независимое управление каждым инвертором При независимом управлении отдельными инверторами, без сигналов связи между ними, надежность системы повышается, что является основным достоинством данного принципа параллельной работы. Важной особенностью методов независимого управления является то, что они, как правило, не используют астатизм (интегрирующую составляющую) в регуляторах частоты и напряжения [62]. Управление каждым инвертором независимо друг от друга достигается тем, что допускается небольшая нестабильность при регулировании частоты и напряжения. Эта погрешность рассматривается как приемлемая до тех пор, пока она остается в допустимых пределах. Таким образом, реализуется «droop control» метод не только для выходного напряжения, но и для выходной частоты, что позволяет выравнивать как выходные токи, так и фазы инверторов. Рассмотрим этот метод, выполняемый с помощью измерения активной и реактивной мощности Рис. 21.10. Подключение инвертора с выходным напряжением U, к сети U2 через линию связи
21.4. Параллельная работа инверторов 47SF JXI/ на выходе инвертора. На рис.21.10 условно показан инвертор с выходным напряжением C/j, подключенный через линию связи с комплексным сопротивлением Z к локальной сети переменного тока с напряжением^. Напряжения и ток показаны как комплексы соответствующих переменных. Векторная диаграмма показана на рис.21.11, где обозначен угол 8 между ?/, и К, а также угол между К _ -. -- та • ч „ j 1 2> •> j 2 рлС# 21.11. Векторная диаграмма к и/(ф). Полная мощность, отдаваемая инвертором, схеме нарис 2\ло согласно [30] записывается в виде: (21.4.2) где символ * означает комплексно-сопряженный вектор; z—модуль комплексного сопротивления линии связи. Из (21.4.2) выделим активную и реактивную составляющие полной мощности и выполним некоторые преобразования: Из представления Z в виде комплексного числа следует: откуда получим:/? = z • cosG, X = z • sin9- Используя выражения для R и X, из (21.3) и (21.4) получим Р и Q в виде: (21.4.3) (21.4.4) (21.4.5) (21.4.6) Решая (21.4.5) и (21.4.6) как систему двух уравнений относительно неизвестных U2 • sin 5 и (С/, — U2) • cos 8, получим: (21.4.7) (21.4.8) При условии, что для комплексного сопротивления Z выполняется неравенство X » R, пренебрежем членами в (21.4.7) и (21.4.8) содержащими R. Кроме того, в силу малости угла б можно принять: sin 5 « 5 и cos 5 « 1. Тогда из соотношений (21.4.7) и (21.4.8) следует:
Б° Глава 21. Управление однофазным инвертором (21.4.9) (21.4.10) Последние два соотношения показывают, что угол сдвига между напряжениями сети и отдельного инвертора зависит (при постоянном значении X) главным образом от активной составляющей полной мощности, в то время как разница между этими напряжениями определяется главным образом реактивной составляющей. Другими словами, управление активной мощностью, отдаваемой инвертором в сеть, приводит к изменению угла 5. Изменение угла 8 в свою очередь означает изменение частоты инвертора, а значит, и частоты сети. Аналогично, управление реактивной мощностью приводит к изменению напряжения данного инвертора при малом изменении напряжения сети. Зависимость частоты инвертора от активной мощности и его напряжения от реактивной мощности показана на рис. 21.12. На рис. 21.12, я, 5 обозначено: f0 — номинальная частота сети и инверторов, U0 — напряжение данного инвертора, соответствующее номинальному напряжению сети. Мощности Р0 и Q0 соответствуют номинальному режиму параллельной работы. Из рисунков можно видеть, что при данном методе выполнения параллельной работы обе зависимости (частота от активной мощности и напряжение от реактивной) должны быть с отрицательными коэффициентами углов наклона. Проведенное рассмотрение относится строго к случаю индуктивного сопротивления линии связи (X » R). Введение в анализ конкретных параметров комплексного сопротивления линии связи приводит к зависимостям, аналогичным тем, что изображены на рис. 21.12. По горизонтальным осям теперь будут отложены активная и реактивная мощности, учитывающие заданное отношение сопротивлений R и X линии связи [62]. Метод «droop control» может быть использован при измерении активной и реактивной составляющих выходного тока инвертора и построении зависимостей, аналогичных показанным на рис. 21.12. По горизонтальным осям теперь будут отложены активная и реактивная составляющие выходного тока. В [62,97] предложено использовать конечный выходной импеданс инвертора, воспроизводя его в схеме управления. Поскольку этот импеданс не соответствует реальному комплексному выходному сопротивлению инвертора, а значительно больше его, в [97] его предложено называть виртуальным. Воспроизведение в системе управления выходного импеданса и требуемого выходного напряжения позволяет обеспечить требуемые характеристики инвертора при параллельной работе. Рис. 21.12. Зависимость частоты инвертора от активной мощности (а) и его выходного напряжения от реактивной мощности (б) при управлении по методу «droop control»
21.5 Моделирование — этап проектирования системы управления 4!3 21.5. Моделирование - этап проектирования системы управления Рис. 21.13. Непрерывная модель силовой части инвертора Моделирование инвертора — один из этапов его проектирования, в котором, как и для моделирования любого преобразователя, можно выделить несколько ступеней: моделирование по непрерывной модели для синтеза коррекции, моделирование во временной области с использованием непрерывной модели, импульсное моделирование. Одним из инструментов модели рования является среда MATLAB-Simulink. Непрерывная модель для построения частотных характеристик инвертора может быть линейной или нелинейной. Нелинейная непрерывная модель силовой части инвертора является достаточно простой и следует из мостовой структуры силовой части (рис.21.13). На рисунке d— коэффициент заполнения, который определяется как отношение времени открытого состояния транзисторов диагонали к половине периода коммутации. При U^ = Const линейная и нелинейная модели совпадают. На рис.21.14 показана разомкнутая модель инвертора в среде MATLAB-Simulink для построения частотных характеристик силовой части и проведения синтеза коррекции контура по напряжению. Параметры силовой части инвертора: L =2x0.8 мГн; С = 4хЗ.ЗмкФ; /=20кГц. Модель содержит делитель выходного напряжения (сопротивления R4, R5 и R6, R7, конденсатор на выходе делителя С7), делитель приведения сигналов к величинам ЦСП (Gain2 — приведение к величинам ЦСП с учетом АЦП — 10 бит) и ШИМ (Gainl) Рис. 21.14. Непрерывная модель силовой части инвертора с контуром по напряжению в среде MATLAB-Simulink.
^82 Глава 21. Управление однофазным инвертором сигнального процессора. Рабочая точка задается в помощью блоков констант: Const (360) — характеризует входное напряжение инвертора, Const 1 (0,9)—характеризует коэффициент заполнения, который выбирается из наихудшего случая, то есть максимальным. Так как система дискретная, то модель содержит фиксатор нулевого уровня (ZOH). На рис.21.15 показано окно инструментария SISO Design Рис. 21.15. Частотные характеристики инвер- Тоо1> в котором произведен выбор звена тора с обратной связью по напряжению и кор- дискретной коррекции второго порядка по ректирующим звеном второго порядка напряжению. Система управления инвер- C(z) = 8.74 х ((z - 0.756)(z - 0.663)) / тором двухконтурная, поэтому корректи- / ((z - 0.984)(z - 0.081)) рующее звено (цифровой фильтр) выбирается с минимальными запасами устойчивости, но с максимальным усилением на несущей частоте (50 Гц). Передаточная функция цифрового фильтра после преобразования передаточной функции корректирующего звена по напряжению из SISO Design Tool выглядит следующим образом: (21.5.1) После выбора параметров коррекции контура по напряжению необходимо ввести в модель токовый контур и провести выбор звена коррекции по току. Для этого модель, показанная на рис.21.16, дополнена цифровым фильтром контура по напряжению и, кроме того, введены элементы связи по току в виде необходимых делителей (Gain3 — измеритель тока и масштабирующий усилитель) и усилителя (Gainl — приведение к Рис. 21.16. Модель инвертора с контурами по напряжению и току для определения параметров второго звена коррекции
21.5 Моделирование — этап проектирования системы управления 4?М величинам ЦСП). Фиксатор нулевого уровня (ZOH) сохраняется в этой модели, несмотря на то, что средой MATLAB дискретизация производится в дискретном корректирующем звене по напряжению. В общем случае частота дискретизации силовой части (частота коммутации) может отличаться от частоты дискретизации корректирующих цепей и АЦП. Обратная связь по току добавляется со знаком «плюс» к сумматору сигналов обоих контуров Рис. 21.17. Частотные характеристики разомкну- (рис.21.16). Второе звено коррекции той системы с двумя корректирующими звеньями устанавливается на выходе сумматора, ВТ°Р°Г0 порядка (C(z) = 0.925 х ((z - 0.867) а его передаточная функция определя- ^z" 0.766))/((z - 0.949)(z - 0.0483))) ется на основе инструментария SISO Design Tool с целью создания системы с необходимыми запасами устойчивости. На рис.21.17 показаны частотные характеристики разомкнутой системы с двумя контурами управления. Передаточная функция по току цифрового фильтра после преобразования передаточной функции из SISO Design Tool: (21.5.2) После проведения синтеза звеньев коррекции естественным шагом является проверка полученных результатов в импульсной модели инвертора. Особенностью инверторов является относительно невысокая частота коммутации при небольших значениях емкости и индуктивности выходного фильтра, поэтому в отличие от DC- DC преобразователей применение импульсной модели инвертора не требует больших временных ресурсов. На рис.21.18 показана эта модель. Она состоит из транзисторного моста (S1...S4), контуров обратной связи (Discrete Filterl — цифровой фильтр коррекции по напряжению, Discrete Filter2 — цифровой фильтр коррекции по току), необходимых делителей (Rl, R2 и R3, R4 — делители измерения выходного напряжения) и усилителей (Gain 1 - усилитель сигнала тока нагрузки, Gain2 - усилитель сигнала выходного напряжения), таблицы синусоидального опорного сигнала (Sin table), необходимой логики для получения управляющих сигналов для силовых транзисторов (Relational Operator, Logical Operator NOT, Switch, Switchl). Блок Unit Delayl используется для введения задержки, вызванной временем, которое необходимо процессору для расчетов. Для анализа работы инвертора к модели может подключаться как линейная (LOAD1), так и нелинейная (LOAD2) нагрузки. Каждая из нагрузок может коммутироваться. Анализ производится с помощью средств измерения Scope, для анализа гармонического состава выходного напряжения используется блок THD Signal. Результаты моделирования импульсной модели на примере инвертора с выходной мощностью 2000 Вт показаны на рис.21.19. На рис.21.19, а показана работа инвертора на линейную нагрузку, при этом показан ее сброс и наброс (верхняя осциллограмма ток на выходе, нижнее — напряжение). Как видно из результатов моделирования, выбранные параметры звеньев коррекции обеспечивают приемле-
1ft84 Глава 21. Управление однофазным инвертором ШЪ-ы п А -C^^UsL Pp|« <^9[4 A НГ I $ lL2 П 1Ю signal —-1—E5j4 signal L-l -41 1 41— ¦*n- "^ЙЙ—ЙНЗГ-r^jH ^TlL Galn2 ' Saturatlonl V1 -i Hn R3 J L__l r*4n C^J *f- L0AD1 / h\ ^w---1 r~l * 1 д] рНзз Рис. 21.18. Импульсная модель инвертора 15 10 5 0 -5 -10 -15 400 300 200 100 0 -100 -200 -300 -400 У ш и н Я В №"Шч-м и И И в а 40 20 0 -20 -40 400 300, 2001- 100 -100 -200 ш -300 0.01 0.02 0.03 0.04 0.05 0.06 0.07 0.08 0.09 0.1 б -400 1 а Ш 0.01 0.015 0.02 0.025 0.03 0.035 0.04 0.045 0.05 0.055 0.06 Рис. 21.19. Результаты работы импульсной модели инвертора
21.6. Практическое выполнение инвертора 48! мые переходные процессы. На рис. 21.19, б по казана работа инвертора на нелинейную RC на- , грузку, подключаемую после диодного выпрямите- ля, с коэффициентом мощности 0,7 (верхняя а осциллограмма — ток на рис. 21.20. Осциллограммы выходного напряжения инвертора выходе выпрямителя). 21.6. Практическое выполнение инвертора Технические характеристики инвертора: Ubx = 41...60 В постоянного тока; Шых = = 220±5% В, действующее значение; f = 50±2% Гц; Рвых = 2000 Вт; КПД = 0.9; крест- фактор = 4; cos ф = 0.4... 1; возможность параллельной работы; перегрузочная способность: 2100 Вт в течение 60 с; габаритные размеры: ВхШхГ281х72х 445 мм. Инвертор выполнен с двумя ступенями преобразования — преобразователь DC-DC с выходным напряжением 360 В и собственно инверторная ступень. Инвертор предназначен для использования в системах телекоммуникаций. Система управления инверторной ступенью выполнена на цифровом сигнальном процессоре Freescale 56F8367. Принцип построения структуры программного обеспечения аналогичен принципу построения для высокочастотных выпрямителей: используется единичное прерывание, о котором подробно говорилось в гл. 13. На рис.21.20, а показана осциллограмма выходного напряжения при номинальной мощности на выходе, а на рис.21.20, б приведена аналогичная осциллограмма, однако моменты переключения транзисторов VT1, VT2 (рис. 21.1) выходного каскада определяются не выходным сигналом регулятора по току, а опорным синусоидальным сигналом. Можно видеть, что при таком решении происходит искажение выходного напряжения вблизи перехода его через ноль. Коэффициент нелинейных искажений выходного напряжения инвертора не превышает 3% во всем диапазоне выходной мощности линейной нагрузки. При нелинейной нагрузке с максимальным крест-фактором 4 коэффициент нелинейных искажений не превышает 8 %. На рис.21.21 показаны осциллограммы выходных напряжений и разностного тока двух инверторов, работающих параллельно. Син- фазность выходных напряжений достигается применением системы «ведущий/ведомый», а выходные токи выравниваются выбором наклона выходной характеристики каждого из инверторов. Наклон характеристики определяется статическим коэффициентом передачи звена коррекции по напряжению. Из рисунка риС. 21.21. Осциллограммы выходных видно, что разностный ток равен около 0,5 А в напряжений (100 В/дел.) и разностного амплитуде, что является довольно малым зна- тока двух инверторов (0,5 А/дел.), рабо- чением для заданной выходной мощности. тающих параллельно
ГЛАВА 22 ПОВЫШАЮЩИЙ ПРЕОБРАЗОВАТЕЛЬ С РЕЗОНАНСНЫМ DC-DC КОНВЕРТОРОМ И ЗАРЯДНЫМ УСТРОЙСТВОМ Весьма часто для работы электронных систем (ЭС) требуются стабильное и непрерываемое даже на десятки миллисекунд напряжение. В данной главе рассматривается преобразователь электрической энергии, получающий на своем входе трехфазное напряжение переменного тока, а на выходе обеспечивающий стабилизированное постоянное. Преобразователь должен также обеспечивать заряд аккумуляторной батареи (АБ), отключенной от нагрузки при работающем преобразователе. При пропадании трехфазного напряжения, переключении шин или выходе самого преобразователя из строя, заряженная АБ должна обеспечивать заданное время функционирование наиболее важных потребителей ЭС. Мощность, питаемой от преобразователя ЭС, может составлять несколько десятков киловатт, причем часть выходной мощности преобразователя должна расходоваться на заряд АБ. Рассмотрим одну из возможных структурных схем преобразователя, которая показана на рис. 22.1. На рисунке приняты следующие обозначения: А, В, С— входное трехфазное напряжение переменного тока 380 В; В1 — трехфазный мостовой выпрямитель; С1 — конденсатор, фильтрирующий напряжение на выходе выпрямителя В\\ ПП — повышающий преобразователь напряжения; РП — резонансный преобразователь, обеспечивающий выходные напряжения; ЗУ — зарядное устройство АБ; С2 — конденсатор на выходе 1; D\ у Ш —развязывающие диоды, препятствующие взаимодействию напряжений выходов 1, 2 и появлению напряжения АБ на выходе ЗУ при неработающем преобразователе; Рис. 22.1. Структурная схема силовой части преобразователя с двумя выходами постоянного тока (выход 1 содержит два канала)
22.1. Построение отдельных узлов силовой части преобразователя Рис. 22.2. Схема силовой части повышающего преобразователя (ПП) К\, К1 — силовые контакты контактора, находящиеся в положении 2 при работающем преобразователе и в положении 1, когда преобразователь не работает, а приоритетная нагрузка (канал 1, выход 1) получает напряжение от АБ. Напряжение выхода 1 - 110 В, выхода 2 - 75... 142 В в зависимости от температуры окружающей среды и степени заряженности АБ. Как можно видеть из рис. 22.1, канал 2 (низкоприоритетная нагрузка) получает напряжение только от работающего преобразователя, тем самым экономится энергия АБ при возникновении нештатных ситуаций. На схеме рис. 22.1 не показаны несколько устройств, требуемых для работы всего преобразователя. К ним относятся: блок питания, получающий напряжение либо от АБ, либо от канала 1 преобразователя; пусковые контакторы и зарядные сопротивления, позволяющие плавно заряжать конденсатор С\ при включении устройства; фильтры защиты от радиопомех на входе и выходах; система управления, основу которой составляют два ЦСП — один (master) обрабатывает все сигналы неисправностей, обеспечивая команды включения и отключения, другой (slave) — заданные алгоритмы запуска ПП и РП, а также содержит программы работы регуляторов, обеспечивающих заданные выходные характеристики. 22.1. Построение отдельных узлов силовой части преобразователя На рис. 22.2 показана схема повышающего преобразователя, повторяющая, по существу, схему ИРН-2. На рисунке показан модуль (Ml), состоящий из двух IGBT транзисторов, один из которых использован как диод, выводы эмиттера и затвора этого транзистора соединены накоротко. Получая от входного выпрямителя номинальное напряжения 514 В, напряжение между точками 1 и 2, поступающее к РП, составляет около 620 В. Резисторы R\ и К2 с одинаковыми сопротивлениями, во-первых, выравнивают напряжения на конденсаторах С\ и С1 номинально одинаковой емкости, а, во-вторых, позволяют разряжаться конденсаторам С\ и С1 после отключения преобразователя. Довольно обычным решением при применении повышающего преобразователя на большую мощность и при его выходном напряжении более 600 В является использование двух модулей IGBT, последовательно включенных, как показано на рис. 22.3. Транзисторы модулей работают синхронно и синфазно. Такое включение повышает надежность устройства, поскольку короткое замыкание в одном модуле
Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством не приводит к выходу из строя всего преобразователя. Очевидным недостатком такой схемы является увеличение потерь и снижение КПД преобразователя. Описываемый повышающий преобразователь работает с частотой переключения 5 кГц. Схема резонансного преобразователя, входящего в структурную схему, показана на рис. 22.4. Входное напряжение получено от повышающего преобразователя (точки 1,2 и 3), схема является полумостовой, конденсаторы С\ и С1 большой емкости являются источниками напряжения. IGBT модули Л/1 и Л/2содержат транзисторы, переключающиеся с нерегулируемым коэффициентом заполнения близким к 0,5. Принцип работы такого РП подробно описан в разд. I. Элементами резонансного контура являются конденсатор СЪ и индуктивность рассеяния трансформатора Тр, приведенная к первичной обмотке Wv Конечно, конструктивно предпочтительно не применять дополнительный элемент — дроссель, выполнив трансформатор с заданной индуктивностью рассеяния. Выпрямители В\ и Я2, выполненные по мостовой схеме, нагружены на конденсаторы большой емкости С4 и С5, работая на выход 1 (напряжение 110 В) и зарядное устройство (ЗУ). Частота переключения IGBT транзисторов РП достаточно высокая — 15 кГц, что оказывается возможным из-за отсутствия потерь на переключение в данной схеме. Схема Рис. 22.3. Использование двух моду лей IGBT в повышающем преобра зователе Рис. 22.4. Схема резонансного преобразователя с двумя выходами
22.2. Управление зарядным устройством 48$j| силовой части ЗУпоказа- на на рис. 22.5. Выход подключается через диод D2, показанный на рис. 22.1, к АБ или резистив- ной нагрузке, подключаемой к ЗУ на время испытаний. Номинальная мощность ЗУ составляет 6 кВт, а его входное напряжение, получаемое от одного из выходов РП, может изменяться в пределах от 150 до 200 В, выходное должно регулироваться от 75 до 142 В. Резистор RI на схеме - разрядный, позволяет снять напряжение с конденсатора С2 за определенное время после отключения всего преобразователя. С учетом частотных свойств выбранного IGBT модуля, содержащего транзистор и замыкающий диод, частота переключения была принята равной 5 кГц. Рассмотрим более подробно построение цепей управления, обеспечивающих защиту, регулирование и стабилизацию выходов преобразователя. Рис. 22.5. Схема силовой части зарядного устройства 22.2. Управление зарядным устройством Основные требования к системе управления выходным напряжением ЗУ сводятся к следующему: — регулирование выходного напряжения в широких пределах по сигналу от датчика температурного режима АБ; — стабилизация выходного напряжения в заданном от датчика температуры режиме; — стабилизация максимального тока в нагрузке на заданном уровне. Структурная схема построения системы управления ЗУ, учитывающая перечисленные выше требования, показана на рис. 22.6. На этом рисунке: СЧ ЗУ — силовая часть ЗУ, выполненная по схеме ИРН-1 и раскрытая на рис. 22.5; К— автоматический программный переключатель, переводящий режим управления от режима стабилизации напряжения к режиму стабилизации тока. В качестве токового параметра принят ток iL в индуктивности Ы (рис. 22.5). Медленная составляющая этого тока совпадает с током нагрузки, а быстрая — с током в выходном конденсаторе С2 (рис. 22.5). Рис. 22.6. Структурная схема системы управления ЗУ
Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством 22.3. Модель системы управления ЗУ в MATLAB Для построения микропроцессорной системы управления на основе ЦСП необходимо прежде всего разработать ее модель, и для этой цели применен MATLAB. Сначала рассматривается один контур управления (по напряжению), затем контур по току, после чего создается полная модель, позволяющая проследить работу всей системы, показанной на рис. 22.6. 22.3.1. Модель системы управления ЗУ по напряжению Силовая часть ЗУ представляется в MATLAB как непрерывная линейная модель понижающего преобразователя (ИРН-1). Эта модель имеет две разновидности — для режима непрерывного тока в дросселе (НТ) и для режима прерывистого тока (ПТ), которые были рассмотрены в гл. 9. Сначала рассмотрим режим НТ, который соответствует большим токам в нагрузке и в дросселе. На рис. 22.7 показана НЛМ силовой части ЗУ (ИРН-1), дополненная усилителем (Gain) с коэффициентом передачи kusNew. Его значение учитывает коэффициент передачи датчика на основе эффекта Холла, измеряющего выходное напряжение ЗУ и коэффициент передачи масштабирующего 0У, приводящего максимальное напряжение, поступающее на вход АЦП контроллера, к уровню 3 В. Схема, показанная на рис. 22.7, дополняется /w-файлом, текст которого приведен ниже: clc L = 0.563-3 % индуктивность дросселя R1 = 5.13е-3 % сопротивление меди дросселя Cdc = 6.8e-3 %емкость выходного конденсатора Rcdc = 29e-3 %ESR выходного конденсатора Vin = 180 % напряжение на входе ЗУ Vo = 100 % напряжение на выходе ЗУ D = Vo/Vin % значение коэффициента % заполнения в установившемся режиме Rloadl = 15 % сопротивление нагрузки kusNew = 0.012475 % коэффициент передачи датчика напряжения и % масштабирующего усилителя Рис. 22.7. Непрерывная линейная модель ЗУ для режима непрерывного тока дросселя
22.3. Модель системы управления ЗУ в МЛ TLAB 49 Г Рис. 22.8. Частотные характеристики непре- Рис. 22.9. Частотные характеристики при рывной модели силовой части ЗУ с учетом введении коррекции (C(s)) в схему модели датчика напряжения и масштабирующего рис. 22.7 (C(s) = 8.48 х ((1 + 0.0014s)(l + усилителя (C(s) = 1) + 0.0022s))/(s)) На схеме рис. 22.7 показан источник напряжения на входе VinxDc внутренним сопротивлением 10 мОм, задающий режим по постоянному току преобразователя. Элементы модели 1п\ и Оий позволяют с помощью инструментария Tools > Linear Analysis > Bode и SISO Design Tool получить частотные характеристики модели рис. 22.7, которые показаны на рис. 22.8. Малый коэффициент передачи на низких частотах, отсутствие астатизма требуют применения звена коррекции. С помощью SISO Design Tool определяется коррекция, которая затем будет использована для построения ПИД- регулятора. Из рис. 22.9, где показана передаточная функция C(s), имеем: По формулам пересчета (14.8.9... 14.8.11) определяем параметры ПИД- регулятора: Проверка показала, что коррекция на основе рассчитанного ПИД позволила получить точно такие же частотные характеристики, что и на рис. 22.9. При регулировании и стабилизации выходного напряжения может быть дополнительно введена связь по току дросселя, которая в случае понижающей схемы (ИРН-1) не является принципиально необходимой при уже имеющейся коррекции, выполняемой с помощью ПИД-регулятора. Схема разомкнутого контура управления по выходному напряжению с введенной отрицательной обратной связью по току дросселя показана на рис. 22.10. В схеме обозначен коэффициент передачи измерителя тока на основе датчика Холла и масштабирующего усилителя (kisNew), устанавливающего максимальный уровень сигнала на входе АЦП, равный 3 В. Значение этого коэффициента равно 0,055125, и оно вносится в /я-файл, работающий вместе с моделью. Отметим еще раз, что полученная модель (рис. 22.10) справедлива для непрерывной системы в режиме НТ дросселя. Для режима ПТ, который справедлив при малых токах нагрузки и для которого также должны выполняться регулирование и стабилизация выходного напряжения, в MATLAB создается еще одна модель управления. В нее, в свою очередь, встраивается модель ИРН-1 для режима ПТ. Сначала создается /w-файл, в который вносятся параметры как всей схемы управления в режиме ПТ, так и модели ИРН-1
Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством в этом режиме. Этот файл имеет несколько параметров, которые вносились раньше в модель, работающую с ИРН-1 в режиме НТ. Ниже приводится текст /я-файла (обозначения для модели ИРН-1 в режиме ПТ сохранены такими же, как в гл. 9): Рис. 22.10. Разомкнутый контур управления по выходному напряжению ЗУ с введенной отрицательной обратной связью по току дросселя Рис. 22.11. Модель ИРН-1 в режиме ПТ (модель силовой части ЗУ при малых токах нагрузки)
22.3. Модель системы управления ЗУ в МЛ TLAB 493> Рис. 22.12. Модель замкнутой системы управления ЗУ по напряжению и току дросселя в режиме малых токов нагрузки clc L = 0.563е-3; R1 = 5.13е-3; Cdc = 6.8е-3; Rcdc = 29е-3; Vin = 180; Vo = 100; kusNew = 0.012475; kisNew = 0.055125; VinO = 180; VoutO = 100; R = 400; f = 5000; M = 0.555; Dl = 0.0986; Tay = L/R; DSUM = Dl/M; Radd = 33 Схема модели ИРН-1 в режиме ПТ показана на рис. 22.11. Модель замкнутой системы управления ЗУ по напряжению и току дросселя в режиме малых токов показана на рис. 22.12; коэффициенты ПИД-регулятора остаются такими же, как они были рассчитаны для режима НТ. На рис. 22.13 показана осциллограмма выходного напряжения ЗУ в режиме ПТ, сопротивление нагрузки изменялось от 400 Ом до 33 Ом и обратно; изменения тока нагрузки выполня- p„c# 22.13. Осциллограмма выходного напря- лись с помощью ключа (подпрограмма жения ЗУ в режиме ПТ (результат работы мо- Sbstm) и генератора сигналов SB\, по- дели, показанной на рис. 22.11, 22.12) казанных на рис. 22.11.
Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством 22.3.2. Модель системы управления ЗУ по току защиты Зарядное устройство должно обеспечивать стабилизацию тока на выходе, если по каким-либо причинам (неисправность АБ, ее разряженность или неправильное подключение нагрузки) этот ток превысит заданное значение. Контур защиты по току работает тогда, когда отключается контур регулирования и стабилизации выходного напряжения ЗУ. На рис. 22.14 показана непрерывная линейная модель силовой части ЗУ (схема ИРН-1) с выходным сигналом, пропорциональным току дросселя. Измеритель тока и масштабирующий усилитель по току имеют общий коэффициент передачи, обозначенный как kisNew. Для данной модели составим /я-файл: clc Inom = 40; % Ток, при котором начинается режим стабилизация тока L = 0.563-3; R1 = 5.13е-3; Cdc = 6.8е-3; Rcdc = 29e-3; Vin = 180; Vodc = 100; Vo = Vodc; D = Vo/Vin; Rloadl = 2; kisNew = 0.055125. Рис. 22.14. Непрерывная линейная модель силовой части ЗУ с выходным сигналом, пропорциональным току дросселя В этом файле Rloadl — сопротивление нагрузки. При выходном напряжении 100 В и сопротивлении нагрузки 2 Ом выходной ток (ток дросселя) составит 50 А, поэтому задача замкнутого контура управления по току заключается в том, чтобы, изменяя коэффициент заполнения и уменьшив уровень выходного напряжения, получить заданный ток 1пот, равный 40 А. Частотные характеристики модели (рис. 22.14), где выходом является ток дросселя, а входом — малосигнальное изменение коэффициента заполнения, показаны на рис. 22.15. С помощью SISO Design Tool подбирается последовательная коррекция, имеющая в своем составе интегратор (рис. 22.16):
22.3. Модель системы управления ЗУ в MATLAB 43 Рис. 22.15. Частотные характеристики ИРН-1 Рис. 22.16. Частотные характеристики ИРН-1 (выход — ток дросселя, вход — изменение ко- с током дросселя как выходным сигналом (схе- эффициента заполнения) (C(s) =1) ма рис. 22.14); ПФ звена коррекции определена с помощью SISO Design_Tool (C(s) = 22.7 х х ((1 + 7.1e-009s)(l + 0.00066s))/(s)) По формулам пересчета (14.8.9... 14.8.11) определяем параметры ПИД- регулятора: На этом можно завершить построение непрерывной модели управления по току защиты и перейти к общей дискретной модели, включающей в себя оба главных контура обратной связи. 22.3.3. Дискретная модель системы управления ЗУ На данном этапе объединяются рассмотренные выше модели, причем результирующая модель должна включать в себя следующее: — переключатель, позволяющий выполнять переход от режима регулирования и стабилизации напряжения к режиму стабилизации тока; — коэффициенты, позволяющие в реальной схеме управления с цифровым контроллером работать с числами, приходящими в АЦП, в диапазоне от нуля до единицы; для этого в модели в контуре напряжения после блока датчика и масштабирующего усилителя вводится коэффициент 1/3, а в ПИД-регулятор необходимо ввести коэффициент 3 для компенсации изменения коэффициента передачи; эти же коэффициенты необходимо предусмотреть в других частях модели, например, после опорных сигналов по напряжению и току; — представление непрерывных ПИД-регуляторов в виде дискретных звеньев, что требует введения соответствующих интегратора и сигнала по производной, учитывающих время дискретизации; — введение цифровых фильтров усреднения после каждого блока датчика и масштабирующего усилителя; — введение блока, позволяющего изменять скачком сопротивление нагрузки для перевода модели из режима регулирования и стабилизации напряжения в режим стабилизации тока. На рис. 22.17 показана дискретная модель зарядного устройства с учетом сделанных изменений. Переключатель Sw переводит режим работы ЗУ в зависимости
I496 Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством Рис. 22.17. Дискретная модель ЗУ (модель ИРН-1 в режиме непрерывного тока) от уровня сигналов на выходах ПИД-регуляторов. Два фильтра усреднения, работающие с периодом дискретизации 0.2е-3 с (/*= 5 кГц), включены после коэффициентов 1/3, введенных, как об этом говорилось ранее, для нормализации чисел в цеп. Модель, показанная на рис. 22.17, работает с /w-файлом, в котором записаны два сопротивления нагрузки: Rloadl — постоянное и неизменяемое в модели и Raddl, подключаемое или отключаемое с помощью ключа Sw. Текст /w-файла для модели на рис. 22.17: clc Inom = 40 L = 0.563е-3; R1 = 5.13е-3; Cdc = 6.8е-3; Rcdc = 29e-3; Vin = 180; Vodc = 100; Vo = Vodc; D = Vo/Vin; Rloadl = 15; Raddl = 2; kusNew = 0.012475; kisNew = 0.055125. Изменяя параметры, введенные в /w-файл (например, входное или выходное напряжения, сопротивление нагрузки), можно проводить анализ модели в различных режимах. На рис. 22.18 показана схема дискретного ПИД-регулятора, используемая в блоках DPID (канал регулирования и стабилизации напряжения) и DPIDCrntLoop (канал стабилизации тока). Коэффициенты Кр, Kt и KD были определены ранее для ПИД-регуляторов, работающих в непрерывных моделях. Td — время дискретизации, равное 0,2 мс. Коэффициент Кр имеет множитель 3 для сохранения коэффициента передачи, поскольку перед фильтрами усреднения (Т_0,2е-3) в модели на рис. 22.17
22.4. Модель системы управления выходом 1 49 Рис. 22.18. Схема дискретного ПИД-регулятора, используемого в каналах регулирования и стабилизации напряжения и защиты по току в модели рис. 22.17 установлены коэффициенты 1/3. Используя параметры ПИД-регуляторов, полученные для непрерывных моделей, проведем расчеты коэффициентов для ПИД- регуляторов в дискретной модели (рис. 22.17, 22.18). ПИД-регулятор в канале регулирования и стабилизации выходного напряжения: А^-3 = 30,5310 3-3 = 0,09159; К;Td = 278-0,2-103 = 0,0556; KJTd= 8,5610-4/0,210"3 = 4,28. ПИД-регулятор в канале защиты по току: А/3 = 0,01497-3 = 0,0449; KfTd= 1515-0,2- Ю-3 = 0,303; KJTd = 0,00108/0,2-103 = 5,4. Коэффициент Ксбыл принят равным 0,5 в обоих ПИД-регуляторах. Верхний и нижний пределы в блоках насыщения приняты одинаковыми: 1,0 и-0,2. Возвращаясь к общей дискретной модели, показанной на рис. 22.17, отметим, что указатель Discrete, показанный с временем дискретизации Td = 0,2 103 с, позволяет значительно сократить время счета. Этот указатель, однако, не следует применять при определении частотных характеристик дискретной системы. При работе в режиме ПТ силовой части ЗУ провалы и выбросы напряжения на выходе при коммутации нагрузки оказываются довольно значительными (рис. 22.13, работа непрерывной модели в этом режиме). Для улучшения переходных процессов в этом режиме статический коэффициент передачи ПИД-регулятора в канале регулирования и стабилизации Рис. 22.19. Переходный процесс на выходе ЗУ, напряжения увеличен в 4 раза и состав- сопротивление нагрузки изменяется скачком ляет 0,09159-4 = 0,366. Запасы устойчи- от2 Д° 15 °м
Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством вости дискретной системы остаются при этом достаточными. На рис. 2.19 показана осциллограмма напряжения на выходе ЗУ при работе дискретной модели (рис. 22.17). Сопротивление нагрузки изменялось скачком от 2 Ом (точнее, чуть меньше этого значения) до 15 Ом. При большой нагрузке удерживается заданный ток ограничения (40 А), при этом выходное напряжение не стабилизировалось и составило около 74 В. При сопротивлении нагрузки 15 Ом напряжение на выходе стабилизировалось и оставалось равным 100 В. 22.4. Модель системы управления выходом I Стабилизация напряжения выхода 1 (рис. 22.1) на уровне 110 В осуществляется по каналу 1 с точностью ±1,5 В, при этом напряжение на выходе канала 2 будет больше на величину падения напряжения на диоде D\ в этой схеме. Модель системы стабилизации выхода 1 в MATLAB строится в предположении, что трехфазное напряжение сети (380 В, действующее значение) выпрямлено трехфазным выпрямителем В1, к выходу которого подключен конденсатор О (рис. 22.1). Поэтому в модели на вход повышающего преобразователя (ПП) поступает постоянное напряжение с номинальным значением 514 В. Резонансный преобразователь (РП) моделируется как линейный трансформатор, пересчитывающий напряжение с выхода ПП к выходу РП. Коэффициент трансформации силового трансформатора РП, определенный при расчете силовой части схемы, в модели принят равным отношению вторичных и первичных его витков: п\ = 4/11. В реальной схеме трансформатор имеет еще одну обмотку (И^, рис. 22.4), число витков которой равно 6. Дроссель, установленный в ПП (LI на рис. 22.2), был рассчитан на пульсирующий ток с максимальным значением 56 А. С учетом пульсаций, реально появляющихся на выходе выпрямителя В1 (рис. 22.1) и влияющих на работу ПП, индуктивность входного дросселя была принята равной 7 мГн. Емкость одного корпуса электрического конденсатора с номинальным напряжением 400 В, установленного на выходах ПП и РП, была выбрана 6800 мкФ. Значение этой емкости и число конденсаторов, рассчитанных с учетом пульсаций тока через них, учитывались в модели. При построении системы управления выходом 1 учитывалась не только необходимость стабилизации напряжения, но и возможность его снижения при достижении заданного максимального тока на выходе. Значение максимального предельного тока было задано 205 А. Повышающий преобразователь до некоторой степени может ограничивать ток в нагрузке снижением коэффициента заполнения импульсов, приходящих на затвор ЮВТ-транзистора, но при дальнейшем увеличении нагрузки должно происходить аварийное отключение всего преобразователя от входной сети. Таким образом, в системе управления выходом 1 предусматривается как контур стабилизации по выходному напряжению, так и контур ограничения тока этого выхода. 22.4.1. Модель системы стабилизации напряжения выхода I Прежде всего необходимо построить модель повышающего преобразователя (ПП). На рис. 22.20 показана непрерывная линейная модель ПП, в которую не включены входной источник напряжения и входной дроссель. Значения Д Vbus, /, и /2 в мо-
22.4. Модель системы управления выходом 1 49 f дели будут поясняться в /w-файле, пока же отметим, что блоки /, и 12 дают возможность ввести токи, соответствующие нагрузкам на обоих выходах (вых. 1 и вых. 2). На выходе модели напряжение должно быть больше входного (номинальное значение которого 514 В) в соответствии с регулировочной характеристикой ИРН-2. К четырем конденсаторам одинаковой емкости, включенным последовательно на выходе, подключены разрядные резисторы, необходимые в реальной схеме. Напряжение на выходе 1 должно стабилизироваться на уровне 110 В, а расчетное значение напряжения на выходе ПП составляет 610 В. Понижение напряжения до требуемого уровня в реальной схеме и получение двух напряжений на выходах выполняет трансформатор резонансного преобразователя (рис. 22.4). На рис. 22.21 показана модель трансформатора с входным и выходным напряжениями постоянного тока. С ее помощью получается постоянное напряжение выхода 1. Коэффициент 1/л11 показывает пересчет напряжения 110 В к выходу ПП (610 В). Два конденсатора на выходе схемы рис. 22.21 соответствуют элементам, реально установленным в устройстве. Для выхода 2 может быть построена аналогичная модель, позволяющая пересчитать напряжение с выхода ПП ко входу ЗУ. Ниже приводится текст /я-файла, позволяющего работать моделям на рис. 22.20 и 22.21. clc L = 7е-3; % индуктивность входного дросселя Rl=18e-3; Св = 6.8е-3; % емкость одного конденсатора ПП Rcb = 20е-3; % ESR одного конденсатора Cdc = 6.8е-3; % емкость одного конденсатора на выходе 1 РП п 1 =4/11; % отношение вторичных витков (выход 1) к первичным п2 = 6/11; % отношение вторичных витков (выход 2) к первичным Рис. 22.20. Непрерывная линейная модель повышающего преобразователя без источника входного напряжения и входного дросселя
Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством Рис. 22.21. Модель трансформатора постоянного тока Vin = 514; % напряжение на входе ПП Vo = 110; % напряжение выхода 1 Voref = 110; % опорное напряжение в модели ПП nll = nl/2;n21=n2/2; D = l-Vin/(Vo/nl 1) % коэффициент заполнения в установившемся режиме Vbus = Vin/(1-D) % напряжение на выходе ПП Rloadl = 0.8; % сопротивление на выходе 1 Р2 = 6000; % максимальная мощность на выходе 2 (ЗУ) к = 0.1; % коэффициент загрузки выхода 2 Rload2 = (VbusA2)/(k*P2) 11 = Vin(Rloadl/nl 1A2*(1-D)A2); % параметр модели ПП 12 = Vin(Rload2/n2r2*(l-D)A2); % параметр модели ПП Рис. 22.22. Непрерывная линейная модель силовой части преобразователя для получения частотных характеристик с учетом датчика выходного напряжения и масштабирующего усилителя
22.4. Модель системы управления выходом 1 501 Для определения параметров звеньев коррекции сначала определим частотные характеристики силовой части. На рис. 22.22 показана ее схема, в которой коэффициент kus, равный 0,012475, необходимо добавить в показанный выше /и-файл. Коэффициент kus определяет коэффициенты передачи датчика выходного напряжения и масштабирующего усилителя, приводящего максимальный сигнал на его выходе к уровню 3 В (вход АЦП). На рис. 22.23 показаны частотные характеристики усредненной модели силовой части схемы с учетом коэффициента kus. Для коррекции в ЦСП используем дискретный ПИД-регулятор, но прежде определим с помощью инструментария SISO Design Tool необходимую передаточную функцию звена коррекции непрерывной системы. Выбрав для модели, показанной на рис. 22.22, Tool > Control Design > Linear Analysis > Bode, с помощью команд Export > to Workspace, а затем sisotool, переходим к SISO Design Tool (рис. 22.23). В окне Current Compensator можно видеть исходное состояние звена коррекции — его передаточная функция C(s) равна 1. Это значит, что звена коррекции еще нет, оно еще только будет создаваться. Применяя интегратор, усилитель и устанавливая на требуемых частотах нули передаточной функции звена коррекции, получим: На рис. 22.24 показаны частотные характеристики модели силовой части при последовательном звене коррекции с передаточной функцией, показанной выше. Постановка интегратора позволила получить большое усиление на низких частотах, но в силу особенностей повышающего преобразователя действие рассмотренного звена коррекции оказывается недостаточным. Из рис. 22.24 можно видеть, что запас по фазе отсутствует. Прежде чем принять дополнительные меры к получению необходимого запаса по фазе, получим параметры ПИД-регулятора, располагая коэффициентами: К= 20,1 1/с; Tzl = 0,025 с; Та = 9е-05 с. С помощью формул перехода, полученных ранее (гл. 14), имеем: Рис. 22.23. Частотные характеристики модели Рис. 22.24. Частотные характеристики модели силовой части преобразователя (C(s) = 1) силовой части с последовательным звеном коррекции (C(s) = 20.1 х ((1 + 0.025s)(l + + 9e-005s))/(s))
E? Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством На рис. 22.25 представлена модель силовой части с введенным ПИД-регулятором, параметры которого равны названным Кр, Kt и KD. Частотные характеристики этой модели практически совпадают с характеристиками, показанными на рис. 22.24. Некоторое расхождение наблюдается только в высокочастотной области, что не является существенным. Теперь, для улучшения свойств системы управления сигнал от тока дросселя, полученный с помощью датчика, вычтем из сигнала на выходе ПИД-регулятора. Рис. 22.25. Модель силовой части преобразователя с введенным ПИД-регулятором Рис. 22.26. Модель преобразователя с контуром по току дросселя
22.4. Модель системы управления выходом 1 50$* От значения коэффициента усиления, с которым передается токовый сигнал, зависит вид получаемых частотных характеристик модели. Подбирая этот коэффициент, можно получить желаемое усиление в области низких частот и требуемые запасы по амплитуде и фазе. На рис. 22.26 показана модель преобразователя, в которой в дополнение к ПИД-регулятору подключен контур по току дросселя. В модели использован коэффициент kisy учитывающий свойства датчика тока и включенного за ним масштабирующего операционного усилителя. В /я-файл следует внести значение коэффициента kis, который в рассматриваемом случае был равен 0,019125. Коэффициент передачи усилителя модели Gain 1, равный 0,25, был подобран за несколько итераций возрастанием его от нулевого значения. Результат показан на рис. 22.27, из которого видно, Рис. 22.28. Частотные характеристики модели что частотные характеристики при введе- преобразователя при замене непрерывного нии токовой связи значительно измени- ПИД-регулятора дискретным (Td = 0,2e-3 с) лись в области частоты среза по сравне- ^ ~ нию с рис. 22.24, что позволило получить хорошие запасы и по амплитуде, и по фазе. При значении коэффициента передачи усилителя Gain 1 меньшем чем 0,25, снижается запас по фазе при одновременном возрастании частоты среза, при этом система становится более быстродействующей. Замена непрерывного ПИД-регулятора в модели на рис. 22.26 дискретным (период дискретизации Tdравен 0,2е-3 с) приводит к частотным характеристикам разомкнутой системы, которые приведены на рис. 22.28. В области частоты среза изменений по сравнению с рис. 22.27 не произошло. Вертикальные линии на рис. 22.28 соответствуют половине частоты коммутации ключа ПП (/*= 5 кГц). 22.4.2. Модель системы ограничения тока выхода I Для ограничения тока на выходе 1, когда нагрузка возрастает и значение тока в ней начинает превышать 200 А, следует в схему силовой части ввести датчик тока. Его коэффициент передачи, в который входит и коэффициент усиления масштабирующего усилителя, на схеме рис. 22.29 обозначен как kisCurLoop. Значение коэффициента kisCurLoop, равное 0,007315, для удобства следует ввести в /w-файл, рассмотренный ранее. Теперь выход схемы — ток нагрузки, сопротивление которой на рис. 22.29 обозначено как Rloadl. Частотные характеристики модели с выходом по току нагрузки показаны на рис. 22.30, при их расчете сопротивление Rloadl было задано 0,5 Ом. Такое сопротивление при выходном напряжении 110 В Рис. 22.27. Частотные характеристики модели преобразователя с контуром по току дросселя
Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством Рис. 22.29. Модель преобразователя с датчиком тока нагрузки позволяет получить ток больше 200 А, при котором начинается режим ограничения тока. Используя инструментарий SISO Design Tool, формируем частотные характеристики при последовательной коррекции, в которой имеются усилитель, интегратор и два нуля в левой полуплоскости. Параметры найденного звена коррекции: Рис. 22.30. Частотные характеристики модели, показанной на рис. 22.29 а передаточная функция в окне Current Compensator записывается в виде: С помощью формул пересчета (гл. 14) определим коэффициенты ПИД- регулятора: На рис. 22.31 показана разомкнутая модель ограничения тока нагрузки с ПИД- регулятором, коэффициенты которого названы выше, а на рис. 22.32 — частотные характеристики модели схемы. Заменив в модели рис. 22.31 непрерывный ПИД-регулятор дискретным, проведем расчет частотных характеристик дискретной системы ограничения тока. Не забудем, что в дискретном ПИД-регуляторе необходимо умножить коэффициент Кj на Td, а коэффициент KD разделить на Td. Таким образом, для дискретного ПИД-регулятора получим:
22.4. Модель системы управления выходом 1 50! Рис. 22.31. Разомкнутая модель ограничения тока нагрузки с ПИД-регулятором где индекс d поясняет, что коэффициенты используются в дискретной системе. Частотные характеристики показаны на рис. 22.33, и можно видеть, что они мало отличаются от аналогичных характеристик непрерывной системы (рис. 22.32). 22.4.3. Общая модель системы управления выходом I Теперь объединим оба рассмотренных контура в одну дискретную систему. В нее добавим коэффициенты 1/3 с тем, чтобы в дальнейшем в ЦСП числа были бы ограничены единицей (на входе АЦП сигналы могут быть только до трех вольт). Для их компенсации в ПИД-регуляторах и в контуре сигнала по току дросселя устанавливаем множители, равные трем. Кроме того, в ЦСП должны быть установлены фильтры усреднения по всем входным сигналам (выходное напряжение, Рис. 22.32. Частотные характеристики модели, показанной на рис. 22.31 (C(s) = 1) Рис. 22.33. Частотные характеристики дискретной модели ограничения тока (C(z) = 1)
Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством Рис. 22.34. Модель замкнутой системы управления преобразователем ток дросселя и ток нагрузки). С учетом сделанных замечаний получим модель замкнутой системы управления преобразователем (рис. 22.34), в которой стабилизируется напряжение на нагрузке и, кроме того, ограничивается ток на выходе, если он превышает заданное значение (200 А). В модели на рис. 22.34 показаны источники опорных сигналов по напряжению и току нагрузки, а также переключатель (Switch), автоматически переводящий схему управления из режима стабилизации напряжения в режим ограничения тока и обратно. Кроме того, в схеме показана подпрограмма (Sw), позволяющая коммутировать нагрузку — к неизменной ее части (Rloadl) может подключаться или отключаться сопротивление Raddl, значение которого можно для удобства задать в Рис. 22.35. Осциллограмма выхода преобразо- Рис. 22.36. Осциллограмма тока на выходе вателя на режим и реакция выходного напря- преобразователя при работе двух контуров жения на скачки нагрузки (работает только управления контур стабилизации выходного напряжения)
22.5. Экспериментальные результаты 50' m-файле. В подпрограмме Sw имеется генератор, с помощью которого задается временная последовательность подключения и отключения сопротивления Raddl. Осциллограмма выхода преобразователя на режим и изменения выходного напряжения при скачках нагрузки показана на рис. 22.35. Сопротивления Rloadl и Raddl заданы таким образом, чтобы работал только контур стабилизации по напряжению, а контур ограничения по току не подключался. Заданный предельный ток (Ipor), записанный в /и-файле, составляет 200 A, Rloadl = 2,2 Ом, Raddl = 0,8 Ом. Следовательно, при этих сопротивлениях максимальный ток в нагрузке может составить 187,5 А. На рис. 22.36 показана осциллограмма работы преобразователя, когда от большого тока нагрузки (ограниченного на уровне 200 А) происходит переход к малому току, определяемому только сопротивлением Rloadl. В этом случае задействованы оба контура управления — как стабилизации выходного напряжения, так и ограничения тока нагрузки. Для показанного на рис. 22.36 режима работы в модели было задано: Rloadl = 2,2 Ом, Raddl = 0,5 Ом. 22.5. Экспериментальные результаты Система управления преобразованием выполнена с использованием двух ЦСП TMS 320F2808. Один из них далее называется MASTER, другой — SLAVE. Первый (MASTER) выполняет следующее функции — формирование команд включения и выключения преобразователя по результатам сигналов от различных датчиков и аппаратуры управления; — взаимодействие с ЦСП SLAVE по интерфейсу SPI для управления и передачи информации о текущем состоянии преобразователя с помощью интерфейсов RS-232 и CAN; — взаимодействие преобразователя с персональным компьютером по интерфейсу RS-232; — управление индикацией, информирующей о параметрах преобразователя и работе платы управления; — управление вентиляторами охлаждения преобразователя; — ведение электронного журнала событий с привязкой к текущему времени; — хранение коэффициентов юстировки датчиков и выходных параметров и передача их в SLAVE; — хранение данных о времени наработки устройства. ЦСП SLAVE предназначен для формирования управляющих сигналов силовыми ключами, управления контакторами, обмена данных с ЦСП MASTER и сервисной программой (при использовании персонально компьютера). ЦСП SLAVE решает следующие задачи, выполняемые системой управления: — стабилизация постоянного напряжения НО В на выходе 1 преобразователя на основе разработанного ПИД-регулятора; — получение постоянного напряжения для подзаряда АБ, изменяемого по сигналу от температурного датчика, с ограничением максимального тока АБ (выход 2); стабилизация напряжения осуществляется с помощью разработанного ПИД-регулятора; — выполнение заданных алгоритмов запуска и отключения преобразователя; — контроль состояния преобразователя и его отключение при аварии;
& Глава 22. Повышающий преобразователь с резонансным DC-DC конвертором и зарядным устройством Рис. 22.37. Запуск при токе нагрузки 96 А, Рис. 22.38. Наброс нагрузки на первом выхо- переход питания потребителя на выход пре- де преобразователя (изменение нагрузки образователя скачком с 2 до 100 А) Рис. 22.39. Сброс нагрузки на первом выхо- Рис. 22.40. Пульсация напряжения на первом де преобразователя (изменение нагрузки выходе преобразователя, ток нагрузки 180 А скачком от 115 до 20 А) — работа с ЦСП MASTER по интерфейсу SPI; — обработка и передача в ЦСП MASTER информации о параметрах преобразователя. На рис. 22.37 показан процесс нарастания напряжения на первом выходе при запуске преобразователя. Нагрузка по этому выходу составляла 96 А. На осциллограмме можно видеть переход питания потребителя от АБ к выходу преобразователя, при этом выходное напряжение снижается на короткий промежуток времени. На рис. 22.38 и 22.39 показаны осциллограммы выходного напряжения при на- бросе и сбросе нагрузки соответственно. Эти осциллограммы фиксируют только переменную составляющую напряжения на выходе. Можно видеть близость экспериментально полученных переходных процессов с аналогичными процессами, полученными при моделировании (рис. 22.35). Последняя осциллограмма (рис. 22.40) показывает переменную составляющую напряжения на первом выходе при нагрузке 180 А. Пульсация напряжения в амплитуде менее 1 В происходит на частоте, равной удвоенной частоте работы резонансного преобразователя (2x15 кГц).
ГЛАВА 23 ЦИФРОВОЕ УПРАВЛЕНИЕ КОРРЕКТОРОМ КОЭФФИЦИЕНТА МОЩНОСТИ Традиционно управление транзисторным выпрямителем (ТВ), выполняемым по двухкаскадной схеме, включающей в себя корректор коэффициента мощности (ККМ) и DC-DC преобразователь, реализовывалось с применением только аналоговых компонентов. Однако при современных требованиях к ТВ полностью аналоговая система получается многоэлементной, громоздкой и не всегда помехо- защищенной. Помимо этого она занимает много места на печатной плате. Достаточно сложно в таком случае решается выполнение некоторых функций ТВ, как, например, мониторинг параметров. Развитие современных микроконтроллеров и процессоров, расширение их возможностей при одновременном постоянном снижении их стоимости привело к необходимости построения систем, использующих частично или полностью цифровые методы управления. В гл. 17 было показано управление ТВ, когда «медленные» задачи решались цифровыми средствами, а быстрые, требующие выполнения широтно-импульсной модуляции и некоторых видов защит, — аналоговыми. Реализация такого смешанного принципа построения системы управления часто используется в настоящее время, как при разработке ККМ, так и при разработке DC-DC преобразователей. В данной и следующей главах рассматривается управление всеми функциями ККМ и DC-DC преобразователя с помощью цифрового сигнального процессора. В такой полностью цифровой системе управления микропроцессор участвует не только в создании сигналов, формируемых при медленных процессах, но обеспечивает также цифровую обработку сигналов широтно-импульсной модуляции и реализацию необходимых цепей коррекции в контурах управления. Весьма полезными новыми функциями, достижимыми при обоих названных принципах управления, является возможность юстировки (подгонки)некоторых параметров ККМ и DC-DC преобразователя: параметров сети, подлежащих контролю; задаваемого выходного напряжения; отображения выходного тока. В данной главе рассмотрены вопросы цифрового управления однофазными кор- ректорами коэффициента мощности на базе повышающего импульсного регулятора. Существует большое количество методов цифрового управления ККМ [65, 77, 92]. Многие из них появились на рубеже этого века и были в основном направлены на создание цифровых систем управления при существующих на то время ограниченных возможностях ЦСП и микроконтроллеров. За последние 5-10 лет цифровые средства шагнули далеко вперед, при этом силовая структура однофазного ККМ на основе повышающего регулятора не изменилась и до сих пор является достаточно эффективной. Алгоритм управления по среднему току потребления с применением перемножителя зарекомендовал себя очень удачно, и существует большое число микросхем управления реализующих этот метод. Дальнейшее развитие ККМ происходит и сегодня — применяются новые силовые электронные приборы, в некоторых случаях находят применение многофазные структуры (interleaved PFC). Тем не менее, с позиций управления целесообраз-
02 Глава 23. Цифровое управление корректором коэффициента мощности ным является применение такого метода цифрового управления, который повторял бы принцип построения аналоговых систем управления ККМ. По этой причине здесь будет показана цифровая система управления с перемножителем, выполняющая решение практической задачи, направленной на применение в конечном устройстве, где используется ККМ, — высокочастотном выпрямителе. Глава содержит большой материал, посвященный синтезу цифровой системы управления ККМ и моделированию. Приведены практические результаты применения цифрового управления для однофазного ККМ мощностью 1000 Вт. Система управления реализовывалась на основе ЦСП фирмы Texas Instruments. При разработке цифровой системы преследовалась цель не только реализовать основные принципы управления, но и получить универсальную платформу для высокочастотного выпрямителя. Задача заключалась в построении управления на одном кристалле для обеих ступеней выпрямителя: корректора коэффициента мощности и DC-DC преобразователя (см. гл. 24). По этой причине программная реализация была направлена на одновременное управление как ККМ, так и DC-DC преобразователем. 23.1. Выбор структуры управления При разработке цифрового управления ККМ, с одной стороны, преследовалась цель максимально использовать возможности ЦСП. Внешними аналоговыми цепями контроллера остаются только цепи сопряжения с сигналами, к которым относятся цепи формирования мгновенных защит, измерительные цепи и цепи фор- мирования управляющих сигналов. С другой стороны, для обеспечения приемлемого коэффициента мощности был выбран принцип управления по среднему току сети при перемножении сигнала ошибки по напряжению на квадрат обратного значения действующего напряжения сети. Сомножителем в перемножителе является также сигнал, в цифровой форме отображающий выпрямленное напряжение и задающий синусоидальную форму входного тока за полупериод сети. На рис.23.1 показана структурная схема ККМ с цифровым управлением. В контроллере применены два звена коррекции — в «медленном» контуре по напряжению и в «быстром» контуре, который управляет током дросселя повышающего импульсного регулятора. На схеме показаны также блоки контроллера, отвечающие за сервисные функции защиты и управления. Низкоомный резистор RT является датчиком входного тока ККМ, сигнал с него поступает на масштабирующий ОУ, включенный по дифференциальной схеме для снижения погрешности измерения тока, а также обрабатывается компаратором мгновенной защиты. Терморезистор (ТС) с отрицательным температурным сопротивлением является обычно применяемым элементом при запуске, необходимым для снижения броска тока через диоды входного выпрямителя при заряде конденсаторов на выходе ККМ. Сигнал, управляющий обмоткой реле, поступает от контроллера после заряда конденсаторов, что приводит к шунтированию контактами реле термосопротивления ТС. Раскроем некоторые аналоговые узлы, показанные на структурной схеме. На рис. 23.2 показаны две цепи формирования мгновенных защит, выполненные на компараторах и необходимые для функционирования устройства в различных нештатных ситуациях. Для рассматриваемого ККМ предусмотрены следующие виды защит:
23.1. Выбор структуры управления 51 I Рис. 23.1. Структурная схема корректора коэффициента мощности, управляемого цифровым сигнальным процессором Рис. 23.2. Аналоговые узлы построения мгновенных защит в системе управления ККМ: а — по максимальному напряжению сети; б— по максимальному входному току
|f512 Глава 23. Цифровое управление корректором коэффициента мощности 1. По максимальному значению напряжения сети. В отличие от аналоговых схем управления здесь срабатывание защиты происходит по мгновенному напряжению сети, а быстродействие защиты ограничивается только внешними фильтрами. При срабатывании данной защиты ККМ полностью выключается, а его включение производится по результату измерения контроллером действующего значения напряжения сети. 2. По минимальному значению сети. Защита выполнена по действующему значению напряжения сети. 3. По выходному напряжению ККМ. Выполнена программно, входной сигнал поступает на АЦП. При срабатывании защиты производится аппаратное прекращение управляющих импульсов с помощью узла запрета ШИМ сигналов (Trip Zone). 4. По мгновенному входному току. Выполнена программно, сигналом является сигнал внешнего компаратора. Принцип аналогичен защите по выходному напряжению — запрет управляющих импульсов при превышении током пороговой величины. На рис. 23.3 показаны цепи формирования аналоговых сигналов, поступающие на входы АЦП ЦСП. На рис. 23.3, а показано, как с помощью двухзвенного RC-фильтра мгновенное выпрямленное напряжение сети преобразуется в среднее значение этого напряжения. Контроллер воспринимает сигнал на выходе фильтра как действующее значение напряжения сети (rms), производя соответствующий пересчет среднего значения в действующее. На рис. 23.3, б показано, как с помощью резистивного делителя в АЦП поступает напряжение, пропорциональное мгновенному выпрямленному напряжению сети. Конденсатор на выходе схемы снижает уровень помех. Схема на рис. 23.3, в аналогична предыдущей по построению и обеспечивает для контроллера сигнал о мгновенном выходном напряжении ККМ. Последняя схема на рис. 23.3 (23.3, г) — дифференциальный ОУ, измеряющий входной ток ККМ и приводящий сигнал к уровню, допустимому на входе АЦП. На всех четырех схемах рис. 23.3 диоды Шоттки, включенные на выходе, предотвращают появление недопустимых выбросов на входах АЦП любой полярности. Рис. 23.3. Аналоговые узлы, формирующие сигналы на входах АЦП
23.2. Проектирование контуров цифрового управления ККМс использованием MATLABSimulink Рис. 23.4. Сигналы управления реле и силовым ключом ККМ, поступающие от ЦСП На рис. 23.4 показаны цепи формирования управляющих сигналов, поступающих от контроллера. Управление входным транзистором в схеме 23.4, а происходит от дополнительного ключа, не показанного на рисунке и непосредственно управляемого с выхода ЦСП. ШИМ-управление силовым ключом корректора показано на рис. 23.4, б, на входной транзистор схемы поступает сигнал от ЦСП. 23.2. Проектирование контуров цифрового управления ККМ с использованием MATLAB Simulink Точно так же, как и в предыдущих главах, проектирование двух контуров обратной связи ККМ, управляемых цифровым сигнальным процессором, будем проводить, используя аппарат частотных характеристик и систему MATLAB Simulink. Эта система, как уже было сказано раньше, является мощным средством решения задач синтеза различных систем управления. В данной и последующей главах MATLAB Simulink использован для проектирования цифровых систем управления с ШИМ, что стало возможным благодаря существующей адаптации программного комплекса к математическому аппарату микропроцессорных средств. В главе будет подробно показан прямой метод проектирования дискретной системы управления. 23.2.1. Исходная модель силовой части ККМ Повышающий импульсный регулятор напряжения (ИРН-2), примененный в ККМ, представляется усредненной непрерывной линейной моделью (НЛМ) и
14 Глава 23. Цифровое управление корректором коэффициента мощности Рис. 23.5. Непрерывная линейная модель силовой части ККМ такие модели ИРН-2 для различных преобразователей уже были рассмотрены в предыдущих главах. В разд. 9.3 показан вывод этой модели, в гл. 15 (рис. 15.4,15.5) показана схема модели MATLAB с использованием подпрограммы Boost, в гл. 19 (разд. 19.2) описывается НЛМ трансформаторного преобразователя с дросселем на входе, в гл. 22 (разд. 22.4, рис. 22.20) показана НЛМ ИРН-2 с двумя источниками тока, моделирующих два выхода преобразователя. Во всех моделях применены элементы из библиотеки SimPowerSystems. Для удобства на рис. 23.5 еще раз показана НЛМ повышающего регулятора. Поскольку модель линейная, на входе ее может быть любое напряжение постоянного тока, содержащее, в общем случае, и переменную составляющую. При заданном выходном постоянном напряжении, исходя из регулировочной характеристики, справедливой для режима непрерывного тока в дросселе, определяется коэффициент заполнения D: где Vin, Vo — обозначения, принятые в программе MATLAB для входного и выходного постоянных напряжений ИРН-2. Источник постоянного напряжения Vin для проведения синтеза динамических свойств ККМ принимается равным амплитуде напряжения сети — максимально возможному входному напряжению ИРН-2. Постоянный ток дросселя в модели на рис. 23.5 задан в блоке, определяющем константу: где Р — выходная мощность ККМ, определяемая в исходных данных на проектирование. Отклонение коэффициента заполнения от D (значение в периодическом режиме) обозначено в модели как dp. Для хранения в программе значений таких параметров как входное напряжение, мощность, значений параметров конденсаторов, дросселей и других элементов, а также удобства изменения некоторых параметров и использования встроенных функций MATLAB необходимо, как было показано ранее, использовать
23.2. Проектирование контуров цифрового управления ККМ с использованием MATLAB Simulink "3 Рис. 23.6. Идеальный импульсный элемент, формирователь и непрерывная часть /я-файлы. Для моделирования ККМ использован /и-файл, который в процессе проектирования будет дополняться новыми расчетными коэффициентами. clc Vin = 220*sqrt(2); Vo = 425; % выходное напряжение ККМ Ртах = 1200; % максимальная выходная мощность Р = 1000; % номинальная выходная мощность L = 680e-6; R1 = 0.01; % сопротивление меди дросселя R = VoA2/P % сопротивление нагрузки D = 1-Vin/Vo % к-нт заполнения в периодическом режиме С = 330е-6; Re = 410е-3 23.2.2. Дискретная линейная модель ККМ Модель, представленная на рис. 23.5, является непрерывной и линейной. В действительности любой импульсный преобразователь с контуром (или контурами) обратной связи является нелинейной импульсной системой автоматического управления. Такую систему можно линеаризовать, выделить непрерывную часть (в нашем случае силовую часть, представленную в виде НЛМ), соединив ее с идеальным импульсным элементом (ИЭ) и формирующим звеном. Последнее звено вместе с непрерывной частью образуют приведенную непрерывную часть (рис. 23.6). На рисунке обозначены передаточные функции формирующего звена (ФЗ) (формирователя) — W^(s) и непрерывной части — WH(s). Звездочки в обозначениях сигналов показывают их дискретность во времени. При управлении преобразователем от ЦСП, ШИМ-сигналы, формируемые процессором, можно представить импульсами определенной длительности и периодом, равным периоду коммутации ключей силовой части. Тогда сигналы на входе системы на рис.23.6 и на входе силовой части могут быть представлены, как показано на рис.23.7. В реальном устройстве ИЭ и формирователь реализуются в канале АЦП микроконтроллера. Амплитуда импульсов, Рис. 23.7. Сигналы на входе идеального импульсного элемента и на выходе формирователя
16 Глава 23. Цифровое управление корректором коэффициента мощности показанных на рис. 23.7, пропорциональна длительности реальных импульсов ШИМ, вырабатываемых в ЦСП. ШИМ, реализованный в ЦСП, относится к ШИМ-1, а обычные ШИМ- системы с использованием аналоговых компараторов принято относить к системам ШИМ-2. Те и другие системы вместе с силовой частью являются нелинейными, но их можно линеаризовать в окрестности заданного режима. В ЦСП формирующее звено — формирователь нулевого уровня (zero-order-hold (ZOH)) — вырабатывает импульсы с периодом Td, амплитуды которых равны значениям решетчатой функции сигнала в тактовые моменты времени. В гл. 8 была получена передаточная функция ZOH по Лапласу (формула 8.7.5), которую запишем для удобства здесь, приняв Т— Td: (23.2.1) В той же главе (формула 8.7.7) получено ^-преобразование двух передаточных функций — ZOH и непрерывного объекта: (23.2.2) Здесь WH(s) — передаточная функция объекта (непрерывной части) по Лапласу. MATLAB предоставляет широкие возможности для анализа свойств непрерывных звеньев, перед которыми включается ZOH. Можно использовать соотношение (23.2.2) в Aw-файле, а можно, что, как правило, бывает удобнее, воспользоваться электрической схемой силовой части преобразователя, перед которой включен ZOH. В результате MATLAB показывает работу силовой части в режиме дискретной модели. Модель ИРН-2 с включенным фиксатором нулевого уровня показана на рис. 23.8. Обязательный параметр ZOH — период дискретизации (период коммутации силового ключа). Укажем частоту коммутации в /w-файле, для чего в этот файл, показанный ранее, введем оператор:/= 50000; %частота коммутации [Гц]. Результаты работы непрерывной линейной модели силовой части ККМ (рис. 23.5) и ее дискретной модели (рис. 23.8) показаны в виде логарифмических частот- Рис. 23.8. Непрерывная линейная модель силовой части ККМ с подключенным на управляющем входе фиксатором нулевого уровня (ZOH)
23.2. Проектирование контуров цифрового управления ККМс использованием MATLAB Simulink "Л 40 20 0 360 315 270 Ш 1 ]' ПИ NIL*' ш^ цд[_ и Щ III illli ШГ щ м 102 Bode Diagram From: In1 To: 0ut1 4ii ш ц$ \ I V IN ITT 4jl = ftfk. iiii . | i | Tili ТТЛ Пи Illi— 103,.. ¦ III! i III! lIHi цд| i Mi ^ШШ11_ fljD I и Illli 104 I ими 11 jUii х.идй Г ПТПТ ЕПТГП. Г ПТ [f _]Tf[fIf ittlftl i I hi п+Щ 10 Frequency (Hz) 80 m /u x> 60 <D Sf> -о эи ё 40 'c= 1П ag ?c * 10 0 360 -SJ 315 1.270 3 225 ? 180 Щ У Ш LillL -j Шг^ llll I! !• i lljl ШГ Ш II Mil Iiii 102 Bode Diagram From: In1 to 0ut1 1.Щ \ \l r+r тГ Illli 103 f*^L П 4Ж-ДЩ44Ш ШГ llliiiTli ' 4 >! III! ! II i Mill | N I If aitH4iL. I П Illill LlilJ II! I lllil [ШР1ЩШ of ШШ WjVi \\\f\\ rfmnTtffl 104 1С Frequency (Hz) Рис. 23.9. Логарифмические частотные харак- Рис. 23.10. Логарифмические частотные ха- теристики непрерывной линейной модели рактеристики дискретной модели силовой силовой части ККМ части ККМ ных характеристик на рис. 23.9 и 23.10 соответственно. Управляющим сигналом является малое изменение коэффициента заполнения (в модели dp) относительно заданного в периодическом режиме (D), а выходным — малое изменение напряжения на нагрузке. Из рисунков следует, что характеристики заметно отличаются вблизи частоты дискретизации, причем отставание фазового угла сильнее наблюдается в дискретной модели. Введем в дискретную модель силовой части ККМ (рис. 23.8) необходимые новые звенья. Kus — коэффициент пересчета от выхода ККМ ко входу АЦП в условных единицах. В модели мы определим его, так же как другие коэффициенты измерения параметров по соответствующим каналам, как отношение максимального значения переменной ЦСП (равной единице при арифметике Q\ 5) к максимальному значению измеряемого сигнала. Считая, что максимальное измеряемое напряжение на выходе ККМ может достигать 450 В, получим значение Kus = 1/450. W\ — звено, соответствующее помехоподавляющему фильтру, установленному в канале измерения выходного напряжения. Оно имеет передаточную функцию звена первого порядка и выполнено на резисторе (Rfcu) и конденсаторе (Cfcu). Внесем необходимые дополнения в /и-файл: Kus = 1/450; %коэффициент пересчета по каналу %измерения вых. напряжения /?/а/ = 7200;%[Ом] Cfcu =10е-9;%[Ф] На рис. 23.11 показана дискретная модель ККМ с введенными коэффициентом пересчета Kus и передаточной функцией помехоподавляющего фильтра W\. В дискретную модель введено также звено задержки сигнала на один такт (на один период дискретизации) — Unit Delay, включенное последовательно с фиксатором ZOH. Такое звено еще сильнее ухудшает фазовую характеристику, особенно на частотах, близких k/J2. В ЦСП сигнал формирования ШИМ задерживается от момента начала его обработки в АЦП только на половину периода
18 Глава 23. Цифровое управление корректором коэффициента мощности «-МЛ-'ИР-»—"Fit [т)—' (1-D) П*!У-' <^D © © тт [my © © K*lE\—-4 НтйлН L Unit Delay Zero-Order г-»^[УоГ| 1 Г т г J L ? Рис. 23.11. Дискретная линейная модель ККМ с коэффициентом пересчета Kus, передаточной функцией помехоподавляющего фильтра Ц1 и звеном задержки на один такт дискретизации, поэтому реальная задержка сигнала получается меньше, чем в модели MAT LAB. 23.2.3. Дискретная нелинейная модель ККМ Здесь мы покажем еще один подход к представлению силовой части преобразователя при моделировании в MATLAB. Представим силовую часть ККМ в виде непрерывной нелинейной модели (ННМ) и сравним ее частотные характеристики с аналогичными характеристиками для НЛМ. Для получения ННМ воспользуемся результатами разд. 9.3, в котором на основе уравнений Кирхгофа для схемы ИРН-2, составленных для интервалов импульса и паузы, записаны следующие матрицы. Вектор переменных состояний jc= [/L wc]T, вектор входных воздействий и = [ивх 0]т. Интервал импульса (tu): А = о о о - Интервал паузы (/„): 4 = О - 1 RC 1 ;*,= - о L О О 1_ 1С L 1 RC [,в2 = I ol L о oj
23.2. Проектирование контуров цифрового управления ККМс использованием MATLAB Simulink 5а Здесь элементы b22 матриц Вх и В2 в отличие от аналогичных элементов в разделе 9.3 записаны нулевыми. Это получилось вследствие того, что в модель не включен внешний источник /', изменяющий ток нагрузки. Вместо него в силовую часть модели ККМ, как будет показано далее, подключается коммутируемое сопротивление нагрузки. Усредненные за период коммутации матрицы Ad и Bd получаются на основе выражений, записанных в разд. 9.1: В выражение для матрицы Ad входит текущее значение коэффициента заполнения d. Полученные матрицы Ad и Bd позволяют записать систему уравнений (19.1.5), которая в скалярном виде приведена ниже: (23.2.3) В соответствии с системой уравнений (23.2.3) можно построить электрическую схему, которая является нелинейной из-за присутствия в ней произведения управляющего сигнала (d) на переменную состояния (iL и ис). Включив в схему параметры, взятые из /w-файла и задающие периодический режим (Vin, /)), а также добавив в нее Рис. 23.12. Дискретная нелинейная модель ККМ
20 Глава 23. Цифровое управление корректором коэффициента мощности Рис. 23.13. Логарифмические частотные характеристики дискретных линейной (рис. 23.11) и нелинейной (рис. 23.12) моделей ККМ блоки, задающие дискретность передачи сигналов, коэффициент Kus и передаточную функцию WI, получим дискретную нелинейную модель ККМ (рис. 23.12). Ее логарифмические частотные характеристики (ЛЧХ), полностью совпадающие с аналогичными характеристиками линейной модели (рис. 23.11), показаны на рис. 23.13. Расчет рабочей точки при линеаризации нелинейной модели будет показан ниже. Для моделирования перемножителя нам потребуется ввести некоторые коэффициенты. Кае — коэффициент измерения по каналу АЦП сетевого напряжения; определяется как отношение максимального значения переменной в ЦСП (1 при арифметике Q15) к максимальному значению измеряемого сигнала. Каналы измерения (делители) действующего значения напряжения сети и его мгновенного значения приводят максимальные уровни сигналов в ЦСП к одному и тому же значению. Поэтому сигнал действующего напряжения сети следует увеличить в л/2 раз по отношению к реальному действующему значению. Если максимально возможное значение сетевого напряжения составляет 300 В, то на двух входах АЦП (измеряющих мгновенное значение напряжения сети и его действующее значение) окажется максимальное напряжение, равное 3 В, — то есть будет максимально возможный уровень напряжения на входе АЦП. Записи в /и-файле: будут обозначать присвоение минимального и максимального действующих значений напряжения сети, соответствующим идентификаторам в программе. Тогда запись Vrms_min=Vrms_real_min*sqrt(2) будет означать, каким образом минимальное значение действующего напряжения сети приводится в ЦСП. Действующее напряжение сети в перемножителе входит в знаменатель (точнее, в него входит квадрат этого напряжения). Поэтому вводится коэффициент Krms, связанный в формуле перемножимтеля с действующим напряжением. Очевидно, что наибольшее число при операции деления получится при минимальном действующем напряжении сети. Тогда принимаем: Сигнал на выходе перемножителя, который устанавливается за звеном коррекции по напряжению, можно записать в виде:
23.2. Проектирование контуров цифрового управления - ~ ,*щ ККМ с использованием MA TLAB Simulink vs^JB (23.2.4) где Km — коэффициент, который вводится для обеспечения максимальной разрядности сигнала на выходе перемножителя; ей — сигнал на выходе звена коррекции по напряжению; Vac — мгновенное значение напряжения сети. Для расчета Km примем, что напряжение сети имеет минимальное значение, а сигнал на выходе звена коррекции максимален, то есть равен 1 (Q15). При этом мы хотим, чтобы выход перемножителя также был равен 1 (Q\ 5). В результате из (23.2.4) получим: или Дополним /я-файл, который мы составляем для работы с моделью, несколькими операторами, позволяющими ввести в mdl-файл перемножитель. Vrms_real_min = 75; % минимальное напряжение сети % (действующее значение) Vrms_real_max = 300; % максимальное напряжение сети % (действующее значение) Vac_ampl_max = Vrms_real_max*sqrt(2) % максимальное амплитудное % напряжение сети Кае = 1/Vac_ampl_max % коэффициент пересчета канала измерения сети Vac_ampl_dsp = Vin*kac % % Vrms_min = Vrms_real_min*sqrt(2) % минимальное измеряемое % действующее напряжение сети Vrms_max = Vrms_real_max*sqrt(2) % максимальное измеряемое % действующее напряжение сети Krms = Vrms_min % постоянный коэффициент, введенный %в формулу перемножителя Km = Vrmsmax/Vnnsmin % коэффициент перемножителя В нелинейную модель ККМ введем усилитель, моделирующий перемножитель, используя коэффициенты и параметры, определенные в /и-файле (рис.23.14). Необходимо кратко сказать о технике получения ЛЧХ нелинейной модели в MATLAB. Прежде всего, производится расчет рабочей точки ННМ, в окрестности которой производится линеаризация. MATLAB предлагает пять способов определения рабочей точки. В нашем случае удобно ее получить, выполнив моделирова-
f[522 Глава 23. Цифровое управление корректором коэффициента мощности Рис. 23.14. Дискретная нелинейная модель ККМ с перемножителем ние нелинейной схемы за заданный промежуток времени и используя полученные значения переменных состояния и входных сигналов. Время моделирования должно быть достаточным для достижения переменными состояния установившихся значений. Ниже последовательно описываются шаги для моделирования нелинейной схемы. 1. Выполнив команду Run в меню Debug на панели инструментов /w-файла, в окне созданной на основе ННМ дискретной нелинейной модели с перемножителем (рис. 23.14) выбрать меню Tools, а в нем Control Design. В открывшемся подменю выбрать Linear Analysis, после чего откроется окно Control and Estimation Tools Manager, раздел Linearization Task. Пока что все операции те же самые, что выполнялись для создания ЛЧХ линейной модели. 2. Создать рабочую точку моделированием схемы за заданное время. При этом предоставляются две возможности: а) выбрать элемент Operating Points в дереве задач слева, затем в открывшемся окне выбрать метку Create Operating Points справа; б) выбрать панель Operating Points в том же разделе Linearization Task, а затем в открывшемся окне нажать кнопку New Operating Point. В обоих случаях в появившемся окне следует из предоставляемых альтернатив Compute new operating points using выбрать simulation snapshots (некоторые результаты моделирования). 3. Во вновь появившемся окне (рис. 23.15) ввести вектор времени моделирования, используя поле Simulation snapshot times. Мы проведем расчет для t = 1 и 5с, введя в окне значения [1,5]. 4. В этом же окне нажать клавишу Compute Operating Point. В результате Simulink Control Design проводит моделирование и выводит в левой части окна рабочие точки, отмеченные как Operating Points at t = 1 и Operating Points at t = 5.
23.2. Проектирование контуров цифрового управления ККМс использованием MATLAB Simulink 52Э Рис. 23.15. Окно создания рабочей точки не- Рис# 23.16. Параметры рабочей точки, линейной модели определенной в результате моделирования при t = 1 с 5. Выбрать рабочую точку из рассчитанных моделированием. Для решаемой здесь задачи убедимся, что в обоих случаях (моделирование при t = 1 с и при t = 5 с) рабочие точки полностью совпадают. Рабочей точке, определенной в результате моделирования, соответствуют значения (рис. 23.16): — ток в индуктивности 3,21 А; — напряжение на входном конденсаторе 425 В; — входной сигнал (D) 0,268. После этого, выбрав в левой части окна раздел Linearization Task, во вновь открывшемся окне выделить строку, где рабочая точка рассчитывалась за заданное время моделирования. В нашем случае мы можем выделить одну из строк: Operating Points at t = 1 Operating Points at t = 5. Установив в правой нижней части окна задачу расчета ЛХЧ (Bode response plot) и нажав клавишу Linearize Model, получим после редактирования частотные характеристики линеаризованной дискретной модели ККМ с перемножителем, (рис. 23.17) в окне интерфейса LTI Viewer. Рис. 23.17. Логарифмические частотные характеристики дискретной модели ККМ с перемножителем 23.2.4. Синтез цифровой коррекции контура по выходному напряжению Для формирования звена коррекции произведем экспорт полученной линеаризованной модели в SISO Design Tool. Модель экспортируется с коэффициентами системы уравнений в пространстве состояний:
>24 Глава 23. Цифровое управление корректором коэффициента мощности y=Cx+Du , где х — вектор состояний; и, у — векторы входных и выходных сигналов соответственно; А, В, С, D— матрицы коэффициентов. В окне GUI — интерфейса LTI Viewer (рис. 23.17) используем меню File > Export. Появляется диалоговое окно Select Models to Exports в котором выделим строку с названием модели sys. Выполним Export to Workspace, закроем окна LTI Viewer: Linearization Quick Plot, а также Control and Estimation Tools Manager. В окне Workspace можно увидеть имя созданной модели sys в пространстве состояний (ss). Запуск GUI — интерфейса SISO Design Tool выполняется командой sisotool. Исходное окно SISO Design Tool показано на рис. 23.18. Инструментарий позволяет проводить синтез системы с помощью ЛЧХ разомкнутой системы (Open Loop Bode), методом корневого годографа (Root Locus), с помощью зависимости модуля коэффициента передачи фазы в разомкнутой системе (Open_Loop Nichols). Выберем в меню View только пункт Open_Loop Bode для отображения редактора OpenLoop. Таким образом, будем проводить синтез цепи коррекции, используя ЛЧХ разомкнутой системы. В правом верхнем углу окна показана условная структурная схема системы управления, которую можно менять кнопкой «FS», а знак обратной связи изменяется кнопкой «+/—». В структурных схемах приняты следующие обозначения: F— предфильтр системы; С— звено коррекции (Compensator); G — объект системы; Я—датчик. В нашем случае передаточные функции предфильтра и датчика приняты единичными. Далее, принимая исходную структуру и отрицательную обратную связь в системе, используем меню File > Import. В окне Import System Data указывается, откуда производится импорт модели (Workspace) и имя модели (sys). Выделим кнопку со стрелкой, направленной на объект (G), в результате в окне появится запись G=sys. Остальные блоки системы, включая Compensator, остаются с передаточными функциями, равными единице. После нажатия кнопки ОК появляются ЛЧХ модели, экспортированной из Workspace. Слева вверху можно видеть запись: С(*)=1, означающую, что сейчас звено коррекции имеет передаточную функцию, равную единице (рис. 23.19). Как можно видеть из этого рисунка, ЛЧХ полностью совпадают с теми, что были полу- Рис. 23.18. Окно SISO Design Tool в результате выполнения команды sisotool
23.2. Проектирование контуров цифрового управления ККМс использованием MATLAB Simulink 53 чены ранее в MATLAB Simulink (рис. 23.17). Инструментарий SISO Design Tool показывает в поле частотных характеристик достигнутые запасы по амплитуде и фазе и делает запись об устойчивости (неустойчивости) системы. Для цифровой системы управления ККМ с учетом алгоритма управления по среднему току дросселя синтез начинается с выбора передаточной функции корректирующего звена по выходному напряжению. В качестве корректирующего звена может быть как ПИД- регулятор, так и обычная ПФ дискретного звена. Для рассматриваемого ККМ было выбрано дискретное звено второго порядка, передаточная функция которого имеет два нуля и два полюса. Для проектируемой системы необходимо ввести усиление, нули и полюсы звена коррекции. Нули и полюсы задаются в левом верхнем углу окна, при этом нули обозначаются с помощью значка «о», а полюсы — «х». Также возможно задание комплексно-сопряженных нулей и полюсов, интеграторов и дифференциаторов. Изменение положения нулей и полюсов, а также изменение усиления системы может производиться и непосредственно в поле амплитудной или фазовой характеристики. На рис. 23.20 показаны ЛЧХ разомкнутого контура ККМ по напряжению с подобранным звеном коррекции. Параметры дискретного звена коррекции можно видеть в окне Current Compensator в верхнем левом углу рисунка. Из рис. 23.20 можно заметить значительное возрастание усиления в низкочастотной области по сравнению с исходной системой (без звена коррекции). Частота среза равна 15,6 Гц - это означает, что контур по напряжению проектируется как узкополюсный, иначе он будет влиять на форму потребляемого из сети тока, искажая ее. Существует несколько способов использования полученной в SISO Design Tool передаточной функции звена коррекции при дальнейшем анализе в среде MATLAB Simulink. Возможна автоматизация процессов через алгоритмы импорта-экспорта с использованием встроенных команд, но возможен и ручной ввод числителя и знаменателя передаточной функции в модель Simulink. В нашем случае используем следующий прием. Полученная передаточная функция экспортируется на диск под именем CompV. Точно также можно выполнить команду Save session для сохранения на диске полученных частотных характеристик разомкнутого контура ККМ (например, под именем sisoN). На диске будут сохранены файлы CompV и sisoN с расши- Рис. 23.19. Логарифмические частотные характеристики дискретной модели ККМ с перемножителем после экспорта в SISO Design Tool (C(z)=l) Рис. 23.20. Логарифмические частотные характеристики разомкнутого контура по напряжению со звеном коррекции второго порядка (C(z) = 3 х ((z - 0.974)(z - 0.965))/((z-l) (z - 0.385)))
нП>26 Глава 23. Цифровое управление корректором коэффициента мощности Zero/pole/gain: 3(z-0.974)(z-0.965) (z-1)(z-0.385) Sampling time: 2e-005 Рис. 23.21. Передаточная функция звена коррекции по напряжению, записанная как модель zpk рением .mat. Щелкнув по имени CompV в РиС. 23.22. Результаты работы двух т-файлов окне Current Directory, увидим, теперь уже (Boost_nlm и Convert-from_siso). Последние в окне Workspace имя CompV, сохраненное строки в командном окне - коэффициенты как модель zpk (zero-pole-gain модель), числителя и знаменателя передаточной функ- Щелкнув снова на этом имени, откроем ^ии CompV, записанной в виде дискретного окно Array Editor- CompV, где появится Фильтр3 передаточная функция (рис. 23.21). Теперь для возможности дальнейшей работы с моделью ККМ, в которую входят перемножитель и звено коррекции по напряжению, создадим второй /я-файл под именем Convert_from_siso. Первый оператор в этом файле запишем как: run_'Boost_nlm', где в апострофах записывается имя первого m-файла, для которого продолжается редактирование. Второй оператор файла Convert_from_siso записывается в виде: Если запустить этот файл, появятся результаты работы как первого, так и второго /я-файлов (рис. 23.22) и будут показаны строки коэффициентов при переменной z числителя и знаменателя передаточной функции CompV Для использования передаточной функции звена коррекции по напряжению в модели ККМ применен блок Discrete filter, в числитель и знаменатель которого вводятся векторы num_sisoV и den_sisoV, известные теперь из работы /и-файла Convert from siso. 23.2.5. Синтез цифровой коррекции контура по току дросселя Дополним дискретную модель ККМ каналом измерения и передачи тока дросселя. Он содержит усилитель Kis, показывающий перечет значения переменной в канале измерения тока. Кроме того, введем параметры помехоподавляющего фильтра в токовом канале (Cfci и Rfci) — звена первого порядка. Произведем следующие записи в первом /я-файле (Boost_nlm): I_real_max = Pmax/Vrms_real_min *sqrt(2) Kis = 1 //_real _max % коэффициент пересчета в канале измерения тока Rfci =1000; Cfci =10e-9;
23.2. Проектирование контуров цифрового управления ККМс использованием MATLAB Simulink На рис. 23.23 показаны частотные характеристики разомкнутой системы с подобранной коррекцией по току, которая выполнена в виде звена второго порядка с двумя нулями и двумя полюсами. Частота среза теперь получилась значительно выше. Звено коррекции по току может быть подвергнуто такой же обработке, как и звено коррекции по напряжению. Передаточная функция звена коррекции по току экспортируется под именем, например, CompI на диск, а затем в /w-файле Convert_from_siso дописывается строка, позволяющая получить числитель и знаменатель этой передаточной функции в виде коэффициентов по степеням переменной z(l/z)'. После получения передаточных функций звеньев коррекции с помощью SISO Design Tool, возможно, появится необходимость передискретизировать передаточные функции. Их работа будет происходить с другой частотой дискретизации, не равной частоте коммутации ключа. Это может быть сделано для сохранения ресурсов ЦСП, в этом случае частота работы цифровых фильтров (дискретизация) может быть меньше частоты коммутации, а также может быть различной для обоих контуров. Другой причиной передискретизации может быть стремление обеспечить необходимую точность цифрового фильтра. Чем ближе друг к другу нули и полюсы и чем дальше они от частоты дискретизации, тем выше требования к разрядности коэффициентов. В нашем случае полоса пропускания контура по напряжению не превышает 20 Гц, поэтому имеет смысл частоту дискретизации цифрового фильтра по напряжению уменьшить в 10 раз, до/su = 5кГц. Данное действие выполняется следующим набором команд в файле Convert_from_siso: Таким образом, мы получаем два новых вектора числителя и знаменателя передаточной функции контура по напряжению num_Vn den_V. 23.2.6. Окончательная модель ККМ и результаты моделирования Модель ККМ в виде разомкнутой системы управления с двумя цепями коррекции (по напряжению и току) показана на рис. 23.24. Модель может показывать частотные характеристики разомкнутой системы, причем в сумматоре оба сигнала должны быть со знаком плюс. Теперь можно провести анализ ККМ с обоими контурами управления во временной области. Схема модели показана на рис. 23.25. Она содержит два блока Рис. 23.23. Логарифмические частотные характеристики разомкнутого контура с найденной в SISO Design Tool коррекцией по току C(z) =1.5 х ((z - 0.625)(z - 0.864))/((z - 0.0627) (z - 0.999))
128 Глава 23. Цифровое управление корректором коэффициента мощности Рис. 23.24. Разомкнутая модель ККМ с двумя цепями коррекции (по напряжению и току) Рис. 23.25. Замкнутая модель ККМ с использованием диодного выпрямителя сетевого напряжения цифровых фильтров (Discrete Filter), блоки ограничения Saturation для ограничения максимального и минимального коэффициента заполнения выходов цифровых фильтров и аналоговых сигналов, а также несколько блоков для формирования опорного сигнала по току. Действующее значение сетевого напряжения является расчетной константой. Сигнал, равный квадрату обратного значения действующего значения напряжения, фор-
23.3. Вопросы применения ЦСП ЧЭ Рис. 23.26. Входной ток (ток сети) — результат Рис. 23.27. Ток дросселя (верхняя осцилло- работы замкнутой модели грамма) и управляющий сигнал — коэффициент заполнения мируется в блоке invjrms с последующим перемножением на сигнал с выхода цифрового фильтра по напряжению. Далее полученный сигнал подается на перемножитель (блок /V), определяющий основной алгоритм управления. На другой вход перемножителя подается выпрямленное синусоидальное напряжение, то есть мгновенное значение сетевого напряжения. Блок Fcril предназначен для небольшого смещения сигнала, чтобы уменьшить искажение сигналов при переходе входного тока ККМ через 0. И, наконец, выход перемножителя умножается на коэффициент Km. Входное напряжение сети подается в модели через диодный выпрямитель D\ -D\. В модели сопротивление нагрузки не изменяется, и его постоянное значение (К) определяется в m-файле в зависимости от задаваемой мощности на выходе ККМ. Представленные ниже осциллограммы работы модели получены для выходной мощности ККМ, равной 1000 Вт, и периодического режима. Рис. 23.26 показывает входной ток ККМ (ток потребляемый от сети). На рис. 23.27 показаны ток дросселя ККМ и управляющий сигнал. Модель, показанная на Рис. 23.28. Замкнутая модель ККМ без использования диодного выпрямителя
Ci° Глава 23. Цифровое управление корректором коэффициента мощности рис. 23.25, имеет существенный недостаток: силовые диоды выпрямителя D\ -Z4, являющиеся нелинейными моделями из библиотеки SimPowerSystem, замедляют счет. При резком изменении нагрузки требуется тщательный подбор установок параметров счета, таких как минимальный шаг и относительная точность решения. Поэтому для анализа поведения ККМ при изменении нагрузки можно воспользоваться блоками Sine Wave и ABS из библиотеки Simulink. Затем выпрямленный синусоидальный сигнал поступает через зависимый источник напряжения на вход повышающей схемы импульсного регулятора напряжения ККМ. На рис. 23.28 можно увидеть схему модели с внесенными изменениями, а на рис. 23.29 переходный процесс на выходе при набросе нагрузки с холостого хода до 70% от номинальной (700 Вт). Рис. 23.29. Реакция на выходе ККМ на скачок нагрузки до мощности 700 Вт 23.3. Вопросы применения ЦСП После проведения временного анализа необходимо привести передаточные функции к виду, удобному для использования в ЦСП. Для этой цели можно применить команду filt, с помощью которой рассчитываются все коэффициенты цифровых фильтров. Ниже показан фрагмент текста /w-файла, который включен в файл Convert_from_siso, с учетом формата коэффициентов Q26: [num_V,den_V] = tfdata(Wzcv_0, V) Wzcv = filt(num_V,den_V,l/fsu) bu2s = round(num_V(3)*2A26) buls = round(num_V(2)*2/426) Рис. 23.30. Результат работы команды filt, примененной для приведения передаточных функций корректирующих звеньев к виду удобному для использования в ЦСП
23.3. Вопросы применения ЦСП ЧЭ buOs = round(num_V(l)*2A26) au2s = -round(den_V(3)*2A26) auls = -round(den_V(2)*2A26) auOs = -round(den_V(l)*2A26) [num_siso,den_siso] = tfdata(Comp,V) Wzci = filt(num_siso,den_siso,l/fsi) bi2s = round(num_siso(3)*2A26) bils = round(num_siso(2)*2A26) biOs = round(num_siso(l)*2A26) ai2s = -round(den_siso(3)*2A26) ails = -round(den_siso(2)*2A26) aiOs = -round(den_siso(l)*2A26) Окно после запуска этих команд показано на рис. 23.30. Программная реализация управления ККМ выполнена согласно принципам, изложенным в гл. 13,20,21. Так, с учетом принципа единичного прерывания на рис. 23.31 представлены диаграммы работы ШИМ, запуска работы АЦП и прерывания. Настройка периферийных устройств следующая: — счетчик ШИМ-канала двухсторонний; Рис. 23.31. Диаграммы работы ШИМ, запуска АЦП и прерывания, реализованные в ЦСП
3 2 Глава 23. Цифровое управление корректором коэффициента мощности - выход ШИМ становится в высокое состояние на спаде счетчика (спад «пилы») и в низкое — на фронте (подъеме «пилы»); - преобразования АЦП запускаются при достижении счетчиком нуля в каждом периоде счетчика; - прерывание запускается в каждом периоде счетчика; - включены зоны блокировки выходов ШИМ: зона мгновенной защиты по току (от периода к периоду, СВС) и зона отключения выхода ШИМ при выключении устройства (однократное срабатывание, OSHT); - секвенсоры АЦП включены каскадно; - последовательный режим выключен. Ниже представлена подпрограмма настройки ШИМ: void InitEPwm(void) { EALLOW; SysCtrlRegs.PCLKCRO.bit.TBCLKSYNC = 0; //остановка входного счетчика EDIS; // основные настройки для EPWM1 (Boost) //TBCLK EPwml Regs.TBCTL.bit.PRDLD = TBJMMEDIATE; //загрузка периода //мгновенно EPwm l Regs.TBPRD = 1000; //период EPwml Regs.TBPHS.half.TBPHS = 0; //фаза EPwm 1 Regs.TBCTR = 0; //обнуление счетчика // Compare values EPwmlRegs.CMPA.half.CMPA = 0;//начальный коэф. заполнения // Counter mode EPwmlRegs.TBCTL.bit.CTRMODE = TB_COUNT_UPDOWN; //режим счетчика EPwmlRegs.TBCTL.bit.PHSEN = TB_DISABLE; //выравнивание по фазе //отключено EPwml Regs.TBCTL.bit.SYNCOSEL = TB_CTR_ZERO; //SYNCOUT- > CTR = ZERO EPwmlRegs.TBCTL.bit.HSPCLKDIV = TB_DIV1; //TBCLK = SYSCLK EPwmlRegs.TBCTL.bit.CLKDIV= TB_DIV1; // Буферизация EPwml Regs.CMPCTL.bit.SHDWAMODE = CC_SHADOW; EPwmlRegs.CMPCTL.bit.LOADAMODE = CC_CTR_PRD; //загрузка коэф. //заполн. при CTR = Period // Set actions EPwmlRegs.AQCTLA.bit.CAU = AQ_CLEAR; EPwml Regs.AQCTLA.bit.CAD = AQ_SET; // Event Trigger EPwml Regs.ETSEL.bit.SOCAEN = 1; EPwml Regs.ETSEL.bit.SOCASEL = ET_CTR_ZERO; //SOCA по достижении 0 EPwmlRegs.ETPS.bit.SOCAPRD= 1; //генерация импульса SOC //в каждый период EPwml Regs.ETSEL.bit.INTSEL = 2; //прерывание на периоде
23.3. Вопросы применения ЦСП 533 j| EPwmlRegs.ETSEL.bit.INTEN = 1; //разрешение прерываний EPwmlRegs.ETPS.bit.INTPRD = ET_1ST; //прерывание каждый //период //Trip Zone EALLOW; EPwmlRegs.TZSEL.bit.OSHT3 = 1; //разрешено TZ3 EPwmlRegs.TZSEL.bit.CBC2 = 1; //разрешено TZ2 EPwm 1 Regs.TZCTL.bit.TZA = TZ_FORCE_LO; //ШИМ становится //в состояние «О» EPwm 1 Regs.TZCTL.bit.TZB = TZ_NO_CHANGE; //ШИМ не изменяется EDIS; Ниже представлена подпрограмма настройки модуля АЦП: void InitAdc(void) { extern void DSP28x_usDelay(Uint32 Count); /**ADC power-up **/ AdcRegs.ADCREFSEL.bit.REF_SEL = 1; //внешний опорный сигнал AdcRegs.ADCTRL3.bit.ADCBGRFDN = 3; AdcRegs.ADCTRO.bit.ADCPWDN = 1; DELAY_US(ADC_usDELAY); /**Окно выборки**/ AdcRegs.ADCTRL3.bit.ADCCLKPS = 2; //Fclk = ADCCLK = HSPCLK/4 = 12,5 МГц AdcRegs.ADCTRLl .bit.ACQ_PS = 4; //ширина импульса SOC (= 2*ADCPERIOD) AdcRegs.ADCTRLl.bit.CPS = 0; //прескалер для HSPCLK /**ADC Sequencer и прерывания**/ AdcRegs.ADCTRLl.bit.SEQ_CASC = 1; //каскадное включение SEQ1 и SEQ2 AdcRegs.ADCTRLl.bit.SEQ_OVRD = 0; AdcRegs.ADCTRL1 .bit.CONT_RUN = 0; //последовательный режим выключен AdcRegs.ADCTRL2.bit.EPWM_SOCA_SEQl = 1; //вкл SOCA /**Число преобразований и каналы**/ AdcRegs.ADCMAXCONV.all = 15; AdcRegs.ADCCHSELSEQl.bit.CONVOO = Vb_nc; AdcRegsADCCHSELSEQl.bit.CONVOl = Vo_nc; AdcRegs.ADCCHSELSEQl.bit.CONV02 = Vac_nc; AdcRegs.ADCCHSELSEQl.bit.CONV03 = Vo_nc; AdcRegs.ADCCHSELSEQ2.bit.CONV04 = Vrms_nc; AdcRegs.ADCCHSELSEQ2.bit.CONV05 = Vo_nc; AdcRegs.ADCCHSELSEQ2.bit.CONV06 = Ib_nc; AdcRegs.ADCCHSELSEQ2.bit.CONV07 = Vo_nc; AdcRegs.ADCCHSELSEQ3.bit.CONV08 = Io_nc; AdcRegs.ADCCHSELSEQ3.bit.CONV09 = Vo_nc;
> 3 4 Глава 23. Цифровое управление корректором коэффициента мощности AdcRegs.ADCCHSELSEQ3.bit.CONV10 = Ipr_nc; AdcRegs.ADCCHSELSEQ3.bit.CONVl 1 = Vo_nc; AdcRegs.ADCCHSELSEQ4.bit.CONV12 = ta_nc; AdcRegs.ADCCHSELSEQ4.bit.CONV13 = Vo_nc; AdcRegs.ADCCHSELSEQ4.bit.CONV14 = tdc_nc; AdcRegs.ADCCHSELSEQ4.bit.CONV15 = Vo_nc; 23.4. Экспериментальные результаты разработки ККМ с цифровым сигнальным процессором В табл. 23.1 представлены основные результаты, полученные при испытаниях разработанного ККМ. Таблица 23.1 Параметры ККМ, полученные в результате разработки Напряжение сети, В ПО 110 170 170 220 220 220 280 280 Мощность нагрузки, Вт х.х. 500 х.х. 500 х.х. 500 1000 х.х. 500 Выходное напряжение ККМ, В 424 420 424 419 424 423 420 421 420 Коэффициент мощности, % | «100 1 99,3 1 98,5 99,4 ] 98,5 На рис. 23.32 приведены осциллограммы переменной составляющей напряжения на выходе (верхняя осциллограмма) и тока дросселя ККМ (нижняя). Измерение тока выполнялось на выходе дифференциального усилителя (рис. 23.32) с целью получения сигнала, не содержащего высокочастотной составляющей. Рис. 23.32. Осциллограммы работы ККМ с Рис. 23.33. Выходное напряжение в результа- цифровым управлением. Переменная состав- те скачка нагрузки от холостого хода до мощ- ляющая напряжения на выходе (верхняя) и ности 700 Вт ток дросселя (нижняя)
23.4. Экспериментальные результаты разработки ККМ с цифровым сигнальным процессором Рис. 23.34. Процесс пуска ККМ. Верхняя Рис. 23.35. Ток в дросселе ККМ при срабаты- осциллограмма - ток дросселя, нижняя - на- вании мгновенной защиты пряжение на выходе На рис. 23.33 показан результат наброса нагрузки от холостого хода до мощности на выходе 700 Вт. Некоторое расхождение с моделью (рис. 23.29) объясняется тем, что в эксперименте для изменения тока нагрузки использовался автомат защиты, имеющий заметную инерционность. На рис. 23.34 показан плавный пуск ККМ при минимальной нагрузке. Такой процесс запуска наблюдался и при любом напряжении сети. Срабатывания мгновенной защиты по току можно видеть на рис. 23.35. Как было отмечено раньше, защита была реализована внешним компаратором при использовании блокировки ШИМ ЦСП.
ГЛАВА 24 ЦИФРОВОЕ УПРАВЛЕНИЕ DC-DC ПРЕОБРАЗОВАТЕЛЕМ В главе рассматривается полностью цифровое управление DC-DC преобразователем на примере несимметричного полумостового преобразователя (НПП). При этом задача ставится таким образом, что DC-DC преобразователь входит в состав двухка- скадного транзисторного высокочастотного выпрямителя, причем обе силовые ступени (корректор и DC-DC преобразователь) управляются от одного ЦСП. Поэтому в программной реализации предполагается, что алгоритмы управления ККМ взаимодействуют с алгоритмами управления преобразователем. На сегодняшний день цифровое управление DC-DC преобразователя рассматривается в литературе не столь широко, как управление ККМ [98]. По-видимому, это связано с определенными трудностями, поскольку к качеству выходного напряжения DC-DC преобразователя предъявляются, как правило, более жесткие требования, а частота коммутации может быть значительно более высокой, чем в ККМ. Тем не менее, с каждым годом растет число публикаций, связанных с цифровым управлением DC-DC преобразователями. Совместное управление DC-DC преобразователя и ККМ от одного ЦСП заслуживает особого внимания, поскольку может найти в практике широкое применение. С точки зрения практической реализации управление двумя силовыми блоками с различными законами широтно-импульсной модуляции от одного ЦСП является довольно сложной задачей, требующей разумного использования ресурсов процессора. Необходимо отметить, что цифровое управление DC-DC преобразователями, также как и ККМ, может быть реализовано не только на основе ЦСП, но и с применением ПЛИС, а также с использованием заказных цифровых микросхем [95]. 24.1. Силовая часть преобразователя Непрерывная линейная модель НПП рассматривалась в гл. 9, а позже (в 16-й главе) было показано проектирование НПП с аналоговым управлением. Здесь для удобства еще раз воспроизведем схему преобразователя (рис. 24.1), которая практически полностью повторяет рисунок, показанный в гл. 16 (рис. 16.3). Будем как и Рис. 24.1 Схема несимметричного полумостового преобразо- раньше считать что вто- вателя с трансформатором тока (ТТ) в цепи первичной об- ричные витки \v и W МОТ1ШСИЛОВОГ0^ансФ0РматоРа(Тр)УпРавлениеДРайвеРом г, 21 22 от ШИМ цифрового сигнального процессора равны. В отличие от моде- ^ к к
24.2. Обоснование выбора общей структуры управления 5 3 лей, рассматриваемых в гл. 9 и 16, здесь мы применим при проектировании непрерывную нелинейную модель (ННМ), предоставляя в дальнейшем компьютеру проводить линеаризацию в процессе решения конкретной задачи. Ограничение равенства вторичных витков силового трансформатора (W2X = W22) может быть всегда снято при выводе модели—линейной или нелинейной, если это требуется в каком- то другом случае. Для вывода ННМ, считая что работа преобразователя происходит в двух временных интервалах — импульса и паузы, — нам потребуются усредненные матрицы НПП, полученные в гл. 9. Требуемые матрицы входят в уравнение (9.1.5), которое здесь приводится без вывода: (24.1.1) Вектор переменных состояния — дс, вектор входных сигналов — и. Линеаризация (24.1.1) не проводится, поскольку применяем нелинейную модель, матрицы Ad и Bd возьмем из полученных в гл. 9 матриц AD и BD, заменив символы D (коэффициент заполнения в установившемся режиме) на d-коэффициент заполнения в произвольном режиме. В результате получим: (24.1.2) где Z,M — индуктивность намагничивания обмотки Wx силового трансформатора. В (24.1.1) векторы х и и определены так же, как в гл. 9: Ток / — внешний возмущающий источник тока, действующий на выходе преобразователя. Из (24.1.1) и (24.1.2) получим систему дифференциальных уравнений: (24.1.3)
& Глава 24. Цифровое управление DC—DC преобразователем По нелинейной системе уравнений (24.1.3) построим эквивалентную электрическую схему НПП, которая и является непрерывной нелинейной моделью (рис. 24.2). Возможно и Рис. 24.2. Непрерывная нелинейная модель несимметричного ДРУгое изображение эк- полумостового преобразователя Бивалентной схемы, (W21 = W22 = W2, n = VSyw,) когда конденсатор С и индуктивность L^ меняются местами, а источник тока niL(l — 2d), включенный теперь параллельно конденсатору, изменяет свое направление на противоположное. И в этом случае будет полное соответствие схемы системе уравнений (24.1.3). Получение системы дифференциальных уравнений (24.1.3) основано на использовании формального подхода [23, гл. 9], когда применяются матрицы состояний схемы А и входных сигналов В. Такой подход формализован и позволяет свести ошибки при выводе конечных систем уравнений к минимуму. Более простым, по-видимому, является метод получения системы дифференциальных уравнений непрерывной системы из исходной импульсной, в котором вообще не требуется записи матриц даже для систем высокого порядка. Этот метод основан на тех же принципах, что и рассмотренный ранее: 1. Запись уравнений Кирхгофа на временных интервалах работы схемы означает, что все переменные понимаются не только как мгновенные, но и как средние значения на этих интервалах. 2. Средние значения переменных в различных интервалах (например, импульса и паузы) одного периода равны между собой. 3. Усредненная непрерывная модель преобразователя получается сложением каждого уравнения, записанного на основании законов Кирхгофа для интервала импульса и умноженным на d (коэффициент заполнения), с аналогичным уравнением, записанным для интервала паузы и умноженным на (\-d). Покажем применение метода без использования матриц на том же примере несимметричного полумостового преобразователя (рис. 24.1). Для этого производим запись уравнений Кирхгофа для интервалов импульса и паузы, учитывая, что индуктивность намагничивания трансформатора (Z,M) приведена к его первичной обмотке. Коэффициент трансформации п понимается как отношение витков: Учитываем также источник возмущающего тока / на выходе. При записи уравнений по законам Кирхгофа удобно пользоваться схемами замещения НПП для интервалов импульса и паузы (рис. 9.6 и 9.7). Интервал импульса tu (открыт транзистор 71, заперт транзистор Т2):
24.2. Обоснование выбора общей структуры управления 53^ (24.1.4) Интервал паузы (tn) (открыт транзистор 72, заперт транзистор 71: (24.1.5) Умножив левую и правую части систем уравнений (24.1.4) и (24.1.5) на dn (1 -d) соответственно и сложив уравнения, получим непрерывную нелинейную модель НПП, которая в точности повторяет систему уравнений (24.1.3). 24.2. Обоснование выбора общей структуры управления При разработке необходимо было учитывать, что ЦСП управляет не только преобразователем, но и ККМ. Процессор гальванически связан с цепями корректора, то есть находится на первичной стороне преобразователя. Наиболее простой путь передачи выходного напряжения преобразователя к ЦСП, по-видимому, заключается в применении оптрона, который должен обладать высоким быстродействием, иметь хорошую линейность характеристики, а технологический разброс характеристик должен быть весьма небольшим. Специализированный оптрон фирмы Agilent [75] типа диод-диод, имеющий нелинейность порядка 0,01 %, технологический разброс коэффициента передачи ±5% и высокое допустимое напряжение между входом и выходом вполне подходит для этой цели. Для обеспечения столь качественных характеристик в оптроне применены два фотодиода, один из которых необходим для создания компенсирующего сигнала по цепи обратной связи в оптроне. Аналоговая цепь передачи выходного напряжения преобразователя к ЦСП показана на рис. 24.3. ОУ DA\ — дифференциальный усилитель производит масштабирование уровня выходного напряжения преобразователя, DA2 — оптрон с компенсацией, транзисторы 71... 74 с цепями стабилизации рабочих режимов выполняют передачу и усиление сигнала. При выборе типа датчика тока и места его включения учитывалась «привязка» ЦСП к первичной стороне преобразователя. Один токовый трансформатор (ТТ) с подключением его первичной обмотки в диагональ несимметричного полумоста должен
Глава 24. Цифровое управление DC—DC преобразователем Рис. 24.3. Аналоговая цепь передачи выходного напряжения преобразователя к ЦСП Рис. 24.4. Схема использования напряжения вторичной обмотки трансформатора тока для мгновенной защиты силовых транзисторов и формирования выходной характеристики DC-DC преобразователя Рис. 24.5. Вторая ступень защиты от перенапряжения на выходе преобразователя решать две задачи: обеспечивать мгновенную защиту устройства по току и участвовать в формировании выходной характеристики преобразователя. Нарис. 24.4 показано, что напряжение от вторичной обмотки 7Тчерез диод D\ поступает на низкоомное сопротивление Rr Это напряжение формируется в интервале D работы НПП, когда открыт верхний транзистор полумоста и от входного источника (U^) DC-DC преобразователем потребляется ток. Полученный таким образом токовый сигнал используется двумя узлами: компаратором мгновенной защиты по пиковому току DAI и операционным усилителем DA2, выходной сигнал которого, поступающий на АЦП,
24.3. Особенности широтно-импулъсной модуляции при выбранном алгоритме цифрового управления 5?1 Рис. 24.6. Узел формирования управляющих импульсов силовых ключей моста пропорционален среднему входному току НПП. Процессор использует выходной сигнал ОУ DA2 для формирования выходной характеристики НПП. Для этого ток нагрузки (выходной ток преобразователя) вычисляется процессором с помощью измеренного сигнала и известных значений входного и выходного напряжений. Сигнал с выхода компаратора DAX через транзистор 71 поступает на специализированный вход процессора Trip Zone (TZ), с помощью которого обеспечивается практически мгновенное (с задержкой не более 25 не) отключение управляющих сигналов силовых транзисторов. Опорный сигнал компаратора DAX поступает от простейшего цифро- аналогового преобразователя, выполненного на основе дополнительного ШИМ- канала процессора (частота 50 кГц) и усредняющей RC-цепи. Аналогичным образом в плате цифрового управления выполняются все защиты, требующие внешних компараторов. Защита по выходному напряжению преобразователя выполняется двухступенчатой, что обеспечивает повышенную надежность от превышения напряжения на выходе для потребителя. Первая ступень выполняется программно — она срабатывает при превышении уровня выходного напряжения на небольшую величину (например, 5 %) относительно заданного значения. При этом ЦСП отключает управляющие импульсы от силовых ключей до тех пор, пока выходное напряжение не снизится до заданного уровня. Вторая ступень защиты — аппаратная; реализуется на случай появления неисправности в основной цепи измерения выходного напряжения (рис. 24.1). Вторая ступень защиты выполнена с использованием компаратора DAX и оптрона DA2 (рис. 24.5), выходной сигнал поступает на соответствующий вход драйвера, управляющего силовыми ключами. Узел формирования управляющих импульсов силовых ключей полумоста выполнен на основе стандартного драйвера IR2110 (рис. 24.6). 24.3. Особенности широтно-импульсной модуляции при выбранном алгоритме цифрового управления Проектируемый преобразователь должен работать в режиме стабилизации выходного напряжения с возможностью изменения его уровня и в режиме стабилизации выходного тока. Оба режима являются независимыми и требуют формирования цепей коррекции для каждого из них.
42 Глава 24. Цифровое управление DC—DC преобразователем При аналоговом управлении и стабилизации выходного напряжения часто используется система, в которой помимо контура по напряжению используется токовый контур, реализующий управление по максимальному или среднему току ключей, или каких-то других элементов силовой схемы. Такое построение позволяет повысить запас устойчивости, обеспечивая при этом и более широкую полосу пропускания разомкнутой системы (большую частоту среза). В свою очередь, более широкая полоса пропускания позволяет обеспечить значительно более быстрые и с меньшим перерегулированием переходные процессы. Например, в гл. 16 рассматривалось управление НПП, в котором применялся традиционный ШИМ-контроллер. Сигнал, пропорциональный току первичной обмотки силового трансформатора за время импульса, добавлялся к внешнему пилообразному сигналу компаратора ШИМ-контроллера. Применение ЦСП вносит особенности, при которых описанный выше способ построения системы управления становится нецелесообразным. Большие возможности создания самых различных алгоритмов, предоставляемые ЦСП, позволяют применить адаптивное управление или построение системы управления с переменными параметрами. Его смысл в данном случае состоит в том, что для режима стабилизации выходного напряжения сигнал по току вообще не используется. Теперь коэффициенты цепей коррекции предлагается выполнять переменными: при малом сигнале рассогласования в контуре управления (вблизи установившегося режима) используются коэффициенты, обеспечивающие устойчивость и требуемое качество выходного напряжения в низкочастотной области; при большом сигнале рассогласования коэффициенты обеспечивают широкую полосу пропускания и/или большой коэффициент усиления. После расчета цепей коррекции по напряжению производится расчет аналогичных цепей для режима стабилизации тока. Рассмотрим последовательно эти два важных этапа проектирования, создав предварительно модель преобразователя с необходимыми дополнительными узлами. 24.3.1. Непрерывная нелинейная модель НПП в MATLAB Simulink Прежде всего запишем основные исходные данные проектируемого преобразователя: входное напряжение, В 420...425; выходное напряжение номинальное, В 54; выходное напряжение максимальное, В 60; выходное напряжение срабатывания защиты, В 62; выходная номинальная мощность, Вт 500; частота переключения, Гц 100-Ю3. Перечислим рассчитанные параметры элементов схемы преобразователя, которые будут необходимы при проектировании системы управления: индуктивность намагничивания силового трансформатора, мкГ 180; емкость конденсатора первичной цепи, мкФ 1; эквивалентное последовательное сопротивление конденсатора первичной цепи, мОм 10;
24.3. Особенности широтно-импульсной модуляции _-^ при выбранном алгоритме цифрового управления индуктивность выходного дросселя, мкГ 29; сопротивление обмотки выходного дросселя, мОм 1; емкость одного выходного конденсатора, мФ 1; эквивалентное последовательно сопротивление; одного выходного конденсатора, мОм 200; число витков первичной обмотки силового трансформатора 34; число витков вторичной обмотки силового трансформатора W2X = W22, 10. Нам понадобятся несколько соотношений, относящихся к работе силовой части преобразователя. Регулировочная характеристика НПП (в режиме непрерывного тока выходного дросселя): Umx=2nUaxD{\-D). (24.3.1) Из последнего соотношения выразим коэффициент заполнения для области 0 < D < 0,5, устанавливающий режим преобразователя при заданном U^: (24.3.2) Прежде чем представить модель НПП в MATLAB Simulink, необходимо сделать несколько пояснений. Для исключения эффекта наложения спектра, что связано с частотами сигнала, превышающими частоту Найквиста, выходное напряжение преобразователя проходит через два одинаковых апериодических звена (фильтра), обозначенных в модели как Wl, W2. До поступления выходного напряжения преобразователя на вход АЦП его необходимо уменьшить таким образом, чтобы при максимальном 1/вых напряжение на входе АЦП не превышало максимально допустимого значения (в нашем случае 3 В). В модели для этого будет предусмотрен усилитель с именем Gain3. В ЦСП производится преобразование, ограничивающее данные максимальным значениям, равные единице. Тем самым 12-битный сигнал АЦП преобразуется в 16-битный Q15 формат. В модели для этой цели потребуется усилитель с коэффициентом передачи 1/3 (для усилителя Gainl). Обработка входного напряжения преобразователя на этом не заканчивается. Необходимо принять меры, снижающие погрешность Ueblx из-за недостаточного разрешения АЦП. Для этого при цифровом управлении применяется выборка с запасом по частоте (п. 14.4.1). В нашем случае ЦСП имеет конвейерный 12-битный АЦП (гл. 13). С учетом работы ЦСП за один период дискретизации и количества измеряемых сигналов имеется возможность за этот период измерить выходное напряжение 8 раз. Следовательно, при частоте дискретизации 50 кГц, соответствующей частоте обработки прерывания, запуска АЦП и дискретизации некоторых цифровых фильтров, получим частоту передискретизации равную 400 кГц. В соответствии с соотношениями (14.4.1) и (14.4.2) шум квантования до перевыборки по частоте составит: что соответствует ошибке в выходном напряжении (при 1/дых = 54 В):
E2 Глава 24. Цифровое управление DC—DC преобразователем Последнее соотношение следует из (14.4.1) и определения ошибки квантования для заданного напряжения (q0Ui, п. 14.4). Используя определение коэффициенты перевыборки (14.4.4), определим число дополнительных битов (л^), которые можно получить при перевыборке, из соотношения: /os = 4n--/ma, (24.3.3) Из последней формулы, приняв fmax = 50 кГц и fos =400 кГц (частота передискретизации), получим лдоп = 1,5. В результате передискретизации шум квантования оказывается равным: что соответствует ошибке в выходном напряжении (расчет для Ueblx = 54 В): Полученный результат лучше исходного более чем в 2,5 раза. Механизм перевыборки обеспечивается усреднением сигнала. В нашем случае минимальная разрядность после усреднения должна составить 14 битов. При усреднении по восьми точкам мы можем использовать 16-битные сигналы, поскольку разрядность величин в ЦСП составляет 16 битов. Входной сигнал АЦП, занимающий 12 битов, накапливается и усредняется с последующим сдвигом результата вправо для 16 битов. Для простоты анализа в системе MATLAB Simulink усреднение по восьми точкам представлено блоком AveV без привязки к битности сигналов. Поскольку в реальных преобразователях частота среза разомкнутого контура обычно не превышает 5кГц, а частота дискретизации значительно более высокая, для уменьшения влияния шумов можно применить дополнительное программное усреднение по восьми точкам на частоте дискретизации. Программное усреднение, по-существу, не отличается от накопления и сдвига результата, что было применено для блока АЦП. Поэтому в модели использован второй такой же блок, как AveV, названный AveVl. Для хранения и расчета многочисленных параметров НПП и удобства использования встроенных функций MATLAB создадим ди-файл, показанный ниже. cid Vin=42G; % входное напряжение Vo=54; %выходное напряжение Vomax=62; Pmax=12GG; %максимальная мощность Р=500; %номинальная мощность fs=100e3; % частота переключения Lm= 18 G е - 6; Ч индуктивно с ть намаг ничивания СЬ=1е-6; %емкость конденсатора в первичной цепи Rcb=10e-3; Lo=29e-6; % индуктивность выходного дросселя Rlo=le-3; Со=1е-3; %емкость одного выходного конденсатора
24.3. Особенности широтно-импулъсной модуляции при выбранном алгоритме цифрового управления Рис. 24.7. Дискретная линейная модель НПП с необходимыми дополнительными блоками Рис. 24.8. Построение блока усреднения по восьми точкам
wS46 Глава 24. Цифровое управление DC—DC преобразователем Vo = Vin*D % напряжение на конденсаторе в первичной цепи %в установившемся режиме % % параметры фильтра и %коэф-ты измерения вых. напряжения Rfu=1000;Cfu=5e-9; Kusadc=3/Vomax %коэффициент передачи усилителя Gain 3 Kuscpu=l/3 %коэффициент передачи усилителя Gain 1 fsu=50000; %частота дискретизации Обозначения некоторых параметров НПП в /w-файле отличаются от тех, что были приняты для данной схемы в гл. 9 и при выводе системы уравнений (24.1.3). Комментарии к данному файлу дают необходимые пояснения. Пассивные элементы схемы — конденсаторы в первичной цепи и на выходе, выходной дроссель — имеют последовательные активные сопротивления. На рис. 24.7 показана модель НПП, построенная в соответствии с системой уравнений (24.1.3) и схемой рис. 24.2. Модель дополнена блоками, о которых подробно говорилось в этом разделе, последовательно включенными в канал измерения и обработки выходного напряжения. Модель представляет собой разомкнутую систему управления, входным сигналом которой (1п\) является малосигнальный параметр — коэффициент заполнения. Входной сигнал проходит через фиксатор нулевого уровня (ZOH) и звено задержки на один такт, что соответствует времени, которое затрачивается на обработку сигнала в ЦСП. Звено ZOH указывает на дискретность модели. Коэффициент передачи 0,5 усилителя Gain2 веден для ограничения максимального коэффициента заполнения на уровне 0,5, что требуется регулировочной характеристикой преобразователя. На рис. 24.8 показано построение блоков усреднения по восьми точкам (AveV, AveVl). 24.3.2. Корректирующее звено в канале регулирования и стабилизации выходного напряжения Рассмотрим процесс расчета корректирующей цепи по выходному напряжению при использовании MATLAB Simulink. Построим звено коррекции, используя для этой цели ПИД-регулятор. Частоту дискретизации регулятора выберем равной 50 кГц, то есть равной половине частоты коммутации ключей НПП. Такая же частота была выбрана в цифровом фильтре коррекции по току ККМ. Используя приемы подбора корректирующих звеньев в SISO Design Tool, создадим передаточную функцию с двумя комплексными нулями и интегратором. На рис. 24.9 показано окно SISO Design Tool с выбранной передаточной функцией звена коррекции. Работа с SISO Design Tool была показана в предыдущих главах. Теперь для получения коэффициентов ПИД-регулятора по Рис. 24.9. Выбранная передаточная функция звена коррекции по напряжению (нули передаточной функции комплексные) (C(z) = 7.16 х x(zA2-1.91z + 0.919)/(z-l))
24.3. Особенности широтно-импульсной модуляции -4, при выбранном алгоритме цифрового управления имеющейся передаточной функции воспользуемся соотношениями, приведенными в гл. 14. В данном случае нам понадобится соотношение (14.8.3), записанное также здесь для удобства: (24.3.4) и соотношение (14.8.20), которое также записываем здесь: (24.3.5) Выражение (24.3.5) записано через коэффициенты К3, Ы и Ы, которые определяются с помощью SISO Design Tool. С помощью (24.3.4) и (24.3.5) найдем соотношения между искомыми коэффициентами Ар, Kf и KD и известными теперь с помощью MATLAB коэффициентами К3, Ьх и й2.Эти соотношения аналогичны тем, что были приведены в разд. 14.8: (24.3.6) (24.3.7) (24.3.8) Приведенные здесь соотношения для ПИД-регулятора и его коэффициентов относятся к непрерывной системе. В нашем же случае, поскольку применен прямой метод проектирования дискретной системы, мы получили результат, показанный в SISO Design Tool, в виде дискретного звена коррекции. Необходимо сделать переход от такого звена к непрерывному, затем воспользоваться соотношениями (24.3.6...24.3.8), после чего перейти к построению дискретного ПИД-регулятора, коэффициенты которого равны: (24.3.9) где KPd, Kw Км - коэффициенты дискретного ПИД-регулятора; Td — период дискретизации. Определение требуемых коэффициентов дискретного ПИД-регулятора производится в компьютере в несколько этапов: 1. По найденной с помощью SISO Design Tool передаточной функции звена коррекции и сохраненной, например, под именем Сотри, определяются с помощью tfdata коэффициенты в порядке убывания степеней z. 2. С помощью функции tf при известных теперь коэффициентах числителя и знаменателя, а также при известной частоте дискретизации определяется передаточная функция в другой форме записи (теперь передаточная функция записывается не в виде нулей и полюсов). 3. Выполняется переход от дискретной передаточной функции к непрерывной с помощью функции MATLAB die и известном методе преобразования (например, «matched»).
Qs Глава 24. Цифровое управление DC—DC преобразователем 4. По известной передаточной функции непрерывного звена с помощью функции tfdata определяются ее числитель и знаменатель в виде коэффициентов по спадающим степеням переменной s. 5. Производится пересчет коэффициентов передаточной функции непрерывного звена в коэффициенты непрерывной передаточной функции ПИД- регулятора согласно (24.3.6...24.3.8). 6. Определяются коэффициенты дискретного ПИД-регулятора в соответствии с (24.3.9) с учетом частоты дискретизации. Перечисленные операции для определения коэффициентов дискретного ПИД-регулятора реализованы во втором /я-файле, показанном ниже. Принятые обозначения для коэффициентов становятся понятными из комментариев к этому файлу. [num_pid,den_pid] = tfdata(CoTpH,V) %Compu - передаточная % функция при экспорте из Siso Design Tool Wzu_pid=tf(num_pid,den_pid,l/fsu) %Определение дискретной %передаточной фунции Wsu_pid=d2c(zu_pid, 'matched 1) %Определение непрерывной %передаточной функции [num_pid,den_pid]=tfdata(su_pid,lv') %Определение числителя и %знаменателя Wsu_pid Kpu= num_p i d(2) % Определение коэффициентов непрерывного % П ИД-регулятора Kiu=num_pid(3)/KpH Kdu=num_pid( 1 )/Кри Kcu =1 %3адание коэффициента передачи компенсатора насыщения KpV_f=Kpu % Определение коэффициентов дискретного KiV_f=Kiu*l/fsu %ПИД-регулятора KdV_f=Kdu*fsu %c учетом частоты дискретизации После запуска m-файла получаются следующие коэффициенты дискретного ПИД-регулятора: KPd = 0,6133; Ки = 0,08; KDd = 11,76. Следующая операция заключается в переводе коэффициентов ПИД-регулятора в формат, используемый ЦСП. Для этого для каждого из коэффициентов определяется порядок представления в формате Q. При использовании 32-битных регистров, свойственных выбранному типу ЦСП, старший бит отводится для знака числа. Необходимо теперь определить «двоичную точку» в определенном месте цепочки бит. Все число будет разбито на две части: старшие разряды будут интерпретироваться как целая часть (со знаком), в то время как младшие представят дробную часть от единицы. Значение дробной части, как говорят, хранится в Q формате. Целая часть числа (обозначим ее какх) с учетом одного бита, отведенного под знак, связана со всей оставшейся длиной регистра и с числом (?, представляющим число битов дробной части, соотношением: (24.3.10) Из последней формулы определим формат Q числа, записанного в регистре:
24.3. Особенности широтно-импульсной модуляции при выбранном алгоритме цифрового управления 54f (24.3.11) Соотношение (24.3.11) и будет использоваться для определения форматов всех коэффициентов ПИД-регулятора с округлением до ближайшего целого числа, что выполняется с помощью функции round. Необходимое ограничение сверху на значение Q легко выполняется логическими средствами. Размещение чисел в формате Q в ЦСП производится преобразованием десятичного числа в двоичное (умножение числа на 2°) с последующим округлением. Создадим /я-файл для определения форматов Q коэффициентов и перевода чисел в двоичный код. KpV=KpV_f KiV=KiV_f KdV=KdV_f KcV=l VMAX = 1 %максимальное значение выхода ПИД-регулятора в %формате Q31 VMIN = -0.1%минимальное значение выхода ПИД-регулятора в %формате Q31 QJCpV = floor(31-log2((KpV)) %определение %формата Q для Кр ifQ_KpV>30 Q_KpV=30 end; KpV_dsp = round(KpV*2AQ_KpV-l)%npeo6pa3oeaHHe %десятичного числа KpV в формат Q Q_KiV = Аоог(31-1оЕ2(К1У))%определение %формата Q для Ki ifQ_KiV> 31 Q_KiV=31 end; KiV_dsp = round(KiV*2AQ_KiV-l)%npeo6pa30BaHHe %десятичного числа KiV в формат Q QJCdV = Поог(31-1о§2(К^\0)%определение %формата Q для Kd ifQ_KdV>31 Q_KdV=31 end; KdV_dsp = round(KdV*2AQ_KdV-l ^преобразование %десятичного числа KdV в формат Q
5 0 Глава 24. Цифровое управление DC—DC преобразователем VMAX_dsp = УМАХ*2А(3_Кр\М%преобразование десятичных VMIN_dsp = VMIN*2AQ_KpV %чисел VMAX и VMIN в формат Q %для Кр Q_KcV = Поог(31-1ов2(Кс\0)%определение %формата Q для Кс ifQ_KcV>31 Q_KcV = 31 end; KcV_dsp = round(KcV*2AQ_KcV-l)%npeo6pa30BaHHe %десятичного числа Кс в формат Q После запуска приведенного /и-файла, преобразующего коэффициенты ПИД- регулятора в формат ЦСП, создается asm-файл (файл на Ассемблере, назовем его PIDVcoff.asm). Данный файл используется в среде разработки программы для ЦСП (Code Composer Studio). Предварительно создается (или продолжается предыдущий) /и-файл, записанный на языке СИ. Первая команда этого /w-файла открывает PIDVcoff.asm и производит запись в него (параметр w). fV = fopen(,PIDVcoff.asm\ w'); %открытие файла %с именем PIDVcoff.asm и % запись в него fprintf(fV,'V_PID_COEFF_PFC:\n'); fprintfWAn'); fprintf(fV,' .long%10.0f,KpV_dsp); fprintfW ;Kp %6.3e\n',KpV); fprintf(fV/Q_KpV .set'); fprintf(fV,,%2.0f\n,,Q_KpV); fprintf(fV,' .long %10.0f ,KiV_dsp); fprintf(fV,' ;Ki %6.3e\n',KiV); fprintfffY'QJCiV.set'); fpnntf(fv/%2.0f\n\QjciV); fprintf(fV,' .long %10.0f ,KdV_dsp); fprintfW ;Kd %6.3e\n',KdV); fprintf(fV,'Q_KdV.set'); fprintf(fV,,%2.0f\n,,Q_KdV); fprintf(fV,' .long %10.0f\n',VMAX_dsp); fprintfW .long %10.0f\n\VMIN_dsp); fprintf(fV,' .long %10.0f ,KcV_dsp); fprintf(fV,' ;Kc %6.3e\n,,KcV); fprintf(fV/Q_KcV .set'); fprintf(fV,,%2.0f\n,,Q_KcV);
24.3. Особенности широтно-импулъсной модуляции при выбранном алгоритме цифрового управления « Р'умз j И/УЫЬ- J— ;[T^|—»<iv5i © И^ © -X- B-fl п-и(1Г(1-2*и[2]) i CM2 L01 © IJVrtft—»l , , [^y_»|-^| n'(Vln*u[2]»u(1)*(1-2'u[2l| i—i—гт~г i «i1 J I_I_ гКм] VM2 iniouti-jn AveV AveV1 T r-»l j4 hfTTMj^H^FH conveftK^i \Ш~П Rfu-Ctu.s»in RfiTCfu.s+t *?»a In Out] 4tK[ jantor2i 1 T Vo'Kus —• Рис. 24.10. Модель НПП с ПИД-регулятором, замкнутая обратной связью по напряжению fclose(fV) %закрытие файла Ниже приводится текст файла на Ассемблере. V_PID_COEFF .long 658574226 ;Кр 6.133е-001 Q_KpV .set 30 .long 171825276 ;Ki 8.001e-002 Q_KiV.set31 .long 1578975650 ;Kd 1.176e + 001 Q_KdV .set 27 .long 1073741823 .long-107374182 Jong 2147483647 ;Kc l.OOOe + 000 Q_KcV.set31 Для улучшения переходных процессов при регулировании и стабилизации выходного напряжения коэффициенты ПИД-регулятора устанавливаются переменными, другими словами, применяется адаптивное уравнение. В установившемся режиме используется передаточная функция корректирующего звена, показанная на рис. 24.9, и коэффициенты ПИД-регулятора, определенные из нее. Для данного рассмотрения принято, что сигнал рассогласования в контуре по напряжению не должен превышать значения 0,3 В или 0,005 в формате Q\ 5 (0,3* 1/60 = 0,005) для максимального напряжения 60 В на выходе преобразователя. Если же сигнал рассогласования превышает 0,7 В или 0,0116 в формате Q15 (0,7-1/60 = 0,0116), то коэффициент Кр ПИД-регулятора увеличивается, что обеспечивает уменьшение перерегулирования и сокращение времени переходного процесса. В данном случае коэффициент Кр увеличивался в 8 раз. После получения коэффициентов ПИД-регулятора можно увидеть результаты работы замкнутой системы в MATLAB Simulink.
Глава 24. Цифровое управление DC—DC преобразователем Рис. 24.11. Блок Subsystem для анализа выходного напряжения DC-DC преобразователя Для этого модель, показанная на рис. 24.7, дополняется моделью ПИД-регулятора и замыкается обратная связь (рис. 24.10). Кроме того, в модель введены квантователи Quantiser 1, Quantised для получения реальных сигналов, квантуемых в соответствии с арифметическим представлением сигналов в ЦСП. Например, Quantised обеспечивает квантование сигнала на выходе AveVl, и уровень квантования составляет 215. Для имитации сброса и наброса нагрузки используется ключ /51, сопротивление ключа в замкнутом состоянии задается как параметр, с помощью которого подключается или отключается дополнительное сопротивление к нагрузке Rol. Блок Subsystem, показанный на рис. 24.10 и раскрытый на рис. 24.11, позволяет проводить анализ выходного напряжения, определяя среднеквадратичное значение пульсаций с учетом действия разных гармоник. На рис. 24.12 показано выходное напряжение в результате изменения нагрузки. Первый провал напряжения — результат наброса на- Рис. 24.12. Переходные процессы в выходном Рис. 24.13. Пульсации выходного напряжения напряжении преобразователя при скачках преобразователя, измеренные с помощью бло- нагрузки ка Subsystem
24.3.5. Программная реализация 553* грузки от XX до 10 А, далее следует выброс напряжения как следствие сброса нагрузки с 10 А до XX. На рис. 24.13 показано выходное напряжение в установившемся режиме при токе нагрузки 10 А, измеренное с помощью блока Subsystem. Можно видеть, что пульсации напряжения на выходе не превышают 1 мВ. Все результаты показаны здесь без использования адаптивного управления. 24.3.3. Коррекция в канале регулирования выходного тока Рассмотрим синтез корректирующей цепи для регулирования тока на выходе. Этот режим необходим при превышении током нагрузки определенного значения, когда режим стабилизации по напряжению не действует. При цифровом управлении DC-DC преобразователем, работающим в составе транзисторного выпрямителя, удобно проводить измерения параметров на первичной стороне, куда «привязывается» и ЦСП. Тогда требуется единственное измерение, гальванически не связанное с первичной стороной, — измерение выходного напряжения преобразователя. Правда, неясным остается вопрос получения информации о выходном токе преобразователя, поскольку сложно и дорого повторять решение, принятое при измерении выходного напряжения — использовать оптрон, работающий в непрерывном (линейном) режиме. Поскольку требования к качеству выходного тока при его регулировании не являются столь жесткими, как требования к выходному напряжению в режиме стабилизации, регулирование тока можно осуществить косвенно — посредством управления входным током преобразователя. Такое решение оправдано еще и тем, что в первичной цепи уже используется датчик для защиты от превышения тока (трансформатор тока, показанный на рис. 24.1; использование сигнала от вторичной обмотки ТТ показано на рис. 24.4). Постоянный входной ток и ток на выходе (ток нагрузки или ток выходного дросселя) связаны соотношением, вытекающим из баланса мощностей: (24.3.12) где г\ — КПД DC-DC преобразователя. Итак, для управления выходным током согласно (24.3.12) можно использовать ток на входе преобразователя (потребляемый ток). ЦСП по задаваемому значению тока нагрузки рассчитывает требуемое значение опорного сигнала потребляемого тока согласно (24.3.12). При расчете необходимо задать КПД преобразователя, обычно это значение известно с хорошей точностью, и входное напряжение (U^), получаемое с выхода ККМ и стабильное при применении DC-DC преобразователя в составе транзисторного выпрямителя. В формуле (24.3.12) задается также значение Ueblx. Таким образом, задавая пары значений (1/вых, 1Н), можно формировать различные выходные характеристики. Еще раз отметим, что расчет по соотношению (24.3.12) тока 1вх — это определение закона изменения опорного сигнала для 1вх при задаваемых значениях Ueblx и 1Н (КПД и Uex полагаются константами). Для создания различных участков выходной характеристики (например, постоянной мощности, стабилизации тока нагрузки, режима постоянного сопротивления) можно задавать вид зависимости 1ЪХ = (р(?/вых) с помощью аппроксимирующих коэффициентов. Эта зависимость и будет использована для создания опорного сигнала по току.
Глава 24. Цифровое управление DC—DC преобразователем QjjjjH Product I « f=&^ |—и/WHb-—1 1 ^i—КПЗ ± ^0 1 ГЕ^ЛГ! l j II n-u[1]'(1-2*u[2]) M I n*(Vln*u(2]+u[1]*(1-2*u[2])) 1 j cos j т т J 1 1 1 т т ¦ ТГ D.lpr WJdpfl (u(2]+u[1]*n)*0Jpr RlipfClipr.s-n |Rlipr*Cflprs+l| +[к5г>^-*со Fcn2 Рис. 24.14. Модель НПП для определения звена коррекции в контуре управления по току В системе управления преобразователем по току сравниваются опорный сигнал и ток, измеренный с помощью токового трансформатора. Ток обмотки Wx силового трансформатора за время импульса определяется соотношением: где ip(t) = mt) + iL(t)n)d. (2А.ЗЛЗ) /L(/)— ток выходного дросселя, /ц(0 —ток намагничивания силового трансформатора, приведенный к первичной обмотке. Для непрерывной нелинейной модели средний ток за время периода записывается с использованием (24.3.13) и коэффициента заполнения: ', = Om + 'l"K (24.3.14) Для создания модели рассматриваемого преобразователя с управлением по току необходимо к исходному m-файлу, показанному в разд. 24.3.1, добавить несколько операторов, показанных ниже: Iin_ipr =1.5 % входной ток при стабилизации тока нагрузки % мощность на выходе около 680 Вт Ro_ipr = Ro/2 %сопротивление нагрузки %в режиме стабилизации тока Vo_ipr = sqrt(Iin_ipr*Vin*Ro_ip]) %выходное напряжение %в режиме стабилизации тока D_ipr=( 1 -sqrt( l -2*Vo_ipr/(n*Vin)))/2 % коэффициент заполнения %в установившемся режиме при управлении током % %параметры фильтра и коэф-ты измерения тока Rfipr=1000; Cfipr=100e-9; Ipr_max = Pmax/420/0.9 %задание макс, тока Kipr = 1/Ipri_max %коэффициент приведения к ЦСП fsipr= 50000; %частота дискретизации
24.3.5. Программная реализация 55$j| Коэффициент Kipr определяет коэффициент передачи входного тока ко входу АЦП процессора, учитывает коэффициент трансформации токового трансформатора, сопротивление резистора RT и коэффициент передачи ОУ DA2 (рис. 24.4). Для коррекции контура управления по току можно использовать как ПИД-регулятор, так и цифровой фильтр. Применим здесь цифровой фильтр, передаточная функция которого содержит два нуля и два полюса. Рис. 24.15. Звено коррекции в контуре управления Выбор коэффициентов фильтра по току, определенное с помощью SISO Design Tool производится с помощью модели C(z) = 0.0325 х ((z - 0.975)(z - 0.939))/((z-l) MATLAB Simulink, показанной на рис. (z - 0.993)) 24.14, и инструментария SISO Design Tool. На рис. 24.15 показаны частотные характеристики разомкнутого контура по току с найденной в SISO Design Tool передаточной функцией звена коррекции. Переходя к передаточной функции, представляющей цифровой фильтр, получим: Замкнутая по току модель с включенным в нее звеном коррекции показана на рис. 24.16. С ее помощью можно проанализировать процессы в НПП во временной области. 24.4. Программная реализация Программно управление несимметричным полумостовым преобразователем выполнено в едином цикле с корректором коэффициента мощности, входящим в состав транзисторного выпрямителя. С учетом принципа единичного прерывания на рис. 24.17 показана диаграмма работы широтно-импульсных модуляторов ККМ и DC-DC преобразователя, запуска АЦП и прерывания. Произведена следующая настройка периферийных устройств: — счетчик ШИМ канала DC-DC двухсторонний; — счетчик канала DC-DC синхронизирован со счетчиком ККМ, фаза равна 500; — выход ШИМ DC-DC становится в высокое состояние на фронте и в низкое по окончании фронта счетчика; — ШИМ реализован с высокой разрешающей способностью при управлении по переднему фронту. Разрешающая способность ШИМ согласно соотно- — преобразования АЦП запускаются по счетчику ККМ;
556 Глава 24. Цифровое управление DC—DC преобразователем J И/ft-ll— J ™3 ШёНр © 1 СМ2 Lo1 Product 1 n*u[1]-(1-2-u[2}) M © ft ЛуНьЬ—А Fen n*(Vin*u(2]+u[1]*(1-2*u[2))) Fcn1 (u[2|+u(1]*n)'u[3l Rfipr'Cfipr.s+j Rfipr*C1ipr.s+' •gH^HS Wi2 Quantizer3 T—l—r $ co4 $ R°1 > -I I—L * ><[Prim_Curr]| T Discrete Filter lin_ipr*Kipr 1 ~"Г Соб ~ '-f7 _L гКм! VM2 0©- ЯВ |[Prim_Curr]>J 4 Convert wldpjl Рис. 24.16. Модель НПП с замкнутым контуром управления по току — прерывание запускается в каждом периоде счетчика; — включены зоны блокировки выходов ШИМ: одна для мгновенной защиты по току (от периода к периоду, СВС), другая для выключения ШИМ при общем выключении устройства (однократное срабатывание, OSHT). Ниже представлена программа настройки ШИМ-контроллера канала DC-DC: // основные настройки для EPWM2 (DC—DC) //TBCLK EPwm2Regs.TBCTL.bit.PRDLD = TBJMMEDIATE; //загрузка периода //мгновенно EPwm2Regs.TBPRD = 500; //период EPwm2Regs.TBPHS.half.TBPHS = 500; //фаза EPwm2Regs.TBCTR = 0; //обнуление счетчика // Compare values EPwm2Regs.CMPA.half.CMPA = 0; //начальный коэф. заполн. EPwm2Regs.CMPA.half.CMPAHR = 255; // EPwm2Regs.CMPB = DCDC_Ipr_pr_ref_def; //коэф. заполн. //опорн. сигнала защиты по первичному току // Counter mode EPwm2Regs.TBCTL.bit.CTRMODE = ТВ_СОиЭТ_иРОО^//режим //счетчика //выравнивание по фазе включено EPwm2Regs.TBCTL.bit.PHSEN = TB_ENABLE; //TBCLK =SYSCLK EPwm2Regs.TBCTL.bit.HSPCLKDIV = TB_DIV1;
24.3.6. Экспериментальные результаты 55 Медленные процессы ^/ (C/C++ код) Рис. 24.17. Диаграмма работы ЦСП, управляющего корректором коэффициента мощности и DC-DC преобразователем EPwm2Regs.TBCTL.bit.CLKDIV = TB_DIV1; // Буферизация EPwm2Regs.CMPCTL.bit.SHDWAMODE = CC_SHADOW; EPwm2Regs.CMPCTL.bit.LOADAMODE = CC_CTR_ZERO; //загрузка //коэф. заполн. при CTR = ZERO // Set actions EPwm2Regs.AQCTLA.bit.CAU = AQ_SET; EPwm2Regs.AQCTLA.bit.PRD = AQ_CLEAR; EPwm2Regs.AQCTLB.bit.CBU = AQ_CLEAR; EPwm2Regs.AQCTLB.bit.CBD = AQ_SET; // Event Trigger // High resolution EALLOW; EPwm2Regs.HRCNFG.all = 0x0; EPwm2Regs.HRCNFG.bit.EDGMODE = HR_REP; //контроль МЕР по //фронту EPwm2Regs.HRCNFG.bit.CTLMODE = HR_CMP; //МЕР активирован по
Глава 24. Цифровое управление DC—DC преобразователем //коэф.заполн. EPwm2Regs.HRCNFG.bit.HRLOAD = HR_CTR_ZERO; //загрузка CMPAHR при нуле счетчика // Trip Zone EPwm2Regs.TZSEL.bit.CBCl = 1; //разрешено TZ1 EPwm2Regs.TZCTL.bit.TZA = TZ_FORCE_LO; //выход ШИМ устанавливается // в низкое состояние EPwm2Regs.TZCTL.bit.TZB = TZ_NO_CHANGE; //не меняем //старт всех таймеров SysCtrlRegs.PCLKCRO.bit.TBCLKSYNC = 1; EDIS; Настройка драйвера АЦП производится аналогично тому, как это показано в разделе описания настройки программы ККМ (гл. 23). АЦП DC-DC преобразователя начинает работать с началом каждого периода счетчика ШИМ ККМ. Ниже показан код прерывания программы, а на рис. 24.18 представлена диаграмма работы макросов прерывания, поясняющая периодичность запуска каждого из них с учетом времени выполнения и доступных ресурсов процессора. .г*************************** _ISR_Run: .**************************** CONTEXT_SAVE .******** маКр0СЫ каждого прерывания ADC_CONT_sum BOXCAR_AVG Vdc; усреднение фильтром ВохСаге CNTL_2P2Z Ipr; коррекция по первичному току DC-DC CNTL_PID_adap Vdc,Q_KpV,Q_KiV,Q_KdV,Q_KcV ; коррекция Период повторения Рис. 24.18. Диаграмма работы макросов прерывания ЦСП при управлении ККМ и DC-DC преобразователем
24.3.6. Экспериментальные результаты 55^ ;по выходному напр. DC-DC SUM_OS; суммирование ОС (выход — меньший сигнал) PWM_drv_HRDCinv 2 CNTL_3P3Z lb; коррекция по току ККМ PWM drv Boost MOVL XAR2,#SwitchTable ;XAR2 = указатель на таблицу переключений MOVW DP,#_Switch_ind ;DP = адрес Switch_ind MOVZ ARO,@_Switch_ind ;AR0 = индекс таблицы MOVL XAR7,* + XAR2[AR0];XAR7 = SwitchTable[ Switch] LB *XAR7 ;косвенный переход через XAR7 SwitchO: INV_SQR; расчет обрати, квадрата rmsA2 SWITCHER Рис. 24.19. Условная схема соединения макросов прерывания
Глава 24. Цифровое управление DC—DC преобразователем Switch 1: EXEC_PRESC 1 ,Presc_per,4 ;частота квантования коррекции ;по напряжению ККМ 50000/4/3 = 4166 Гц CNTL_2P2Z Vb; коррекция по напряжению ККМ Prescjper: SWITCHER Switch2: FIL_2P2Z Vims; фильтрация измерения Vrms SWITCHER Switch_last: FIL_2P2Z Vac ; фильтрация измерения Vac MULT ; перемножитель MOVW DP,#_Switch_ind MOV @_Switch_ind,# 1 LB SwitchReturn SwitchReturn: INT_PWMl_reset CONTEXT_REST .if debug_asm = = 1 ;*дебуггер* LRETR .else I RET .endif На рис. 24.19 показана условная схема соединения макросов прерывания, аналогичная схеме соединения различных блоков управления. 24.5. Экспериментальные результаты В данном параграфе изложены наиболее существенные результаты, касающиеся работы различных узлов DC-DC преобразователя. 1. Выходная характеристика Участок стабилизации тока нагрузки выходной характеристики определяется заданной аппроксимацией этого участка и усредненным за период переключения током первичной обмотки силового трансформатора. Аппроксимация токового участка выходной характеристики ведется на некотором количестве участков, на каждом из которых задается линейная зависимость выходного напряжения и тока нагрузки. В данном случае без учета режима регулирования и стабилизации выходного напряжения создавалось три участка выходной характеристики. Поскольку стабилизация тока нагрузки осуществляется по среднему току потребления, были выбраны следующие зависимости входного тока от выходного напряжения (1^ 3 — заданный входной ток):
24.3.6. Экспериментальные результаты 561 Значения 45,5 В и 20 В — значения выходного напряжения на характеристике; kv к2 — коэффициенты излома характеристики. При кх = 1 и к2 = -0,5 была получена экспериментально зависимость входного тока преобразователя от выходного напряжения (рис. 24.20). Выходная характеристика преобразователя, соответствующая рассмотренной зависимости IJiUgw), показана на рис. 24.21. Испытания проводились при входном напряжении 425 В и при номинальном выходном напряжении 55 В. Можно ви- __ „ л -Л _ Рис. 24.20. Экспериментальная зависимость деть, что выходная характеристика при входноготока преобразователя от ею выходно- ограничениитокаможетбьпъразличной го напряжения, полученная в результате задания в зависимости от количества участков и аппроксимирующих коэффициентов их угловых коэффициентов. В любом случае система управления стабилизирует ток при усредненном напряжении на резисторе вторичной обмотки трансформатора тока. Это напряжение характеризует входной ток с точностью, определяемой рассеянием обмоток трансформатора тока и конденсатором фильтра Сф (рис. 24.4). Следует учитывать также, что на пересчет тока нагрузки к входному току влияет и КПД преобразователя. Все названные факторы должны были учитываться при задании коэффициентов аппроксимации. Сигнал, пропорциональный входному току преобразователя (его переменная составляющая), показан на рис. 24.22. Выходная характеристика, как уже упоминалось, может быть сформирована традиционно — с использованием Рис. 24.21. Экспериментальная выходная Рис. 24.22. Сигнал, пропорциональный вход- характеристика преобразователя при аппрок- ному току преобразователя, полученный с симации участков ограничения выходного помощью трансформатора тока тока
16 2 Глава 24. Цифровое управление DC—DC преобразователем резистивного датчика в цепи нагрузки. Однако учтем появление при этом дополнительных затрат (экономических, производственных) и возможные сложности с получением требуемого объема преобразователя. К тому же ЦСП имеет достаточные возможности для алгоритмического построения требуемой выходной характеристики. 2. Нестабильность выходного напряжения Результаты экспериментальной проверки нестабильности выходного напряжения преобразователя показаны в табл. 24.1. Таблица 24.1 Нестабильность выходного напряжения в зависимости от тока нагрузки и входного напряжения и ,в 425 425 380 380 /Н,А 0 9,8 0 10,6 и ,в 1 54,78 54,97 54,76 54,89 Нестабильность по нагрузке составляет 0,34%, а по входному напряжению 0,15%. Суммарная нестабильность — 0,49%. 3. Пульсации и шум выходного напряжения На рис. 24.23, 24.24 и 24.25 показаны осциллограммы пульсаций выходного напряжения при входном напряжении 425 В, мощности нагрузки 540 Вт и холостом ходе. Пульсации под нагрузкой получены для двух разверток: 250 мкс/дел и 100 мс/дел. Анализ осциллограмм показывает, что пульсации не превышают значения 15 мВ пик-пик (0.027%). Под нагрузкой пульсации имеют как составляющую «средней» частоты 2 кГц (около 4...6 мВ), так и низкой частоты 2,5 Гц (около 8... 10 мВ). Пульсации «средней» частоты появляются из-за ограниченной разрядности АЦП и проникания в низкочастотную часть спектра сигналов с частотами выше частоты дискретизации. Частота 2 кГц определяется частотой среза преобразова- Рис. 24.23. Пульсации напряжения на выходе Рис. 24.24. Пульсации напряжения на выходе преобразователя под нагрузкой. Основная преобразователя под нагрузкой при низкой частота 2 кГц частоте развертки. Основная частота 2,5 Гц
24.3.6. Экспериментальные результаты ЪЬ$ теля в разомкнутой системе автоматического управления. Расчетные пульсации на данной частоте при 12-битной разрядности должны составлять не менее 14,6 мВ. Уменьшение пульсаций до 4...6 мВ обеспечено за счет механизма выборки с запасом по частоте (oversampling) до fog48 + 12 = 13,5 бит и механизма «anti-aliasing» с помощью аналогового (RC-цепь) и цифрового фильтров усреднения. Низкочастотная пульсация вызвана аппаратным механизмом автоподстройки времени разрешения (150 пс) ШИМ-контроллера (Hi Resolution) ЦСП. Частота этой пульсации фактически определяется частотой работы данного механизма и может меняться программно. На образце преобразователя измерялись значения псофометрического шума и эффективные напряжения пульсаций для низкочастотного (до 300Гц) и высокочастотного диапазона (300 Гц... 150 кГц), указанных в стандарте на источники питания для аппаратуры связи [50]. Псофометрический шум не превышает 1,7 мВ в режиме стабилизации выходного напряжения и растет с повышением нагрузки. Была отмечена зависимость пульсаций от количества подключенных помехоподавляющих конденсаторов блока, что может быть объяснено влиянием на обратную связь соотношения сигнал/шум при дискретном измерении. Этим же объясняется тот факт, что напряжение при холостом ходе меньше напряжения под нагрузкой (см. табл. 24.1). В режиме стабилизации тока псофометрический шум не превысил 1,2 мВ. Эффективное напряжение низкочастотных пульсаций не превышает 2,2 мВ в режиме стабилизации напряжения и 23 мВ в режиме стабилизации тока. Значение напряжения высокочастотных пульсаций не превышает 2,7 мВ в режиме стабилизации напряжения и 4 мВ в режиме стабилизации тока. 4. Мгновенная защита по первичному току силового трансформатора Как было показано, мгновенная защита по первичному току трансформатора организуется с помощью компаратора, который воздействует на специализированный вход ЦСП (trip zone). На рис. 24.26 показана осциллограмма наброса нагрузки до тока 14 А. Можно видеть, что нет выбросов тока первичной обмотки трансформатора, а следовательно, и тока, отбираемого от входного источника, выше уровня установившегося значения. 5. Переходные процессы Динамические процессы на выходе преобразователя проверялись при разных токах нагрузки, в том числе и в режиме перегрузки, когда рабочая точка на вы- Рис. 24.25. Пульсации напряжения на выходе Рис. 24.26. Ток в первичной обмотке силового преобразователя при холостом ходе трансформатора при набросе нагрузки до 14 А
|f564 Глава 24. Цифровое управление DC—DC преобразователем МРоеООДм CHI ъ »к -Пт 0*** МР0К«Ц)л» 7U ; Ф11:п СН1 C'WBJW BWlMt 2№td Votts/Ov ^^ PltfW ¦ ktvMt IJ" Ък JL i.LLA^ caVa^c 0RMdy ««^A^mi ¦"'"•'MHHw' мросгжлт : < ¦¦'¦-"-aw/ CHI c«*g BWLMt ят Vdti/Ov ^и Probe ¦ Invert ¦ THRW Ш Л. ftfly МРмЯООДл» ТЯР0ЕВ СоцАц ,,"M^W"",,",,,cW\'51W aH'HWMi" Рис. 24.27 а. Переходный процесс на выходе преобразователя при скачке тока нагрузки от 1 до 10,5 А. б. Переходный процесс на выходе преобразователя при сбросе тока нагрузки от 10,5 до 1 А. в. Переходный процесс на выходе преобразователя при скачке тока нагрузки от XX до 10,5 А. г. Переходный процесс на выходе преобразователя при сбросе тока нагрузки от 10,5 А до XX. д. Переходный процесс на выходе преобразователя при скачке тока нагрузки от 1 до 15 А (преобразователь выходит из режима стабилизации напряжения) ход ной характеристике находилась в области ограничения тока нагрузки. Серия осциллограмм (24.27, а — 24.27, д) показывает переходные процессы на выходе при скачкообразном изменении нагрузки. При изменении нагрузки от 1А до 10,5 А и обратно (рис.24.27, а, б) можно видеть апериодический характер процесса с перерегулированием до 2,7 % и длительностью до 10 мс. При скачках нагрузки от XX до 10,5 А и обратно можно наблюдать значительно большую длительность процессов (30—40 мс) с существенно большим перерегулированием (до 15%) — рис. 24.27, в, г. Можно видеть, что переходные процессы при скачках нагрузки от 1 А до 10,5 А и обратно достаточно близко совпадают с теми, что были получены при моделировании (рис. 24.12). На рис. 24.27, д показана реакция на скачок нагрузки, в результате которого преобразователь выходит из режима стабилизации напряжения, а его выходной ток ограничивается. На экспериментальном образце была предпринята попытка улучшить динамические свойства преобразователя расширением полосы пропускания разомкнутого контура (увеличением частоты среза).
24.3.6. Экспериментальные результаты 56Sjj В результате повышения быстродействия переходный процесс удалось значительно улучшить (рис. 24.28, а, скачок тока от XX до 10,5 А), но возросли выходные пульсации (рис. 24.28, б), которые привели к недопустимому возрастанию псофометриче- ского шума. Причинами данного явления, как и ожидалось, оказались уменьшение запаса по фазе на частоте среза частотной характеристики и конечное соотношение сигнал/шум при дискретном измерении сигналов. Поэтому с целью улучшения динамики был применен адаптивный принцип управления, трудно или почти не реализуемый при аналоговом управлении. Идея заключается в изменении параметров цифрового корректирующего звена в зависимости от некоторых условий. Отклонение выходного напряжения от номинального значения принимается в качестве условия, а изменяемыми параметрами являются коэффициенты ПИД- Рис. 24.28 а. Переходный процесс на выходе преобразователя при скачке тока нагрузки от XX до 10,5 А. Расширена полоса пропускания разомкнутого контура, б. Повышенные пульсации выходного напряжения при расширенной полосе пропускания разомкнутого контура Рис. 24.29 а. Переходный процесс на выходе преобразователя при скачке тока нагрузки от 1 до 10,5 А. б. Переходный процесс на выходе преобразователя при сбросе тока нагрузки от 10,5 до 1 А. в. Переходный процесс на выходе преобразователя при скачке тока нагрузки от XX до 10,5 А. г. Переходный процесс на выходе преобразователя при сбросе нагрузки от 10,5 до XX. На всех рисунках показано адаптивное управление
Глава 24. Цифровое управление DC—DC преобразователем регулятора в контуре стабилизации напряжения. Смысл предложенного управления заключается в том, что при отработке возмущений на выходе преобразователя устанавливаются коэффициенты ПИД-регулятора, реализующие широкую полосу пропускания системы. В установившихся режимах коэффициенты ПИД-регулятора другие, соответствующие более узкой полосе. В данном конкретном случае ПИД-регулятор при Рис. 24.30. Процессы запуска преобразователя ботке В03мущений имел коэффи- при различных нагрузках циент усиления пропорционального звена (KPd) в 8 раз больший по сравнению с расчетным для установившегося режима. Переключение на большой коэффициент усиления производилось, если отклонение напряжения на выходе превысило 0,7 В, а в сторону малого коэффициента при уменьшении отклонения до 0,3 В. На рис. 24.29, а, б, в, г показаны результаты реализации данного алгоритма. Сравнение рис. 24.29, а с рис. 24.27 я(наброс нагрузки с 1 А до 10,5 А) и рис. 24.29, б с рис. 24.27, б (сброс нагрузки с 10,5 А до 1 А) показывает, что перерегулирование снизилось до 2%, а длительность процесса сократилась до 7 мс. При скачках нагрузки от XX до 10,5 А и обратно (рис. 24.29, в, г в сравнении с рис. 24.27, в, г) перерегулирование уменьшилось до 7% при сокращении длительности процесса до 20 мс. Таким образом, можно видеть значительное количественное улучшение переходных процессов при использовании адаптивного управления. 6. Плавный пуск При запуске преобразователь не должен потреблять ток больший, чем установившееся значение, не должно быть выбросов напряжения выше заданного значения как при XX, так и под нагрузкой; провалы напряжения в процессе запуска также должны быть исключены. С учетом этих требований был сформирован алгоритм запуска, реализованный в программе ЦСП. На рис. 24.30 показаны процессы запуска преобразователя при различных нагрузках. При перегрузке (12 А) преобразователь работает в режиме ограничения тока, поэтому выходное напряжение оказывается меньше заданного (55 В). Результаты, полученные при испытаниях, показали, что цифровое управление в полной мере обеспечивает основные функции DC-DC преобразователя — стабилизацию выходного напряжения во всех диапазонах нагрузки и входного напряжения. Преобразователь имеет приемлемое качество переходных процессов, аналогичных тем, что получаются при аналоговом управлении, обеспечивает требуемые пульсации выходного напряжения и стабильность. Цифровое управление позволило применить адаптивный принцип управления, который затруднительно применить в традиционных системах. Кроме того, ЦСП обеспечил возможность использования единственного датчика тока. Затраченные ресурсы ЦСП при реализации управления транзисторным выпрямителем не превышают 50 %, при этом программное обеспечение для управления преобразователем добавлялось к существующему программному обеспечению ККМ.
ГЛАВА 25 СИСТЕМА ЭЛЕКТРОПИТАНИЯ С ЦИФРОВЫМ КОНТРОЛЛЕРОМ Подавляющее число систем электропитания электронной и электромеханической аппаратуры выполняется в настоящее время с использованием микропроцессорной техники. Такие системы находят применение в телекоммуникациях, на транспорте, в различных производствах, используются в промышленных источниках бесперебойного питания переменного и постоянного тока. Задачи, решаемые микроконтроллером в подобных энергетических системах, достаточно разнообразны: реализация алгоритмов управления отдельными узлами; диагностика состояния отдельных блоков и устройств; мониторинг системы; организация интерфейсов различного типа с внешними устройствами. В данной главе рассматривается построение системы бесперебойного питания постоянного тока, применяемой в базовых станциях телекоммуникаций. Одна из возможных структурных схем такой системы показана на рис. 25.1. Основными узлами системы являются: транзисторные выпрямители (ТВ), преобразующие на- Рис. 25.1. Структурная схема системы электропитания
68 Глава 25. Система электропитания с цифровым контроллером пряжение сети в постоянное стабилизированное; конверторы, преобразующие выходное напряжение ТВ в постоянное другого уровня; инверторы, преобразующие выходное напряжение ТВ в однофазное стабилизированное; цифровой контроллер, выполняющий основные функции управления. Транзисторные выпрямители управляются и контролируются через интерфейс (RS 485 или какой-либо другой) от контроллера; имеют возможность регулирования выходного напряжения при обеспечении высокой стабильности для улучшения режима работы АБ; производится автоматическое выравнивание выходных токов ТВ при их параллельной работе. Каждый транзисторный выпрямитель снабжен своей системой контроля и управления, которая помимо перечисленных функций выполняет еще и другие, улучшающие свойства всей системы электропитания, включая надежность. Входы и выходы преобразователей, а также шины питания, подходящие к потребителям, защищаются автоматическими выключателями (блоки 1,2, 3,4). Блок контроля сети обеспечивает измерение амплитудного значения напряжения сети с необходимой фильтрацией получаемого сигнала. В результате работы блока формируются аварийные сигналы о достижении ми- рИс. 25.2. Источник бесперебойного элек- нимального или максимального допусти- тропитания на основе транзисторных вы- мого значения сетевого напряжения, прямителей с выходной мощностью 800 Вт Фильтрация необходима для исключения ложных аварийных срабатываний системы. Контроль нагрузок — блок, определяющий состояние нагрузок и автоматических выключателей (автоматов). Блок контролирует подключение конкретной нагрузки и состояние каждого автомата. Информация о состоянии нагрузок и автоматов собирается по логической схеме «ИЛИ» и передается в контроллер. Электромеханические контакторы (КМ) использованы для подключения АБ и приоритетных нагрузок. С учетом сложности функций, выполняемых рассматриваемой системой электропитания, контроллер, как отдельный узел с входящим в него источником вторичного электропитания, решает следующие задачи: — контроль состояния преобразователей электрической энергии и управление ими посредством унифицированного интерфейса, например, с помощью RS485; — управление узлом контроля сети; — управление зарядом АБ с помощью функции термокомпенсации напряжения заряда; тест емкости АБ; — контроль состояния АБ — защита от глубокого разряда, контроль температуры АБ; — управление коммутационной аппаратурой — контакторами АБ и нагрузок; — контроль состояния автоматических выключателей; — обеспечение индикации, визуализации с использованием ЖКИ;
Система электропитания с цифровым контроллером 56^ — создание интуитивно-понятного пользовательского интерфейса. Можно видеть, что функции контроллера являются достаточно широкими, но все решаемые задачи для их выполнения, как правило, не требуют высокого быстродействия. Основным требованием к микроконтроллеру, входящему в состав контроллера системы управления, является наличие достаточно широкой периферии: необходимое число портов ввода/вывода, многоканальный АЦП, несколько интерфейсов. На сегодняшний день выбор типа микроконтроллера в рассматриваемой системе в основном определяется квалификацией и накопленным опытом разработчика. Любой производитель процессорной техники может сегодня предложить широкий спектр микроконтроллеров для решения подобных задач. Выбор программных средств, как правило, зависит от подготовки и вкусов разработчика: может быть применено прямое программирование на ассемблере, использование языков высокого уровня и даже применение операционных систем реального времени типа RTOS. На рис. 25.2 и 25.3 показаны источники бесперебойного питания разной мощности. Рис. 25.3. Источник бесперебойного электропитания на основе транзисторных выпрямителей с выходной мощностью 3 кВт
ЛИТЕРАТУРА 1. Айфичер Э., Джервис Б. Цифровая обработка сигналов. Практический курс. - 2-е изд. - М.: Изд. дом «Вильяме», 2004. - 992 с. 2. Андреев В.В. Несимметричный режим работы силового трансформатора в транзисторном преобразователе. //В сб.: Электронная техника в автоматике, - 1971. -№ 2. 3. Ануфриев И.Е. MATLAB 7. - СПб.: изд-во «БВХ-Петербург», 2005. - 1104 с. 4. Баранов В.Н. Применение микроконтроллеров AVR: схемы, алгоритмы, программы. — М.: Изд. дом «Додэка-ХХ1», 2004. — 288 с. 5. Беллман Р. Динамическое программирование// пер. с англ., М.: изд-во «ИЛ», 1960. 6. Белов Г.А. Сигналы и их обработка в электронных устройствах: Учебное пособие. Чебоксары: Изд-во Чувашского университета, 1996. —376 с. 7. Белов Г.А. Динамика импульсных преобразователей. Чебоксары: Изд-во Чувашского университета, 2001. - 528с. 8. Бродин В.Б., Шагурин И.И. Микроконтроллеры: архитектура, программирование, интерфейс. — М.: ЭКОМ, 1999. — 360 с. 9. Веприк В.,Трубин В. Микроконтроллеры бывают разные ... //Электронные компоненты. - 2002, № 5. 10. Герман-Галкин С.Г. Компьютерное моделирование полупроводниковых систем в MATLAB 6.0: Учебное пособие. - СПб.:КОРОНА принт, 2001. - 320 с. 11. Глебов Б.А. Двухтактный DC-DC преобразователь напряжения для систем электропитания //Практическая силовая электроника. - 2004. - № 13. 12. Гусев Б.А., Овчинников Д.А. Мостовой преобразователь с удвоителем тока при подмагничивании сердечника трансформатора // Электроника: НТБ. — 2005, - №5. 13. Гусев Б А, Овчинников Д.А. Транзисторный двухтрансформаторный мостовой преобразователь постоянного напряжения. Силовая электроника. — 2005, — № 2. 14. Деруссо П., Рой Р., Клоуз Ч. Пространство состояний в теории управления. Пер. с англ., М.: Наука, 1970. — 620 с. 15. Дьяконов В.П. MATLAB 6.5 SP1/7.0 Simulink 5/6 в математике и моделировании. М.: Солон-Пресс, 2005. 16. Евстифеев А.В. Микроконтроллеры AVR семейств Тшу и Mega фирмы ATMEL. 3-е изд. - М.: Изд. дом «Додэка-ХХ1», 2006. - 560 с. 17. Иващенко Н.Н. Автоматическое регулирование. Теория и элементы систем.: Учебник для ВУЗов. — 4-е изд, М.: Машиностроение, 1978. — 736 с. 18. Козаченко В.Ф. Основные тенденции развития встроенных систем управления двигателями и требования к микроконтроллерам. CHIP NEWS. 1999. — № 1 (34). - С. 2-9. 19. Корн Г., Корн Т. Справочник по математике для научных работников и инженеров. - М.: Наука, 1968. - 720 с. 20. Краснов М.Л. Функции комплексного переменного. Операционное исчисление. Теория устойчивости — 2-е изд. М.: Наука, 1967. — 303 с. 21. Крючков В.В., Малышков Г.М., Соловьев И.Н. Кодовое широтно-импульсное регулирование для инверторов// Практическая силовая электроника. 2001. — № 1.С. 16-24.
Литература 571 22. Майская В. ПЛИСы всякие нужны, ПЛИСы всякие важны //Электроника: НТВ. - 2005. - № 3. - С. 6-12. 23. Мелешин В.И. Транзисторная преобразовательная техника. — М.: Техносфера, 2005. - 632 с. 24. Мелешин В.И. Широтно-импульсный модулятор в непрерывной модели преобразователя // Электричество. — 2004. — № 3. 25. Мелешин В.И., Овчинников ДА. Применение микропроцессоров в системах Управления транзисторных выпрямителей // Силовая электроника. — 2005. — № 4. - С. 90-93. 26. Мелешин В.И., Овчинников Д.А. Улучшение свойств несимметричных полумостовых DC-DC преобразователей // Силовая электроника: — 2006. — №3. 27. Мелешин В.И., Опадчий Ю.Ф. Многоканальный транзисторный стабилизированный преобразователь с выходной мощностью 400 Вт // В сб.: Электронная техника в автоматике. - № 3. 1972. 28. Мелешин В.И., Опадчий Ю.Ф. Устойчивость установившегося режима импульсного стабилизатора напряжения // В сб. Электронная техника в автоматике. - № 8. 1976. 29. Мелешин В.И., Якушев В.А., Фрейдлин С. Анализ транзисторного преобразователя постоянного тока с «мягкой» коммутацией // Электричество. — 2000.-№1.-С. 52-56. 30. Нейман Л.С, Демирчан К.С. Теоретические основы электротехники. Т. I. М.: Энергия, 1966. - 622 с. 31. Новиков Ю.В., Скоробогатов П.К. Основы микропроцессорной техники: Учебное пособие. — 3-е изд., испр., М.: Интернет — университет информационных технологий; Бином. Лаборатория знаний, 2006. — 359 с. 32. Основы автоматического управления /Под ред. B.C. Пугачева. М.: изд-во Наука. 1981.-680 с. 33. Поликарпов А.Г., Сергиенко Е.Ф. Однотактные преобразователи напряжения в устройствах электропитания РЭА. — М.: Радио и связь, 1989. — 160 с. 34. Понтрягин Л.С. Математическая теория оптимальных процессов — М.: Физ- матгиз, 1961. 35. Райе В. Как работают аналого-цифровые преобразователи и что можно узнать из спецификации на АЦП?//Компоненты и технологии. — 2005 № 3. — С. 116-121. . 36. Романовский П.И. Ряды Фурье. Теория поля. Аналитические и специальные функции. Преобразование Лапласа. — 2-е изд. М.: Физматгиз, 1959. — 303 с. 37. Соколовский Г.Г. Электроприводы переменного тока с частотным регулированием: Учебник для студентов высших учебных заведений. — 2-е изд., испр.— М.: Изд. центр «Академия», 2007. — 272 с. 38. Северне Р., Блум Г. Импульсные преобразователи постоянного напряжения для систем вторичного электропитания// Пер. с англ. — М.: Энергоатомиздат, 1988.-294 с. 39. Сергиенко А.Б. Цифровая обработка сигналов. — 2-е изд. — М.: Питер, 2007. — 751с. 40. Удерман Э.Г. Метод корневого годографа в теории автоматических систем. — М.: Наука, 1972.
72 Литература 41. Федотов Я.А. основы физики полупроводниковых приборов. — М.: Советское радио, 1970.-692 с. 42. Царенко А., Серегин Д. К вопросу построения мощных DC/DC преобразователей, питающихся от низковольтных сетей // Силовая электроника: — 2006. - № 3. 43. Цыпкин Я.З. Основы теории автоматических систем. - М.: Наука, 1977. - 560 с. 44. 44. Черных И.В. SIMULINK: среда создания инженерных приложений/ Под общей ред. В.Г. Потемкина. — М.: Диалог МИФИ, 2003. — 496 с. 45. Черных И.В. Моделирование электротехнических устройств в MATLAB Sym Power Systems и Simulink. — М.: ДМК Пресс; СПб.: Питер. — 288 с. 46. Черкашин Ю.С., Зубов А.И. Рекуперация энергии при испытаниях аппаратуры в процессе ее изготовления // Электричество. — 2007. — № 3. С. 56—58. 47. Четти П. Проектирование ключевых источников электропитания. Пер. с англ., - М.: Энергоатомиздат, 1990. - 240 с. 48. ГОСТ 13109-97. Нормы качества электрической энергии в системах электроснабжения общего назначения. 49. ГОСТ Р51317.3.2-99 (МЭК 61000-3-2-95). Эмиссия гармонических составляющих тока техническими средствами с потребляемым током не более 16 А (в одной фазе). 50. Отраслевой стандарт ОСТ 45.183-2001. Установки электропитания аппаратуры электросвязи стационарные. 51. Головацкий В.А., Мелешин В.И., Опадчий Ю.Ф. Комбинированный ИРН// АС СССР. - № 452816. Кл. МКИ G05f. 52. Панфилов Д.И., Сафанюк B.C., Двухтактный преобразователь постоянного напряжения, АС СССР № 1796082АЗ, Кл. Н04 М 3/335, 1993. 53. Патент на изобретение РФ № 2278460. Патентообладатель ЗАО «Связь инжиниринг». Авторы Гильбурд О.Л., Гусев Б.А. Несимметричный преобразователь постоянного напряжения в постоянное. Зарегистрировано в Государственном реестре изобретений РФ 20 июня 2006 г. 54. Патент РФ на изобретение № 2278409. Патентообладатель ЗАО «Связь инжиниринг». Авторы Гильбурд О.Л., Овчинников Д.А. Стабилизированный преобразователь переменного напряжения в постоянное напряжение. Зарегистрировано в Государственном реестре изобретений РФ 20 июня 2006 г. 55. Патент РФ на изобретение № 2292108. Патентообладатель ЗАО «Связь инжиниринг». Авторы Гусев Б.А., Овчинников Д.А. Преобразователь переменного напряжения сети в постоянное напряжение. Зарегистрировано в Государственном реестре изобретений РФ 20 января 2007 г. 56. Патент РФ на изобретение № 2293429. Патентообладатель ЗАО «Связь инжиниринг». Авторы Гусев Б.А., Овчинников Д.А. Несимметричный полумостовой преобразователь напряжения с расширенным диапазоном выходного напряжения. Зарегистрировано в Государственном реестре изобретений РФ 10 февраля 2007 г. 57. Царенко А.И., Ноникашвили А.Д. Преобразователь постоянного напряжения в постоянное// АС СССР № 1541726. Кл. Н04 М 3/335, 3/337, 1990. 58. 8-bit AVR Microcontroller with 8K Bites In-System Programmable Flash. ATmega 8535. Product Datasheet. Atmel Corp, 2003.
Литература 5 7 J* 59. Ackermann J. Der Entwurf Linearer Regelungssysteme im Zustandsraum. Regelungstech. Prozess-Datenverarb. Vol. 7, pp. 297—300, 1972. 60. AVR223: Digital filters with AVR, Rev. 2527A-AVR-10/02. 61. Baker B. What does the ADC SNR mean? Microchip Technology Inc., EDN, № 5/27. - 2004. 62. Brabandere K. De, Bolsens B., Van den Keybus J., Woyte A., Driesen J. and Belmans R. A Voltage and Frequency Droop Control Method for Parallel Inverters. PESC 2004, pp.2501-2507. 63. Carsten B. High Frequency Conductor Losses in Switchmode Magnetics, 1st HFPC, 1986, PCIM Magazine, November '86 (revised version). 64. Chen J., Ribeirol M., Payseol R., Zhou D., Smith J.R., and Kernahan K. DPWM Time Resolution Requirements for Digitally Controlled DC-DC Converters. PESC, 2006, pp. 1127-1132. 65. Choudhury S. Average Current Mode Controlled Power Factor Correction Converter using TMS320LF2407. Application Note SPRA902A. Texas Instruments, 2003. 66. Choudhury S. Designing a TMS320F280x Based Digitally Controlled DC-DC Switching Power Supply. Application Report SPRAAB3,2005, Digital Power, C2000 DSP and System Power Management. 67. Fu M., Chen Q. A DSP Based Controller for Power Factor Correction (PFC) in a Rectifier Circuit, APEC 2001. 68. Golikov V. Y., Meleshin V. I., Antonov V. I., Ovchinnikov D. A. Efficient and Adaptive Energy Recycling Load, IECON, 2008, Orlando, USA, pp. 73 - 728. 69. EberleW., HanJ., LinJ.-F, JeSe. An overall Study of the Asymmetrical Half-Bridge with Unbalanced Transformer Turns under Current Mode Control, APEC, 2004. 70. Enchancing ADC Resolution by Oversampling. 8-bit Microcontrollers ApplicationNote AVR121, Atmel Corp., 2005. 71. Epcos, Ferrite and Accessories/ Data Book, 2002. 72. Figoli D. A Software Modularity Strategy for Digital Control Systems. Application Report, SPRA701, 2001, Texas Instruments. 73. Franklin G.F. et. al. Feedback Control of Dynamic Systems. Pearson Prentice Hall, 2006, pp. 910. 74. Gusev В., Meleshin V, Ovchinnikov D. Transformer Core Unbalancing Issue in a Full-Bridge DC-DC Converter with Current Doubler Rectifier, Power Electronics, Drives and Energy Systems (PEDES 2006), December 12-15,2006, New Delhi, India. 75. High-Linearity Analog Optocouplers. Technical Data. HCNR200( 1). 2005, Agilent Technologies, Inc. 76. Kalman R.E. On the General Theory of Control Systems. The 1st International Congress Automative Control, Moscow, Russia, 1960. 77. Kim S., Enjeti P. Digital Control of Switching Power Supply - Power Factor Correction Stage. Power Electronics and Power Quality Laboratory Department of Electrical Engineering. Texas A&M University. 2001. 78. Kolar J.W. and Zach EC. A Novel Three-Phase Three Switch Three-Level PWM Rectifier. Proceedings of the 28th Power Conversion Conference, Nurnbeig, Germany, June 28-30, 1994, pp. 125-138. 79. Korotkov S., Meleshin V, Miftakhutdinov R., Fraidlin S. Soft-Switched Asymmerical Half-Bridge DC-DC Converter: Steady State Analysis. An Analysis of Switching Processes, Telescon, 1997.
74 Литература 80. Lions J.P., Vlatkovic V. Power Electronics and Alternative Energy Generation, PESC'04, pp. 16-21. 81. Lu Z., Qian Z., Zeng J., Yao W., Chen G. and Wang Y. Reduction of Digital PWM Limit Ring with Nowel Control Algorithm, APEC, 2001. 82. Luo S., Ye Z., Lin R. L. and Lee F. С A Classification and Evaluation of Paralleling Methods for Power Supply Modules. PESC, 1999, pp. 901-908. 83. MaoH., DengS., Abu-QahoungJ.A., Batarseh I. A Modified ZVS Half-Bridge DC- DC Converter, APEC, 2004. 84. Mclyman С Wm. T. Transformer and Inductor Design Handbook, 2nd ed., Marcel Dekker Inc. N.Y; Basel, 1988. 85. Majumdar G. Future of Power Semiconductors, PESC'04, pp. 10—15. 86. Meleshin V., Ovchinnikov D. Improved Asymmetrical Half-Bridge Converters, Power Electronics and Drive Systems (PEDS), Kuala Lumpur, Malaysia, 2005. 87. Meleshin V., Yakushev V., Fraidlin S. Full-Bridge Isolated Current Fed Converter with Active Clamp. Патент США. - № 6038142, 2000. 88. Miftakhutdinov R.K. Improving System Efficiency with a New Intermediate-Bus Architecture, 2008-2009 Power Supply Design Seminar, Texas Insteruments. 89. Miftachutdinov R., Meleshin V., Nemchinov A. and Fraidlin S. Modified Asymmetrical ZVS Half-Bridge DC-DC Converter. APEC, 1999, pp. 567-574. 90. Mitchell D. M. DC-DC Switching Regulator Analysis. PrintSource Cedar Rapids, Iowa, 1992. 91. Modulator (ePWM) Module Reference Guide. Literature Number: SPRU791,2004, Texas Instruments. 92. Moynihan I., Morrison R., Power D., Egan M. A DSP Controlled, Isolated, Power Factor Corrected AC/DC Converter. PEI Technologies, U.C.C. Ireland, Analog Devices, Boston, 2001. 93. Necoogar E, Moriarty Digital Control Using Digital Signal Processing. Prentice- Hall PTR, 1999, pp. 433. 94. Park En-Sung, Choi S.J., Lee J. M., Cho B.H. A Soft-switching Active-Clamp Scheme for Isolated Full-Bridge Boost Converter, APEC, 2004. 95. Patella B. J., Prodic A., Zirger A. and Maksimovic D. High-frequency Digital Controller 1С for DC/DC Converters, APEC 2002. 96. Picard J. High-Voltage Energy Storage: The Key to Efficient Holdup, 2008-2009 Power Supply Design Seminar, Texas Instruments. 97. Skiellness T, Skiellness A., Norum L. E. Load sharing for parallel inverters without communication. Nordic Workshop on Power and Industrial Electronics. August 2002. 98. Sustersic J., Zeller J.R., Gao Z., Button R. Design and Implementation of a Digital Controller for DC-to-DC Power Converters. Copyright © 2000 Society of Automotive Engineers, Inc. 99. TMS320C280x Assembly Language Tools User's Guide. Literature Number: SPRU513B, Texas Instruments. 100. TMS320x280x DSP Analog-to-Digital Converter (ADC) Reference Guide, Literature Number: SPRU716A, 2005, Texas Instruments. 101. TMS320C280x DSP CPU and Instruction Set Reference Guide, Literature Number: SPRU430D, Texas Instrument, 2001. 102. TMS320x280x High Resolution Pulse Width Modulator (HRPWM), Reference Guide, Literature Number: 2006, Texas Instruments.
Литература 57! 103. TMS320F2809, TMS320F2808, TMS320F2806, TMS320F2802, TMS320F2801, UCD9501, TMS320C2802, TMS320C2801 Digital Signal Processors. Data Manual. Literature Number: SPRS230G, Texas Instruments, 2006. 104. Understanding Pipelined ADC, APPLICATION NOTE 1023, Dallas Semiconductor, 2001. 105. Ward R.R., Dawson W.J., Zhu L., Kirschman R.K., Niu G., Nelms R.M., Mueller O., Hennessy M.J., Mueller E.K., Patterson R.L., Dickman J.E. and Hammoud A. SiGe Semiconductor Devices for Cryogenic Power Electronics — IV, APEC'06, pp. 1673-1676. 106. Yakushev V, Meleshin V., Fraidlin S. Full-bridge Isolated Current Fed Converter with Active Clamp, APEC'99, vol. 1, pp. 560-566. 107. Yang Bo. Dissertation «Topology Investigation of Front End DC/DC Converter for Distributed Power System», 2003. 108. Yang Bo, Xu P. and Lee F Range Winding for Wide Input Range Front End DC/DC Converter, APEC, 2001. 109. Zhao Y, Li Y and Lipo ТА. «Force Commutated Three Level Boost Type Rectifier.» Record of the 28th IEEE Industry Applications Society Annual Meeting, Toronto, Canada, Oct, 2-8, 1993, vol. 2, pp. 771-777. 110. Zhenyu Y Space-Vector PWM with TMS320C24x/F24x Using Hardware and Software Determined Switching Patterns. Texas Instruments, Application Report SPRA524, March 1999. 111. Wittenbreder E.H. High Efficiency Coupled Inductor Soft Switching Power Converters, Патент США№ 3272023В1, 2001.
Производство книг на заказ Издательство «Техносфера» тел.: (495) 234-01-10 e-mail: knigi@technosphera.ru Реклама в книгах: • модульная • статьи Подробная информация о книгах на сайте http://www.technosphera.ru Мелешин Валерий Иванович Овчинников Денис Александрович Управление транзисторными преобразователями электроэнергии Компьютерная верстка — А.В. Бурага Корректор - М.Г. Емельянова Дизайн — А.В. Войткевич Выпускающий редактор — О.Н. Кулешова Ответственный за выпуск — О.А. Казанцева Формат 70x100/16. Печать офсетная. Гарнитура Ньютон Печ.л. 36 Тираж 2000 экз. Зак. № 2209. Бумага офсет №1, плотность 65 г/м2. Издательство «Техносфера» Москва, ул. Краснопролетарская, д. 16, стр.2 Отпечатано в ООО ПФ «Полиграф-Книга» 160001 г. Вологда, ул. Челюскинцев, дом 3
Связь инжиниринг
mlsystem LlIXYS M www.mt-syst8in.ru