Текст
                    В. А. Никамин
Аналого-цифровые
и цифро-аналоговые
преобразователи
СПРАВОЧНИК
A/D & D/A Converters
Reference Book
Санкт-Петербург
КОРОНА принт
Москва
«Альтекс-А»
2003


Никамин В. А. Аналого-цифровые и цифро-аналоговые преобразователи. Справочник. — СПб.: КОРОНА принт; М.: «Альтекс-А», 2003. — 224 е., ил. сканировал: kipiaxxx , обработал: jtp Изложены теория и принципы построения различных типов АЦП и ЦАП. Рассмотрены погрешности и основные характеристики преобразователей, определяющие сферу их применения. Особое внимание уделено сигма-дельта модуляции и получившим в последние годы широкое распространение преобразователям на ее основе. Приведены технические характеристики интегральных АЦП и ЦАП последнего поколения. Для специалистов, занимающихся разработкой и эксплуатацией устройств, включающих в себя А/Ц и Ц/А-преобразователи. ISBN 5-94271-013-9 ISBN 5-7931-0253-1 «КОРОНА ПРИНТ» Оптовая торговля: (812) 259-68-17; 2513394 E-mail: coronapr@online.ru Киша — почтой: 198005, Санкт-Петербург, Измайловский пр., 29 (для КОРОНЫ принт) фирменный магазин — СПб, ул. Тульская, б (812) 274-91-71 ISBN 5-7931-0253-1 ISBN 5-94271-013-9 © КОРОНА принт, 2003. © Никамин В. А., 2003.
Предисловие Современные цифровые технологии, обладая неограниченными возможностями по обработке, передаче и хранению огромных объемов информации, всё активнее внедряются в самые разные сферы человеческой деятельности, даже в те из них, где прежде традиционно господствовали аналоговые. Ничего противоестественного в этом, конечно, нет. Такой процесс закономерен. Совершенствование технологий производства, исследование окружающей нас природной среды, изучение глубинных возможностей человеческого организма и решение других жизненно важных задач требуют огромного количества вычислений, и вычисления эти должны быть как можно более точными. Но здесь-то как раз и возникает определенное противоречие. Ведь все известные физические явления и процессы, которые служат источниками исходных данных для вышеупомянутых вычислений, являются непрерывными аналоговыми величинами. Интенсивность излучения, температура нагретого тела, перемещение исполнительного механизма обрабатывающего станка, сила звука, напряженность магнитного поля, форма поверхности сложного изделия — все это чисто аналоговые величины. Поэтому, прежде чем произвести какое-либо вычисление, надо получить численные значения величин, над которыми производятся вычислительные операции, т. е. осуществить преобразование аналоговой величины в соответствующий ей цифровой эквивалент. И точность такого преобразования должна быть не хуже желаемой точности результата. Кроме того, нередко результат вычисления также должен быть представлен в виде аналоговой величины с высокой степенью точности. Если необходимой точности при таких преобразованиях достичь не удастся, то вся мощь современной вычислительной техники оказывается бесполезной. Еще одним аргументом в пользу цифрового представления аналоговой информации является возможность сохранения последней в неизменном виде в течение практически неограниченного времени. Многие из аналоговых процессов быстротечны и не повторяются вновь, фиксация их аналоговыми методами — записью на какой-нибудь носитель — фотопленку или магнитную ленту, недостаточно надежна и имеет свойство со временем ухудшаться — вплоть до полного разрушения. Цифровые же методы подобными недостатками не страдают, поскольку запись производится всего двумя
символами — «единицей» (есть сигнал) и «нулем» (сигнала нет). В таком виде информация неизмеримо более устойчива к воздействию разного рода искажающих факторов. Нет необходимости заботиться о точной форме импульса — достаточно, чтобы он был вообще. К тому же разработанные на сегодняшний день алгоритмы защиты цифровой информации от ошибок позволяют практически свести к нулю результат любого искажающего воздействия, такого, которое для аналоговой информации было бы равносильно ее безвозвратной утрате. По указанным выше причинам роль приборов, преобразующих аналоговые величины в цифровые и обратно — аналого-цифровых и цифро- аналоговых преобразователей (АЦП и ЦАП) становится чрезвычайно значимой, поскольку им отводится роль своего рода «посредников», между аналоговой природой окружающего нас мира и вычислительной мощью созданного интеллектом человека искусственного «цифрового мира». Роль эта весьма ответственна. Какова точность преобразования, такова будет и точность результата. Цель данной книги состоит в том, чтобы ознакомить читателя с физическими и математическими основами процессов аналого-цифрового и цифро-аналогового преобразований, помочь специалисту разобраться с многочисленными типами современных АЦП и ЦАП и присущими им погрешностями, оценить их потенциальные возможности, достоинства и недостатки в свете требований, предъявляемых к ним в конкретной прикладной задаче. В конце книги приводится перечень выпускаемых современной промышленностью преобразователей и их технические характеристики.
1. ОСНОВНЫЕ ОПРЕДЕЛЕНИЯ 7.7. Виды сигналов, используемых в аналого-цифровом и цифро-аналоговом преобразованиях Прежде чем приступать к анализу процедур аналого-цифрового и цифро-аналогового преобразования, следует ознакомиться с основными видами электрических сигналов, которые в дальнейшем будут служить объектами упомянутых преобразований. В самом общем случае такие сигналы можно разделить на четыре класса [1]: □ произвольные по величине и непрерывные по времени (рис. 1.1 а, б); □ произвольные по величине и дискретные по времени (рис. 1.1 в); □ квантованные по величине и непрерывные по времени (рис. 1.1 г); □ квантованные по величине и дискретные по времени (рис. 1.1 д). Сигналы s(t) и s \t\ показанные на рис. 1 а и рис 1 б, принадлежат одному классу и чаще всего называются аналоговыми, поскольку их можно толковать как электрическое отображение реальных физических процессов. Аналоговые сигналы задаются по оси времени на несчетном множестве точек и являются непрерывными или континуальными. По оси ординат такие сигналы также могут принимать любые значения в определенном интервале. Однако, как показано на рис 1.1.6, функция s'(t) в принципе может иметь и разрывы в некоторых точках (f на рис 1.16), поэтому из двух определений — «аналоговые» и «континуальные» для такого рода функций наиболее корректным было бы определение «континуальные». Тем не менее в дальнейшем изложении для обозначения сигнала s(t), произвольно-
Рис. 1.1. Основные виды сигналов: а) непрерывный (произвольный) по величине и непрерывный по времени; б) кусочно-непрерывный по величине и непрерывный по времени; в) произвольный по величине и дискретный по времени; г) квантовый по величине и непрерывный по времени; д) квантовый по величине и дискретный по времени
го по величине и непрерывного по времени, будем пользоваться более привычным для специалистов термином «аналоговый». Сигнал S(nT), показанный на рис. 1.1 в, также, как и аналоговый, может принимать любые значения по оси ординат, но по оси времени он определен только для некоторых фиксированных точек, т. е. является функцией дискретной переменной {пТ}, где п = О, 1,2 ..., а Т— интервал дискретизации. Такой сигнал называется дискретным, причем в данном случае термин «дискретный» характеризует не сам сигнал, а способ его задания на временной оси [2]. Дискретные не квантованные по амплитуде сигналы используют в системах связи с амплитудно-импульсной модуляцией (АИМ). Сигнал, показанный на рис. 1.1 г, задан на всей временой оси, однако величина его может принимать только дискретные значения. В подобном случае говорят о сигнале, квантованном по уровню. Чтобы отличить дискретность сигнала по уровню от дискретности по времени, термин «дискретный» будет применяться только к дискретизации по времени, дискретность же по уровню будет характеризоваться термином «квантование». Квантование используют в том случае, когда необходимо преобразовать сигнал в цифровую форму. Для этого весь диапазон изменения величины сигнала разбивают на счетное число уровней и каждому уровню присваивают определенный номер, который затем кодируют двоичным кодом с конечным числом разрядов. Величина сигнала измеряется в заданных точках на оси времени. Такой сигнал—дискретный по времени и квантованный по уровню, называется цифровым. Он показан на рис. 1.1 /.2. Последовательность преобразования аналогового сигнала в цифровой Рассмотрим в качестве примера преобразование некоторого произвольного аналогового сигнала s(t), спектр которого S(ico) ограничен частотой сошкс, в цифровой сигнал s (пТ), где Т- l/F, а п = 0,1,2...
Преобразование включает в себя три основные операции: дискретизацию и квантование и кодирование (рис. 1.2). Рис. 1.2. Аналого-цифровое преобразование: а — исходный аналоговый сигнал; б — дискретизация; в — квантование
Операция дискретизации состоит в том, что по заданному аналоговому сигналу s(t) (рис. 1.1а) строится дискретный сигнал S(nT), причем s(nT) = s(i) [3]. Физически такая операция эквивалентна мгновенной фиксаций выборки из непрерывного сигнала s(i) в моменты времени t = пТ [4], после чего образуется последовательность выборочных значений {s(nT)}. Конечно, такую дискретизацию на практике осуществить невозможно. Реальные устройства, запоминающие значения аналогового сигнала (они называются устройства выборки и хранения —УВХ), не в состоянии сделать этого мгновенно — время подключения их к источнику сигнала всегда конечно. Кроме того, из- за неидеальности ключей и цепей заряда запоминающей емкости УВХ, значение взятой выборки s{nT) в той или иной степени отличается от величины исходного сигнала s(t). Тем не менее в абстрактных рассуждениях равенство s(t) = s(nT) считается справедливым. Теоретически процесс дискретизации можно представить как умножение исходного сигнала s{t) на некоторую решетчатую функцию х(пТ) с единичной амплитудой (рис. 1.3). В качестве такой функции чаще всего используют дискретную дельта-функцию 8(п-т)Т) которая определяется следующим образом Спектр 5(eicoT) полученной последовательности s(nT) выразится через преобразование Фурье ягг лтл прил = т 10, при пФт. Тогда операция дискретизации будет эквивалентна амплитудной модуляции дельта-функции $(п - т)Т) функцией s{t) s(nT) = s(t) • 8((л - m)T) = JT S(mT) • 8 ((n - m)T). (1.1) S(eicoT) = ^s(nT) еЧшТ f л=0 (1.2)
Рис. 1.3. Представление операции дискретизации сигнала S(t) в виде процесса модуляции им решетчатой функции х(пТ) а связь между спектрами S(ei(oT) и S{ico) дискретного сигналамиТ) и аналогового s(t) определится формулой [3, 5]: S(eicoT) = -^S(ico + icoam) (1-3) Из (1.3) следует, что после дискретизации спектр сигнала s(t) будет «размножен» по оси частот в обе стороны от оси ординат, группируясь вокруг частот, кратных сод (рис. 1.4). При этом, в зависимости от знака и величины ш, различают:
основной прямой спектр (прямая часть спектра) S+(e,coT), который является частью спектра S (е1СоТ) сигнала s(nT), полученной в итоге дискретизации аналогового сигнала s(i) и расположенной в области нижних частот от 0 до сод/2 = я/Т; основной инверсный спектр (инверсная часть спектра) S~ (е1<оТ) — это часть спектра 5 {eicoT), сигналаs(nT), полученная в итоге дискретизации аналогового сигнала s(t) и расположенная в области частот от 0 до -сод/2 = -я/Т; сдвинутый прямой спектр (или просто прямой спектр) $k (ei(°T) — часть спектра S(emT), удовлетворяющая условию: (1.5) где 0<со<к/Т,ак — целое число; сдвинутый инверсный спектр (или просто инверсный спектр) S"(ei0)T)— часть спектра S(eicoT), удовлетворяющая условию: где 0<со<л/Т,ак—целое число; Поскольку дискретный сигнал s{nT) в моменты времени t = пТ сохраняет информацию об аналоговом сигнале s(t) и в спектре сигнала s(nT) содержится спектр сигнала s(t), то последний, очевидно, может быть восстановлен. Для этого дискретный сигнал достаточ- Рис. 1.4. Размножение спектра сигнала s(t) после дискретизации
но пропустить через фильтр низких частот, полоса которого соответствует полосе частот исходного сигнала. Тогда спектр на выходе такого фильтра будет идентичен спектру сигнала до дискретизации. Однако такая операция будет возможна только в том случае, если после дискретизации не произойдет перекрытия основного спектра и соседнего с ним сдвинутого. Если спектры перекроются, то в процессе дискретизации появится множество новых комбинаторных частот, которые попадут в полосу исходного сигнала и никакой фильтрацией избавиться от них уже не удастся (рис. 1.5). Условие, при котором восстановление исходного сигнала s(t) по его дискретным значениям s(nT) будет возможным, сформулировано в известной теореме Котельникова (теорема отсчетов): «Если наи- Рис. 1.5. Эффект перекрытия спектров и его последствия
высшая частота в спектре функции s(t) меньше, чем/макс, то функция s(t) полностью определяется последовательностью своих значений в моменты, отстоящие друг от друга не более, чем на 1//шкс секунд, [1,6]. Другими словами, чтобы восстановление было точным, частота дискретизации F должна по меньшей мере в два раза превышать максимальную частоту /шкс в спекре преобразуемого аналогового сигнала s(t). Эта предельно допустимая максимальная частота^акс в спектре сигнала называется частотой Найквиста/н. В связи с этой частотой как в отечественной литературе, так и в публикациях зарубежных специалистов иногда возникают некоторые недоразумения. Нередко частоту Найквиста путают со скоростью Найквиста, которая характеризует минимально возможную для данной частоты Найквиста скорость дискретизации аналогового сигнала и которая вдвое выше максимальной частоты в его спектре (частоты Найквиста). Поскольку на практике исходным параметром при дискретизации какого-либо сигнала служит частота дискретизации, то более корректным следует считать определение частоты Найквиста по заданной скорости Найквиста, а не наоборот, т.е. частота Найквиста — это та максимально допустимая частота в спектре сигнала, дискретизуемо- го с заданной скоростью Найквиста FH, когда ещё не происходит перекрытия спектров и связанного с этим явлением возникновения перекрестных искажений. На практике при дискретизации широкополосных сигналов приходится жестко ограничивать их спектры с помощью высокодобротных фильтров низких частот, которые называются анти-элай- синг фильтрами. Спад характеристики у таких фильтров (как, впрочем, и у любых других фильтров) не бывает строго вертикальным. Поэтому реально частота /шкс должна быть несколько ниже частоты Найквиста fH. Тем не менее при анализе теоретических моделей аналого-цифровых преобразователей часто пользуются понятиями частоты и скорости Найквиста, полагая, что скорость Найквиста Fh — это удвоенная частота Найквиста^, т.е. FH=2fH [7]. В соответствии с теоремой Котельникова, дискретизацию сигнала s(t), наивысшей частотой, в спектре которого является <*>макс= 2п/шкс, можно представить как разложение в ряд
5(0= X ^Ж(0 = Х *(7Г~} _ /9/ Т - "=^° "=-~ ^/макс ^макД* П' ^Juzkc' ( . = Е )sinc(r-n/2/MaKC) л=-°° 7 макс где функция (р/;(0 = sinc(r-n/2fMaKC) обладает следующими свойствами: • в точке / = пТ (рп(и7) = 1; • в точках / = кТ, где к— любое целое положительное или отрицательное число, отличное от и, <рп(кТ) = 0. Выражение (1.7) полностью определяет заданный сигнал s(t) в точках отсчета, поскольку коэффициентами ряда являются значения выборок из функции s(nT). Системы дискретизации аналоговых сигналов могут быть двух видов: □ с постоянным периодом дискретизации, как во всех показанных выше примерах (равномерная дискретизация); □ с переменным периодом дискретизации (адаптивная дискретизация). В подавляющем большинстве случаев используется равномерная дискретизация — как по причине того, что к ней легче применить математический аппарат, так и по причине того, что устройства для ее осуществления гораздо проще реализовать физически. После того, как сигнал дискретизован, производится его квантование и кодирование, что, собственно, и является основной операцией при аналого-цифровом преобразовании. На этом этапе по заданному дискретному сигналу s{пТ) строится цифровой кодированный сигнал su(nT). Также, как и дискретный, цифровой сигнал описывается решетчатой функцией, но в данном случае эта решетчатая функция является еще и квантованной, т.е. способной принимать лишь ряд дискретных значений, которые называются уровнями квантова-
ния (рис. 1.2 в). Уровни квантования образуются путем разбиения всего диапазона, в котором изменяется аналоговый сигнал, на ряд участков, каждому из которых присваивается определённый номер. Эти номера кодируются заранее выбранным кодом. Поскольку цифровые системы оперируют с двоичными числами, т. е. числами, выражающимися в виде поразрядных комбинаций всего двух цифр — «ну- лей»(«0») и «единиц» («1»), то номера уровней квантования также кодируются двоичным кодом, а их число N выбирается равным 2т. Если сигнал однополярный, то все 2т уровней будут выражать положительные значения аналогового сигнала, если двухполярный, то одна половина (2m_1) уровней будет выражать отрицательные значения сигнала, другая (также 2m_1) — положительные. Квантование может осуществляться двумя способами. При одном способе расстояние между любыми двумя соседними уровнями, которое называется шагом квантования, будет одинаковым, при другом — может отличаться по определенному закону. Способ, когда шаг квантования постоянен, называется л шейным квантованием, способ, когда шаг квантования изменяется — нелинейным квантованием. В данном разделе мы будем рассматривать только линейное квантование, с нелинейным же познакомимся несколько позже. Поскольку аналоговый сигнал в диапазоне своего изменения может принимать бессчетное множество значений, а число уровней квантования всегда конечно, очевидно, что процесс квантования сопровождается появлением неустранимой ошибки, которая называется погрешностью квантования. И действительно, какое бы значение не принимал аналоговый сигнал в пределах одного участка (шага) квантования, оно всегда будет обозначаться одним и тем же кодовым словом, соответствующим, как правило, центру этого участка. Чем дальше значение аналогового сигнала от центра участка, тем больше получается ошибка в его оценке. Единственным способом уменьшения погрешности квантования является увеличение числа разрядов кода, которым обозначаются уровни квантования. Каждое увеличение разрядности кода на единицу вдвое увеличивает число уровней квантования и, следовательно, вдвое уменьшает погрешность квантования. Но какой бы высо-
кой ни была разрядность кода, погрешность квантования всегда будет присутствовать. В этом состоит основное отличие операции квантования от операции дискретизации. Поскольку при дискретизации s(nT) = s(t) при t = пТ} то дискретные сигналы, как и аналоговые, образуют линейное пространство, т. е. линейная комбинация аналоговых (дискретных) сигналов также является аналоговым (дискретным) сигналом. Поэтому для решения задач по их обработке применим аппарат теории линейных цепей. Цифровые же сигналы, полученные путем квантования, линейного пространства относительно операций сложения и умножения не образуют. Во-первых, процедура квантования почти всегда сопровождается появлением неустранимой погрешности. Во-вторых, линейная комбинация цифровых сигналов, выражаемых m-разрядными кодами, может иметь разрядность большую, чем m и, чтобы получить т-раз- рядный код результата, приходится выполнять операцию округления и усечения. Поэтому устройства цифровой обработки сигналов, реализующие преобразование одной цифровой последовательности sJjiT) в другую s' (пТ) путем выполнения обычных арифметических операций сложения и умножения, являются, в принципе, нелинейными. В связи с вышесказанным следует подчеркнуть одно очень важное обстоятельство. Часто при проектировании систем, включающих в себя устройства аналого-цифрового и цифро-аналогового преобразований сигналов, полученных в результате ограничения спектра широкополосных сигналов с помощью фильтров низких частот, разработчики переносят утверждение теоремы Котельникова о возможности точного восстановления исходного аналогового сигнала по отсчетам дискретного на результат аналого-цифрового и цифро-аналогового преобразований, что является в принципе, ошибочным. Во-первых, теорема отсчетов сформулирована Котельниковым только для дискретных сигналов, к которым приненимы принципы теории линейных систем, а не для цифровых. Во-вторых, она справедлива только для случаев, когда спектр S(m) исходного сигнала s(t) строго ограничен, т. е. S(m) = О при со > а> (где со = 2nf — круговая частота аналогового сигнала) и дискретиза-
ция его производится с частотойFa>2/макс. Ограничение же спектров реальных широкополосных сигналов с помощью ФНЧ такого тождества обеспечить не может. Поэтому в том виде, в котором теорема Котельникова сформулирована для дискретных сигналов, к системам, включающим в себя а/ц и ц/а-преобразования, она может служить только теоретической моделью для очень приблизительных расчетов. Отношение максимальной величины аналогового сигнала к величине ошибки квантования, является одной из важнейших характеристик качества работы системы аналого-цифрового преобразования. Рисунок 1.6 иллюстрирует преобразование аналогового сигнала s(t) в системе с линейной шкалой, состоящей из совместно работающих m-разрядных АЦП и ЦАП. Преобразование осуществляется таким образом, что квантованный сигнал принимает значение первого уровня квантования в тот момент, когда сигнал s(i) достигает центра первого интервала квантования, значение второго уровня квантования — когда достигает центра второго интервала квантования и т. д. Очевидно, что ошибка квантования будет максимальной в тот момент, когда сигнал s(i) находится на границе интервала квантования, и величина ее в этот момент будет равна половине величины шага квантования Q (рис. 1. 6 в). Если сигнал s(t) имеет высокий уровень и широкий спектр частот, то ошибка квантования Q(t) превращается в статистически случайную величину и любые ее значения от -QI2 до +Q/2 становятся равновероятными (рис. 1.7). В подобных условиях—например, если s(t)—сложный звуковой сигнал высокого уровня—ошибка квантования при прослушивании напоминает аналоговый аддитивный белый шум, и по этой причине ее принято называть шумом квантования. Однако именно звуковой сигнал после осуществления над ним процедур а/ц- и ц/а-преобразования часто приобретает неудовлетворительное качество. Основной причиной такого ухудшения является крайне неприятное слуховое восприятие шума квантования во время фрагментов музыкальной программы с тихим звучанием, когда аналоговый сигнал кодируется малым числом разрядов. Кроме того, причи-
Рис. 1.6. А/Ц и Ц/А-преобразования (а), характеристика квантования (б) и погрешность квантования (в)
Рис. 1.7. Плотность вероятности погрешности квантования ной ухудшения звучания может быть недостаточно высокая степень подавления составляющих спектра выше частоты Найквиста перед осуществлением аналого- цифрового преобразования. Однако детальное обсуждение упомянутых вопросов выходит за рамки данной книги, поэтому упоминание о них в дальнейшем будет производиться только в пределах изложения основного материала. Как следует из вышесказанного, величина шума квантования не зависит от величины и характера преобразуемого сигнала s(t), а является функцией величины шага квантования Q, который в свою очередь, зависит от количества уровней квантования N или, что то же самое, от разрядности квантования т. Среднюю мощность шума квантования P(Q) нетрудно вычислить исходя из треугольной формы его зубцов и амплитуды g/2, Средняя мощность шума за период времени, равный длительности одного зубца, равна (1/3) (Q/2)2 = QV12 [1]. Поскольку от длительности зубца эта величина не зависит, можно принять, что средняя мощность шума квантования Q2 что полностью совпадает с формулой Беннета [8]. (1.8) Максимальное значение полуволны (положительной или отрицательной) аналогового сигнала, квантованного с помощью w-раз- рядного преобразователя, будет равно 2m~lQ, а его среднеквадратичное значение, соответственно 2m"'Q ~7Г' (1.9)
Среднеквадратичное значение шума квантования V равно VQ=JP(Q) = >/l2' (1.10) Тогда отношение сигнал/шум SNR = ^- = 2я'"1 Q- л/12 = 2m-^ = 2m7U, (1.11) что в децибеллах составит SNR (дБ) = 6,02/и + 1,76. (1.12) Для упрощения расчетов эту формулу, как правило, округляют до Иногда формулы (1.12) и (1.13) используют для определения динамического диапазона а/ц — преобразования, что также представляется логичным, поскольку заданием разрядности т одновременно задается и величина шума квантования [9]. 7.3. Коды, используемые в АЦП и ЦАП Цифровые данные, полученные в результате преобразования аналоговых величин, должны иметь такое представление, которое было бы «понятно» системам, обрабатывающим эти данные. Чаще всего цифровые системы оперируют с данными, представленными в прямом двоичном, обратном двоичном, двоичном дополнительном и двоичном смещенном кодах. Как правило, эти данные нормированы таким образом, чтобы все вычислительные операции выполнялись с числами, по абсолютному значению меньшими единицы: Преобразуемые аналоговые сигналы в большинстве случаев являются двухполярными, поэтому при кодировании результата их преобразования код числа должен предусматривать специальный раз- SNR (дБ) = 6т + 2. (1.13) 0<|А|<1. (1.14)
ряд, характеризующий его полярность. Этот разряд так и называется знаковым, и располагается на крайней левой позиции в записи кода. Разрядность двоичного кода определяет точность представления числа. Если код числа имеет т+\ двоичный разряд (старший разряд — знаковый, остальные — числовые), то такой код позволяет представить 2m+1 различных чисел (2т положительных и 2т отрицательных с шагом 2_т) в диапазоне /.3./. Прямой код Правила кодирования прямым кодом, который обозначается как [А]пр, следующие. Для положительных чисел в знаковый разряд его записывается 0, для отрицательных — 1. Оставшиеся т разрядов представляют числовые разряды (дробную часть) исходного числа, причем самый младший разряд располагается на крайней правой позиции, т. е., вес разрядов возрастает справа налево в направлении к знаковому разряду. Например, положительное число А = +0,10101 в прямом коде будет выглядеть как, [А]п = 0,10101, а равное ему по модулю отрицательное число В = -0,10101 как [В]пр =1,10101. 7.3.2. Обратный код Правила кодирования обратным кодом, который обозначается как [А]обр, похожи на правила кодирования прямым кодом , но числовые разряды отрицательных чисел здесь инвертируются 0 < |А| < 1-2"т . (1.15) га1 =j0' ai,a2"'a" приа-° 1 jn> [1, ара2...а„, приА<0 (1.16) [а1 ={0, apa2"'a- приа"° 1 Jo6" |l, ара2...а„, приА<0 (1.17)
Например, отрицательное число В=-0,10101 из предыдущего примера в обратном коде будет выглядеть следующим образом [В]обр = = 1,01010. 7.3.3. Дополнительный код Обозначается как [А] . Правила кодирования положительных чисел те же, что для прямого и обратного кодов, т. е. [А]доп= [А]пр+[А]обр. Правило кодирования отрицательных чисел: в знаковый разряд кода так же, как и при прямом и обратном кодировании, записывается 1; числовые разряды исходного числа инвертируются (как при обратном кодировании) и к младшему разряду прибавляется «единица»: Например, отрицательное число В = -0,10101 в дополнительном коде будет выглядеть как [в] =1,10101 + 1 —» 1,01010 +1 —> 1,01011 - 7.3.4. Смещенный код Этот код очень похож на дополнительный и отличается от него только обозначением знакового разряда. Если в дополнительном коде положительные числа имеют в знаковом разряде 0, а отрицательные — 1, то у смещенного кода картина противоположная: положительные числа имеют в знаковом разряде 1, а отрицательные — 0. Нулевой символ, который считается положительным числом, здесь также имеет в знаковом разряде 1. Обозначается смещенный код как [А]смещ. В остальном правила кодирования смещенным кодом те же, что и у дополнительного: 10, ара2...ат L J~ [1, apa2...aWJ+2-w при А > 0 при А < 0 • (1.18) ■доп Гд-1 I*' а1'а2"-а/и 1 U™~[0,a„a2...am+2-'" приА >0 приА < 0 . (1.19)
Например, положительное число +0,10101 в смещенном коде будет выглядеть как [В]смещ = 1,10101, а отрицательное-0,10101как ГС] =1,10101 + 1 -> 0,01010 + 1 -> 0,01011. L -«смещ ' 7 Свое название «смещенный» код получил оттого, что вся шкала кодирования из 2m+l чисел получается путем последовательного сдвига (смещения) первой ее половины от 0 до 2ш+1 в область отрицательных значений, при этом вторая половина, начиная со значения 2т, остается в области положительных значений. Это хорошо видно из таблицы I, где представлены все четыре описанных в данной главе способа кодирования двоичных чисел. Таблица I. Представление двоичных чисел Десятичное число Прямой код Обратный код Дополнительный код Смещенный код +0,7 0,111 0,111 0,111 1,111 +0,6 0,110 0,110 0,110 1,110 +0,5 0,101 0,101 0,101 1,101 +0,4 0,100 0,100 0,100 1,100 +0,3 0,011 0,011 0,011 0,011 +0,2 0,010 0,010 0,010 0,010 +0,1 0,001 0,001 0,001 0,001 +0,0 0,000 0,000 0,000 0,000 -0,0 1,000 1,111 0,000 1,000 -0,1 1,001 1,110 1,111 0,111 -0,2 1,010 1,101 1,110 0,110 -0,3 1,011 1,100 1,101 0,101 -0,4 1,100 1,011 1,100 0,100 -0,5 1,101 1,010 1,011 0,011 -0,6 1,110 1,001 1,010 1,010 -0,7 1,111 1,000 1,001 0,001 -0,8 - - 1,000 0,000
Из таблицы I также видно, что в прямом и обратном кодах «нуль» имеет двоякое обозначение, что создает известные трудности при вычислениях. Поэтому в системах цифровой обработки сигналов чаще всего используются дополнительный и смещенный коды. 1.3.5. Связь способа кодирования с характером ошибки квантования В разделе 1.2 описан случай квантования аналогового сигнала s(f), когда квантованный сигнал £ВЬ1Х(0 принимает значение того или иного уровня квантования в тот момент, когда аналоговый сигнал s(t) достигает центра соответствующего интервала квантования. Ошибка квантования Екв в этом случае находится в пределах -<2/2<£кв<+<2/2, где Q = 1/2т — интервал (шаг) квантования, равный по величине младшему значащему разряду кода, которым обозначаются номера уровней квантования. Такая ошибка называется ошибкой округления и обозначается символом Е0. Квантованию может подвергаться не только аналоговый сигнал, но и цифровой. Квантование цифрового сигнала заключается в сокращении числа разрядов кода с некоторой величины m до величины Ъ (m >b)n является обычной процедурой в цифровых фильтрах. Поскольку любые арифметические операции с цифровыми сигналами являются нелинейными, результаты их могут содержать сколь угодно много значащих разрядов кода. Сокращение их числа до некоторого значения Ъ может осуществляться двумя способами — округлением и усечением. Округление — это замена исходного m-разрядного числа на ближайшее к нему b-разрядное (Ь<т< °°), т. е. соответствует выбору ближайшего уровня квантования. Ошибка округления Е0 удовлетворяет неравенству -2-*/2<£0<2"*/2. (1.20)
Следует заметить, что при выборе способа сокращения разрядности двоичного кода (или способа квантования аналогового сигнала) ошибка квантования может зависеть от способа представления двоичного числа. Однако в случае округления ошибка будет одинакова для всех четырех вышеописанных кодов — прямого, обратного, дополнительного и смещенного. Характеристика нелинейности, соответствующая операции округления, показана на рис. 1.8 я. Она тождественна характеристике квантования, представленной на рис. 1.6 б. Рис. 1.8. Характеристики нелинейности квантования: а) для операции округления; б) для операции усечения при дополнительном и смещенном кодах; в) для операции усечения при прямом и обратном кодах
Плотность вероятности ошибки округления Р(Е0), в предположении, что все значения ошибки равновероятны, показана на рис. 1.9я[3]. Усечением w-разрядного числа до Ъ разрядов (Ь <т< °о) называется отбрасывание младших т-Ъ разрядов исходного числа. При аналого-цифровом преобразовании усечению соответствует случай, когда квантованный сигнал принимает значение того или иного уровня квантования только после пересечения его верхней границы. а б в Рис. 1.9. Плотности вероятности ошибки квантования: а) для ошибки округления; б) для ошибки усечения при дополнительном и смещенном кодах; в) для ошибки усечения при прямом и обратном кодах Ошибка усечения Еу удовлетворяет неравенствам: а) для положительных чисел при любом способе кодирования и отрицательных чисел в дополнительном и смещенном кодах -2"*<Е,<0 (1.21) б) для отрицательных чисел в прямом и обратном кодах 0<£0<2-' (1.22) В (1.21) и (1.22) считается, что m»b. Характеристика нелинейности, соответствующая операции усечения для дополнительного и смещенного кодов, показана на рис. 1.8 6, для прямого и обратного кодов — на рис. 1.8 е.
Плотности вероятности ошибки усечения Р(Е) показаны на рис. 1.9 б для дополнительного и смещенного кодов, и на рис. 1.9 в — для прямого и обратного кодов. 7.4. Нелинейное квантование В некоторых случаях линейное квантование аналогового сигнала оказывается недостаточно эффективным. Типичным примером такой ситуации является аналого-цифровое преобразование звуковых сигналов. Если звуковой сигнал, который требуется преобразовать в цифровую форму, имеет достаточно широкий динамический диапазон (например, звучание симфонического оркестра), то отношение сигнал/шум, определяемое формулой (1.13) оказывается приемлемым только для фрагментов звучания, близкого к предельным значениям шкалы квантования. Когда уровень полезного (музыкального) сигнала достаточно высок, он маскирует шумы квантования и присутствие последних практически не ощущается на слух. Но для фрагментов тихого звучания музыкальной программы, когда диапазон изменения аналогового сигнала находится в пределах всего нескольких нижних уровней квантования (вплоть до m = 1), отношение сигнал/шум резко ухудшается, и воздействие шума квантования на звучание фонограммы оказывается катастрофическим. Процесс квантования в этом случае будет эквивалентен процессу жесткого ограничения^ сигнал будет иметь вид прямоугольных импульсов. Разница между входным сигналом (синусоидальная волна) и выходным сигналом (прямоугольные импульсы) выразится в появлении целого ряда нечетных гармоник с уровнем, сопоставимым с уровнем исходного аналогового сигнала. Одно из основных условий, при котором шум квантования считается эквивалентным белому шуму (высокий уровень входного сигнала) в данном случае оказывается нарушенными ошибка квантования приобретает характер искажения. Причем искажения эти крайне неприятны на слух. Наиболее очевидным способом борьбы с шумом квантования представляется снижение его уровня до приемлемой величины пу-
тем повышения разрядности квантования. Согласно той же формуле (1.13) каждый добавленный разряд сокращает уровень шума на 6 дБ. В большинстве случаев именно по этому пути и идут разработчики цифровой звуковой аппаратуры. Длина кодов, используемых в высококачественных системах цифровой звукозаписи, в настоящее время достигает 20-24 разрядов. Подобное решение является очень эффективным, но, к сожалению, достаточно дорогим, АЦП и ЦАП с такой разрядностью изготовить весьма непросто и это, безусловно, отражается на их цене. Альтернативным решением для систем менее высокой разрядности является введение небольшого количества специально сформированного белого шума к входному аналоговому сигналу. Такой искусственно созданный шум называется дыфером. Идея введения аддитивного шума в квантуемый сигнал принадлежит Л. Робертсу, который использовал этот прием при кодировании телевизионных изображений [10]. Впоследствии идея применения дифера была перенесена и на процесс квантования звуковых сигналов. Роль дифера, как средства борьбы с отрицательными явлениями, вызванными шумом квантования, заключается в следующем. Как уже упоминалось в разделе 1.2, шум квантования можно характеризовать как белый только для сигналов высокого уровня и сложного спектрального состава. В этом случае можно считать, что статистическая связь между ошибками квантования отсутствует, и их величины могут принимать любые значения от +Q/2 до -Q/2 с равной вероятностью. Однако, начиная с некоторого предела, ошибка квантования перестает быть случайной некоррелированной величиной и начинает порождать новые гармонические составляющие и сложные искажения. Этот предел является функцией статистической связи между последовательно появляющимися ошибками, которая характеризуется коэффициентом корреляции Ккорр. Для сигналов высокого уровня с широким спектром К = 0,01, а для синусоидальной волны низкого уровня Ktopp= 0,5 [II]. Однако К = 0,5 и для сигналов высокого уровня с узкой полосой. Коэффициент корреляции начинает уменьшаться только с расширением полосы сигнала, и лишь в этом случае шум квантования начинает приобретать свойства белого [8]. Здесь следу-
ет обратить внимание на то, что ошибка квантования во всех своих проявлениях имеет примерно одинаковую энергию, а ее субъективное восприятие изменяется лишь в силу изменения статистических характеристик самого сигнала. Дифер — это случайный шумовой сигнал, размах которого в точности равен величине шага квантования и который имеет прямоугольную функцию плотности вероятности. Складываясь с синусоидальным сигналом низкого уровня, он приводит к тому, что результат квантования такого сигнала уже не будет выглядеть как периодическая последовательность прямоугольных импульсов, порождающая ряд нечетных гармоник высокого уровня, т.е. разрушает корреляциюнную связь между сигналом и шумом квантования. В случае удачного выбора вида дифера шум квантования в большей или меньшей степени приобретает свойства белого шума, что благоприятно сказывается на звучании цифровой фонограммы. Теоретически можно считать, что хорошо спроектированная 16-разрядная система а/ц- и ц/а-преобразования, использующая правильно подобранный дифер, способна обеспечить динамический диапазон звукового сигнала в 96 дБ. Качество звучания такой системы даже при наличии некоторых искажений, обусловленных несовершенством элементной базы, удовлетворит потребности самых взыскательных меломанов. Уровень шума в подобной системе должен быть постоянным, и какие-либо искажения, связанные с его наличием, на слух заметны быть не должны. Однако создание шу- моподобного сигнала со строго прямоугольной функцией плотности вероятности и ее размахом, в точности равным величине шага квантования — задача сама по себе непростая и, несмотря на многочисленные исследования и эксперименты в этой области, не всегда приводит к планируемому разработчиками высокому результату [12-16]. До сих пор мы рассматривали системы аналого-цифрового преобразования сигналов, использующие линейную шкалу квантования. Такие системы по всем объективным показателям должны в наибольшей степени отвечать условиям максимально достижимой точности преобразования аналоговых величин в соответствующие
им цифровые эквиваленты. Тем не менее специфика передачи звуковых сигналов, рассматриваемая в данном разделе, позволяет взглянуть на процесс аналого-цифрового преобразования, а также на характер и величины связанных с ним погрешностей квантования, несколько с иной точки зрения, которая в других условиях и для других сигналов может оказаться совершенно неприемлемой. В свете вышесказанного следует обратить самое пристальное внимание на системы аналого-цифрового преобразования звука, в которых используется нелинейная зависимость выходного сигнала квантователя sm(t) = F(x) от величины квантуемого сигнала s(t) (рис. 1.10). Из рисунка видно, что нелинейность подобного рода систем выражается в том, что на начальном участке характеристики квантования, там, где входйой сигнал невелик, шаг квантования также выбирается небольшим, но по мере роста уровня входного сигнала он постепенно увеличивается, достигая наибольших значений в области максимального размаха входного воздействия. Такой способ преобразования позволяет получить достаточно высокое отношение сигнал/шум даже при очень слабом входном сиг- Рис. 1.10. Нелинейная характеристика квантования (положительная часть)
нале. Увеличение же уровня шума квантования на конечном участке характеристики квантования не столь существенно, поскольку этот шум маскируется высоким уровнем самого входного сигнала и делает его незаметным на слух. Система квантования с характеристикой, подобной представленной на рис. 1.10, является по сути компандером, сжимающим динамический диапазон звукового сигнала перед записью. Соответственно, система воспроизведения должна иметь в своем составе блок экспандера с характеристикой, обратной характеристике компандера. Сложностью в данной ситуации является то, что нелинейную систему с плавной характеристикой очень сложно реализовать физически. Поэтому в реальных системах нелинейного кодирования плавная кривая аппроксимируется линейно-ломаной линией, состоящей из нескольких отрезков, в пределах которых шаг квантования постоянен. Такие отрезки называются сегментами квантования. На рис. 1.11 представлена характеристика квантования, где таких сегментов — четыре. При переходе от сегмента с меньшим номером к сегменту с большим номером шаг квантования увеличивается вдвое. Число уровней квантования в пределах каждого сегмента постоянно и равно степени 2. На рис 1.11 каждый из сегментов включает в себя четыре (22) уровня квантования. Такие соотношения между размерами шага квантования и количеством уровней квантования предельно облегчают процесс кодирования значений отсчетов. Состоит он в следующем. Левую позицию в коде выборки занимает символ, характеризующий полярность данного отсчета (0 или 1). Затем кодируется номер сегмента, в пределах которого находится мгновенное значение уровня входного сигнала. На рис. 1.11 сегментов всего четыре, т.е. для кодирования их номеров достаточно всего двух разрядов. Эти два разряда размещаются в коде отсчета справа от знакового разряда (старший разряд слева, младший справа). После этого кодируется номер интервала квантования данного сегмента, в пределах которого находится уровень входного сигнала. На рис. 1.11 каждый сегмент включает в себя четыре интервала квантования, значит для кодирования этих интервалов также достаточно двух разрядов.
Рис. 1.11. Нелинейная четырехсегментная характеристика квантования (положительная часть) Общее число разрядов получается равным пяти, причем структура кода отсчета выглядит следующим образом: первый разряд — знаковый, и определяет полярность выборки входного сигнала; два последующих — это номер сегмента и последние два — номер интервала квантования. В итоге, если считать уровень шума квантования равным ±2/2, где Qx — шаг квантования в пределах первого сегмента, а максимальный размах входного сигнала пересчитать в количество уровней Qv то динамический диапазон пятиразрядного выходного сигнала при данном способе нелинейного кодирования получится равным ^_ ^макс = (4 + 8 + 16 + 32)6, ^12Q (2,/2 Q,/2
или в децибеллах £>(дБ) = 20 lgl20~42 дБ. Если при том же шаге Q] квантование было бы линейным пятиразрядным, то £>(дБ) = 5-6 + 2 = 32 дБ. Выигрыш очевиден. Из чего также следует, что другим полезным свойством нелинейного квантования, помимо лучшей передачи слабых сигналов, является возможность сокращения количества информации, необходимой для кодирования звукового сигнала с одним и тем же динамическим диапазоном. Однако кроме очевидных преимуществ, нелинейное квантование имеет и очевидные недостатки. В частности, слабые сигналы (или обертона) на фоне сильных сигналов (на участках характеристики с широким шагом квантования) могут сильно искажаться или даже пропадать совсем. Поэтому в высококачественных звуковых системах предпочитают использовать все же линейное квантование с высокой разрядностью. Тем не менее там, где требования к качеству звука не столь критичны, нелинейные системы находили и продолжают находить широкое применение [17-19]. Характеристика нелинейного квантования аналогового сигнала х = s(t) задается с помощью функции F(x), которая может отражать один из двух принятых на практике законов сжатия: jU-закон или А-закон. Формула для //-закона имеет вид ч1п(1 + ;ц|*|) где х — входной сигнал; F(x) — выходной сигнал; [I — фиксированный коэффициент, определяющий степень сжатия.
Формула для А-закона задается выражением F(*) = sgn(*) l + ln(A|jc|) l + ln(A) для 1 > \х\ > — (1.24) и А\х\ для —>|д: > О F(x) = sgn(x) 1 + 1п(Л) (1.25) Степень сжатия зависит от показателей fin А и увеличивается с их увеличением. Характеристику нелинейного квантования принято обозначать буквой и двумя цифрами. Например, запись А75,2/П означает, что характеристика квантования аппроксимируется по А- закону при А = 75,2 с помощью II сегментов. Запись /ЛО/13 означает, что используется характеристика квантования, соответствующая /х-закону сжатия при /х = 10 и состоящая из 13 сегментов [20].
2. АНАЛОГО-ЦИФРОВЫЕ ПРЕОБРАЗОВАТЕЛИ 2.7. Погрешности аналого-цифровых преобразователей 2.1.1. Методическая и инструментальная погрешности. Апертурная неопределенность Операция преобразования непрерывной аналоговой величины в соответствующий ей цифровой эквивалент, осуществляемая аналого-цифровыми преобразователями, является нелинейной операцией, т.е. полученный результат практически всегда содержит некоторую ошибку (погрешность). Прежде всего, это погрешность, которая обусловлена самим методом преобразования аналоговой величины в цифровую и которая определяется разрядностью кода, выражающего величину преобразуемого аналогового сигнала, т. е., числом уровней квантования и расстоянием между ними. Такая погрешность называется погрешностью квантования и принадлежит к числу методических погрешностей. Этот вид погрешности уже рассматривался нами в главе I. Другим видом погрешности является инструментальная погрешность, определяемая неточностью компарирования и формирования уровней квантования. Причин появления инструментальной погрешности чрезвычайно много. Это несовершенство электронных компонент преобразователей, изменение их параметров в зависимости от времени, температуры, нестабильности источников питания, старения и т.д. Суммарная величина инструментальной погрешности нередко может в несколько раз превышать
величину методической погрешности, отчего реальная точность преобразователей всегда хуже номинальной. Для достаточно узкополосных и медленно меняющихся сигналов операцию дискретизации иногда выполняют с помощью самих АЦП и, таким образом, совмещают ее с операциями квантования и кодирования. Однако у разных типов АЦП время преобразования является разным. К тому же во многих случаях оно зависит от величины и характера изменения входного сигнала. Поэтому отличительной чертой подобного способа преобразования является то, что из-за неопределённости момента его окончания не удается получить однозначного соответствия между значениями отсчетов и моментами времени, к которым их следует относить. При этом возникают специфические погрешности, динамические по своей природе, для оценки которых вводится понятие апертурной неопределенности, характеризующейся апертурным временем. Апертурная неопределённость проявляется либо как погрешность мгновенного значения сигнала при заданных моментах измерения, либо как погрешность момента времени, в который было произведено измерение полученного мгновенного значения сигнала. При равномерной дискретизации следствием апертурной неопределённости является возникновение амплитудных погрешностей, которые называются апертурными и численно равны приращению сигнала в течение апертурного времени [21,22]. Рис 2.1 интерпретирует эти понятия. Пусть заданными моментами измерения амплитуды сигнала являются моменты кТ, (к+\)Т, где Т— период равномерной дискретизации. Преобразование сигнала ux(t) начинается в момент кТи продолжается в течение промежутка времени tav которое и является для него апертурным временем. Заданным моментом измерения значения ux(i) является момент времени кТ, когда величина его равна u '(t). Но из-за задержки получения значения отсчета на время t фактически за результат преобразования принимается значение и "(f), полученное в момент времени kT+tal. Величина АиаХ в данном случае может рассматриваться как апертурная погрешность преобразования сигнала ux(i)B момент времени кТ. Причем величина этой погрешности такова, что сигнал в течение апертурного времени пересекает
Рис. 2.1. К понятию апертурной неопределенности: О — интервал (шаг) квантования; /О, (/+1)Q — уровни квантования; (/-1//)0, (///+1)0, (/+1//+2)0 — границы изменения кода отсчета; ТИ — тактовые импульсы: fa1 — апертурное время для сигнала Ц(г): ta2 — апертурное время для сигнала U2(t)
границу изменения кода и это приводит к изменению кода отсчета на единицу — (/"+"1)6 вместо IQ. Аналогичная картина получается и для сигнала u2(t). Его преобразование также начинается в момент времени кТ, а заканчивается в момент времени кТ+1^. Здесь величина апертурного времени ta2, меньше, чем t , и сигнал u2(t) не успевает пересечь границу изменения кода отсчета шкалы квантования. Поэтому на этот раз, несмотря на наличие апертурной ошибки Аи^, оба значения сигнала, как реальное и\ (0, так и измеренное и "2 (i) находятся в одном и том же интервале квантования. Поэтому такая ошибка на код результата преобразования не повлияет. Однако не стоит считать, что в данном случае всё обстоит так уж благополучно. На рисунке отражен только один вид погрешности — апертурная. На самом же деле, как уже говорилось, погрешностей аналого-цифрового преобразования существует достаточно много и, укладываясь вместе, они способны серьезно исказить результат. Другой интерпретацией апертурной неопределенности является апершурное «дрожание» моментов времени, в которые берутся отсчеты сигнала, по отношению к равноотстоящим по оси времени заданным моментам. При такой интерпретации значения фактически полученных отсчетов u"x(t) nu"2(i) (рис. 2.1) рассматриваются как безошибочные по величине, а ошибка переносится на временную ось, т. е. моменты взятия отсчетов перемещаются относительно заданных — для сигнала ux(t) на величину fal, а для сигнала u2(t) — на величину t^. Поскольку апертурное время — величина непостоянная, то вместо равномерной дискретизации со строго постоянным периодом получаетря дискретизация с флюктуирующим периодом повторения. Это приводит к нарушению условий теоремы отсчетов и проявлению апертурных погрешностей при дальнейшей цифровой обработке результатов аналого-цифрового преобразования. Точное выражение апертурной погрешности можно определить, разложив выражение для исходного преобразуемого сигнала в ряд Тэйлора в окрестностях точек отсчета. Для некоторой п-й точки это выражение примет вид:
u{t) = u(tn) + tau\tn) +1 u\tn) + ±ul (t„), (2.1) где tn = kT(k = 0, 1,2...) для равномерной дискретизации; ta — апертурное время, которое для рассматриваемого случая можно считать равным времени преобразования АЦП. Выражение (2.1) дает приближенную оценку апертурной погреш- Оценку апертурных погрешностей производят с помощью синусоидального измерительного сигнала u(t) = L^sinatf, для которого максимальное относительное значение апертурной погрешности Aujum = Ша. Если принять, что для m-разрядного АЦП с разрешением 2~т апертурная погрешность не должна превышать шага квантования, то между частотой преобразуемого сигнала со, апертурным врменем ta и относительной апертурной погрешностью имеет место соотношение Получается, что для дискретизации синусоидального сигнала с частотой 100 кГц при заданной погрешности не более 1% время преобразования АЦП должно быть равно 25 не. Это очень высокое быстродействие. С помощью такого АЦП в принципе возможно преобразование сигналов с шириной спектра 20 МГц. Таким образом, очевидно, что дискретизация с помощью самого АЦП чрезвычайно невыгодна, поскольку приводит к существенному недоиспользованию потенциальных скоростных возможностей преобразователей. Причем расхождение реальных и потенциальных возможностей достигает 2-3 порядков. К тому же это сильно усложняет и удорожает процедуру дискретизации, т. к. даже для сравнительно узкополосных сигналов требует быстродействующих, а значит дорогих, АЦП. По этой причине такая процедура используется довольно редко, а проблему апертурных погрешностей решают с помощью ус- ности Див(0 = и'(0'«- (2.2) \12т = Ш. а (2.3)
тройств выборки и хранения (УВХ), которые фиксируют мгновенные значения преобразуемого сигнала на все время преобразования. 2.1.2. Оценка общей погрешности аналого-цифрового преобразования Как говорилось выше, общая погрешность преобразования складывается из погрешности, связанной с процессом дискретизации (инструментальная составляющая) и погрешности результата преобразования, когда величина выборки кодируется ближайшим уровнем квантования (методическая составляющая). Для ее оценки необходимо в качестве эталона воспользоваться понятием идеального квантователя с идеализированной номинальной функцией преобразования [23]. Пусть идеальный квантователь описывается номинальной функцией преобразования (рис. 2.2) hH(x) = Ent[(x + 0,5Q)/Q] (2.4) где h — цифровое значение выходного сигнала s(nT), принадлежащее конечному множеству Я таких значений; х — значение непрерывного входного сигнала s(t), принадлежащее бесконечному множеству Этаких значений; Ent [а] — символ, обозначающий целую часть числа а; Q — номинальный шаг квантования, выраженный в единицах преобразуемой величины и равный единице младшего разряда. Погрешность функции преобразования такого квантователя рассматривается по отношению к функции преобразования идеального линейного преобразователя. Зависимость приведенной ко входу погрешности функции преобразования (погрешности квантования) от входной величины определяется выражением Л* (*) = Q [К (*) - х IQ] = 0,5Q - QFr [(х + 0,50/(2] (2.5) где Fr [ос] — символ, обозначающий дробную часть числа а.
Из (2.5) видно, что погрешность квантования функции преобразования описывается детерминированной периодической зависимостью (рис. 2.3 а). Уровни квантования идеального преобразователя Uh описываются выражением Uh = (h-0,5)Q. (2.6) У реального АЦП уровни квантования могут отличаться от номинальных на некоторую величину AUh, поэтому выражение для реальной функции преобразования с учетом данной погрешности примет вид: h(x) = Ent[(;c + 0,52 -AUJ/Ql (2.7) где AUh — величина абсолютной погрешности А-го уровня квантования.
Разница между реальной (2.7) и номинальной (2.4) функциями преобразования определяет инструментальную погрешность функции преобразования: А(х) = е[Л(х)-Ан(х)]. (2.8) Из рисунка 2,3 б видно, что зависимость \(х) имеет вид прямоугольных импульсов, высота и знак которых определяются шагом квантования и направлением сдвига реальной функции преобразования относительно номинальной (сдвиг вправо приводит к отрицательной полярности импульсов, сдвиг влево — к положительной), а ширина — погрешностью уровня квантования. Если на всех Рис. 2.3. Погрешность квантования (а); инструментальная погрешность (б); суммарная погрешность (в)
уровнях квантования погрешность одна и та же (аддитивный характер погрешности — сдвиг реальной функции h(x) строго параллелен номинальной \(х)), то зависимость Ан(х) — периодическая, Если погрешность квантования превышает шаг квантования Auh >Q, то высота импульсов будет равна Q Ent[AU/Q] + Q- Сумма инструментальной погрешности и погрешности квантования (методической погрешности) (рис. 2.3 в) определяет суммарную погрешность функции преобразования: В показанном выше примере предполагается отсутствие случайных составляющих в погрешностях уровней квантования. Если же погрешности уровней квантования носят случайный характер, то ширина импульсов зависимости Аи(лс) будет, естественно, принимать случайные значения. Тогда суммарная погрешность функции преобразования примет вид: где х — детерминированная входная величина; Щх — случайная выходная величина, определяющая множество значений, получающихся при поступлении на вход АЦП входной величины со значением х. Погрешность функции преобразования характеризует качество АЦП, но количественного значения погрешности результата преобразования не отражает. Погрешность результата преобразования может быть получена из погрешности функции преобразования путем соответствующих вычислений. Если в результате преобразования получено значение выходной величины h = а, то это означает, что входная величина находится в интервале между А-м и (/И-1)-м уровнями квантования. Этот интервал определяет подмножество х|асХ, которому принадлежит истинное значение преобразуемой величины. Погрешностью результата преобразования АЦП в конкретной точке квантованной шкалы принято считать разность приведенно- Ах = Аи(х) + Ак(х). (2.9) Ах = QH\x — х, (2.10)
го ко входу результата преобразования aQ и входной величины, вызывающей появление результата й = я, т. е. A\a = aQ-X\a, (2.11) где а — результат преобразования (известная величина); Х\а — случайная входная величина. 2.7.3. Оценка общей величины инструментальной погрешности Для общей оценки и анализа распределения различных видов инструментальной погрешности можно использовать два метода: по наихудшему случаю и статистический. При использовании первого метода все погрешности распределяют по элементам таким образом, чтобы алгебраическая сумма максимально возможных погрешностей, действующих в одном направлении, не превышала величину максимальной погрешности, заданную для всего АЦП. Правда, вероятность такого наихудшего случая, как правило, невелика, что приводит либо к чересчур завышенной оценке максимальной погрешности АЦП при используемых элементах, либо при заданной максимальной погрешности накладывает чрезмерно жесткие ограничения на допуски элементов преобразователя. В итоге разработка АЦП сильно усложняется, а в ряде случаев может стать невыполнимой. При использовании статистического метода все погрешности делятся на систематические и случайные. Систематические погрешности, в свою очередь, делятся на ряд групп в соответствии с вызывающими их причинами и используемыми элементами. Некоторые из этих погрешностей, образованные рядом факторов 1, 2, q, могут характеризоваться некоторыми средними значениями Аср1, Аср2, ... Асрчи при оценке общей погрешности подлежат алгебраическому суммированию. Причины же 1, 2, s некоторых систематических погрешностей Ас1, Ас2, ... Acs независимы, а сами погрешности распределены по случайному закону вокруг средних значений. Такие погрешности при определении общей
величины погрешности преобразователя суммируются геометрически. Случайные погрешности Дсл1, Дсл2,... Аслг являются действительно величинами случайными со своими одномерными законами распределения и всегда суммируются только геометрически. Полную инструментальную погрешность АЦП при этом можно определить по формуле [24]: AH=iAcpi- + (lA^)1/2+(SAL)'/2. (2.12) /=1 ;=i *=i Эта формула всегда справедлива при нормальном распределении отдельных случайных составляющих, а также в тех случаях, когда имеет место большое число независимых случайных погрешностей с различными законами распределения [21]. 2.2. Основные параметры аналого-цифровых преобразователей Набор нормируемых и контролируемых параметров аналого-цифровых преобразователей определяется в зависимости от назначения АЦП и степени его конструктивной и функциональной законченности. Если АЦП представляет собой устройство, собранное из отдельных элементов, расположенных на печатной плате, то контроль и подгонка его параметров будут сводиться как к контролю всего устройства в целом, так и к контролю и подбору входящих в его состав элементов. В случае полностью интегральных преобразователей контроль может производиться по одной из стандартных методик, которая учитывает необходимый и достаточный набор параметров, имеющих значение для функционирования АЦП в реальной системе. Параметры, характеризующие АЦП и их возможности при работе в различных системах обработки данных, условно можно разделить на три группы. Первая включает в себя статические параметры АЦП, вторая — динамические, третья учитывает внешние условия работы.
2.2.1. Статические параметры аналого-цифровых преобразователей Эта совокупность параметров характеризует работу АЦП при воздействии на его вход квазипостоянных сигналов. Погрешность квантования — методическая погрешность, обусловленная конечным значением шага квантования. Ее величина обычно принимается равной ±1/2 шага квантования Q (см. раздел 1.2). Однако это максимальное значение данной погрешности. Среднестатистическое же значение (дисперсия) в условиях работы с реальным сигналом приблизительно равно <£=е2/12. (2.13) Общая инструментальная погрешность определяется по формуле (2.12) (см. раздел 2.1.3). Погрешность преобразования систематическая — отклонение среднестатистического значения подмножества входной величины, вызывающей появление заданного результата, от приведенного ко входу АЦП значения этого результата. Погрешность преобразования случайная — составляющая суммарной погрешности, определяемая разбросом значений входной величины, вызывающей появление заданного результата преобразования. Параметрами случайной погрешности являются числовые характеристики плотности вероятности, которые определяются профилем кванта [23]. Одной из составляющих случайной погрешности является методическая погрешность — погрешность квантования, параметры которой определяются практически только значением номинальной ступени квантования. Гистерезис — разность значений систематической погрешности при изменении входной величины со стороны меньших значений к большим и со стороны больших значений к меньшим.
Погрешность уровня квантования — определяется как разность между действительным значением входного сигнала, при котором происходит изменение кода с некоторой величины а-1 на а, и номинальным значением, равным (а-0,5)£). Она включает в себя как систематическую, так и случайную составляющие. Эта погрешность, как правило, не нормируется, но часто измеряется при проверке и исследованиях АЦП. Интегральная нелинейность преобразования — максимальное значение из всех систематических погрешностей A* (h) результатов преобразований по всему диапазону квантованной шкалы, вычисленных не относительно приведенных ко входу АЦП результатов, а относительно точек на прямой, аппроксимирующей реальную функцию преобразования: Аинт = тах{А*(А)}. (2.14) Дифференциальная нелинейность преобразования локальная — разность двух смежных реалных уровней квантования aQ и (a+I)Q. Дифференциальная нелинейность АЦП — максимальное значение из всех локальных дифференциальных нелинейностей: Ддиф = тах{я0- (я+1)0. (2.15) Иногда под дифференциальной нелинейностью понимается разность между величиной реального и номинального уровней квантования. Чаще всего оценки по этим определениям практически совпадают (отличие второго и высших порядков малости). Погрешность смещения нуля — часть общей погрешности, характеризующая параллельный сдвиг всей характеристики квантования реального АЦП по отношению к идеальному (рис. 2.4 а). Погрешность коэффициента передачи — величина, характеризующая отклонение крутизны усредненной амплитудной характеристики АЦП от крутизны идеальной прямой (рис. 2.4 б). Температурная погрешность — дополнительная составляющая статистической погрешности, возникающая при изменении температуры окружающей среды в заданном диапазоне. Определяется с
Рис. 2.4. Некоторые виды отклонений сглаженных реальных характеристик квантования от идеальной: а) смещение «нуля»; б) отклонение коэффициента передачи; в) немонотонность помощью тех же значений, которые используются для оценки общей инструментальной погрешности при нормальных условиях и суммируется с последней. Часто задается с помощью температурного коэффициента, оценивающего допустимую погрешность при изменении температуры на 1°С. Монотонность функции преобразования — определяет поведение цифрового сигнала на выходе АЦП при плавном изменений входного сигнала в одном направлений во всем динамическом диапазоне. У монотонной характеристики квантования знак наклона не изменяется. Монотонность важна в тех случаях, когда АЦП работает, к примеру, в следящих системах, где из-за ее отсутствия может возникнуть нежелательная генерация или резкие колебания [25,26].
Временная стабильность — способность АЦП сохранять статистическую точность (значения реальных уровней квантования и линейность преобразования) в течение определенного времени. Различают кратковременную и долговременную стабильность. Определяется дополнительными составляющими погрешности, которые появляются в АЦП со временем, и суммируется с общей инструментальной погрешностью. Повторяемость или прецизионность — способность АЦП сохранять свои точностные параметры при многократном преобразовании одной и той же величины. Разрешающая способность — способность АЦП различать два значения входного сигнала. Обычно определяется количеством различных кодовых комбинаций на выходе преобразователя и выражается либо в байтах, либо как относительный динамический диапазон в децибеллах. Очень часто разрешающая способность отождествляется с точностью, но это имеет место только в идеальных АЦП. Разрешающая способность характеризует потенциальные возможности АЦП с точки зрения достижения точности. 2.2.2. Динамические параметры аналого-цифровых преобразователей Динамические параметры характеризуют работу АЦП при воздействии на его вход сигналов, изменяющихся во времени. Время преобразования — интервал времени Г , который отсчи- тывается либо от активного фронта импульса дискретизации, либо от начала процесса преобразования до появления на выходе устойчивого значения кода, соответствуюшего величине данной выборки. Для одних типов АЦП этот параметр является переменным, зависящим от значений входного сигнала, для других — примерно постоянным. Если преобразование осуществляется без фиксации значения выборки с помощью УВХ, то время преобразования является апертурным временем. Определяет предельно достижимую частоту дискретизации (преобразования).
Предельная частота дискретизации — величина, не превышающая по своему размеру значения величины, обратной времени преобразования, т. е. это частота, с которой осуществляется формирование выборки входного сигнала и преобразование её величины в числовой код отсчета. Измеряется в герцах или в количестве отсчетов в секунду. Определяет быстродействие АЦП, а также высокочастотные искажения, обусловленные выборкой. Последние характеризуют не сам АЦП, а схему, в которой он используется. Время выборки (стробирования) — время, в течение которого происходит образование одного значения выборки. При работе без УВХ равно времени преобразования АЦП. Погрешность коэффициента передачи — погрешность образования значения выборки при работе с сигналами, изменяющимися во времени. Определяется, как правило, для синусоидального входного сигнала и характеризует частотные погрешности АЦП или УВХ. Иногда называется погрешностью слежения или недозаряда. Апертурное время — время, в течение которого сохраняется неопределённость между значением выборки и моментом времени, к которому оно относится. Характеризует апертурную погрешность аналого-цифрового преобразования. Рассматривают, как правило, постоянную составляющую апертурного времени и его флюктуации (см. раздел 2.1.1). Погрешность переключения — погрешность, возникающая в УВХ при переходе от образования значения выборки к ее фиксации. Связана с явлением диэлектрической поляризации и действием заряда переключения. Динамическая погрешность — составляющая погрешности преобразования, вызванная изменением входной величины за время преобразования, т. е. разность между погрешностью в динамическом режиме и статической погрешностью. Пределы допустимой погрешности АЦП указываются в функции скорости изменения входного сигнала или частоты выходного синусоидального сигнала.
2.2.3. Параметры, характеризующие внешние условия работы Этот набор параметров характеризует АЦП как «черный ящик» со стороны входа и выхода, а также по отношению к внешним факторам. Параметры входных сигналов характеризуют их абсолютные и относительные значения, форму, полярность, спектры и др. К параметрам источника входных сигналов относятся выходное сопротивление и его изменения, амплитудная характеристика, ее стабильность и пр. Параметры источников питания и опорных сигналов включают в себя их номинальные значения, характеристики температурной и временной стабильности, нагрузочные способности и т. д. Входные параметры АЦП характеризуют его как четырехполюсник со стороны входа и определяются чаще всего параллельным соединением входного сопротивления и входной емкости. Параметры выходных сигналов АЦП определяют уровни напряжения, соответствующие уровням логического «О» и «1». Параметры управления и связи с внешними устройствами описывают набор потенциальных и импульсных сигналов, необходимых для управления, синхронизации и обеспечения функционирования АЦП, а также нагрузочные способности выходных каскадов. Внешние условия характеризуют способность АЦП работать при изменении параметров внешней среды: температуры, влажности, давления, при различных механических воздействиях и т. д. Технико-экономические показатели характеризуют конструктивные и технологические особенности АЦП, его габаритные размеры, массу, потребляемую мощность, надежность, стоимость и пр.
2.3. Основные способы реализации АЦП 2.3.1. Классификация АЦП Способов реализации аналого-цифровых преобразователей существует достаточно много. Они могут отличаться последовательностью выполняемых операций, используемыми схемотехническими решениями и необходимой для этого элементной базой, технологическими особенностями и конструктивным исполнением. Однако фундаментальных принципов, лежащих в их основе, не так уж много. Тем не менее разные специалисты по-разному подходят к выделению этих принципов. Из-за этого существует несколько классификационных схем, отражающих все многообразие существующих на сегодняшний день АЦП. Например, некоторые специалисты делят все преобразователи на два больших класса — с обратной связью и без нее [27]. Другие за основу берут использование в схеме процедуры заряда емкости и различают АЦП, построенные на операции заряда емкости и построенные на основе дискретного сравнения напряжений [24]. Третьи различают методы построения АЦП на основе алгоритмического описания процесса преобразования с учетом числа исходных эталонов и шкал [28,29]. Представляется, что целесообразнее рассматривать АЦП с точки зрения того, как процесс преобразования аналоговой величины в цифровую развертывается во времени, поскольку некоторые схемы аналого-цифрового преобразования в зависимости от требований к ним могут работать как при наличии запоминающей емкости, так и без нее. Операции дискретизции, квантования и кодирования могут осуществляться с помощью либо последовательной, либо параллельной, либо последовательно-параллельной процедуры приближения цифрового эквивалента к преобразуемой аналоговой величине. С учетом вышесказанного примем за основу классификации последнее соображение и будем в дальнейшем рассматривать АЦП как последовательные, параллельные и последовательно-параллельные.
Среди методов последовательного аналого-цифрового преобразования можно выделить метод одностороннего приближения, когда цифровой эквивалент изменяется только в одном направлении — вплоть до достижения максимального сближения с аналоговой величиной, и метод двоично-взвешенного приближения, когда цифровой эквивалент может изменяться в обоих направлениях, приближаясь к аналоговой величине постепенно. Рассмотрим на конкретных примерах особенности обеих стратегий и оценим пределы их применения с точки зрения достижимых технических параметров. 2.3.2. Последовательный АЦП с генератором ступенчатого напряжения Рассматриваемый метод является типичным примером последовательного аналого-цифрового преобразования с односторонним приближением цифрового эквивалента к величине преобразуемого аналогового сигнала. Структурная схема АЦП представлена на рис. 2.5, а временные диаграммы его работы — на рис. 2.6. Рис. 2.5. Структурная схема последовательного АЦП с генератором ступенчатого напряжения, KT1-KT6 — контрольные точки
Рис. 2.6. Временные диаграммы работы последовательного АЦП с генератором ступенчатого напряжения Основными элементами схемы являются: • генератор ступенчатого напряжения, состоящий из накопительного счетчика и ЦАП; • компаратор, осуществляющий сравнение входного сигнала мвх с напряжением обратной связи, формируемым генератором ступенчатого напряжения.
Работает схема следующим образом. Преобразуемый аналоговый сигнал иъх поступает на прямой вход компаратора. Интервал преобразования задается внешним сигналом «Пуск» (рис. 2.6 б), который, воздействуя на вход S RS-триггера, переводит его в состояние «1» (рис. 2.6 в) и, тем самым, открывает элемент «И». Через открытый элемент «И» на счетный вход накопительного счетчика начинают поступать импульсы тактового генератора (рис. 2. 6 я, г), отчего код числа на выходе счетчика будет расти. Выход счетчика соединен со входом ЦАП, который по мере увеличения кода числа на выходе счетчика увеличивает напряжение на своём выходе, подключенном к инвертирующему входу компаратора (рис. 2.6 д). Напряжение на выходе ЦАП будет нарастать ступенчато, с шагом Аи, определяемым величиной опорного напряжения Uon и разрядностью т ЦАП. Как только выходное напряжение ЦАП превысит мвх, сработает компаратор и сигналом со своего выхода переведет триггер Т в «нулевое» состояние (рис.2.6 в). Элемент «И» закроется и импульсы с выхода тактового генератора перестанут поступать на вход накопительного счетчика. В то же время короткий импульс, сформированный по переднему фронту сигнала с инверсного выхода триггера Т (рис. 2.6 е, ж), зафиксирует полученное состояние накопительного счетчика в регистре РГ как код цифрового эквивалента входного аналогового сигнала мвх, а сам счетчик сбросит в «О», подготовив его тем самым к очередному циклу преобразования. Сигнал на выходе ЦАП также примет «нулевое» значение, давая возможность компаратору изменить свое состояние и снять сигнал сброса со входа R триггера Т (рис. 2.6 д). После этого схема переходит в режим ожидания и находится в нем до прихода следующего импульса «Пуск». Очевидно, что время преобразования АЦП данного типа является переменным и зависит от величины входного сигнала. Чем этот сигнал больше, тем большим будет время преобразования. Тпрмакс при заданном числе разрядов выходного двоичного кода цифрово-
го эквивалента т (разрядности накопительного счетчика и ЦАП) и периоде следования счетных импульсов AT, определяемых частотой тактового генератора, можно определить по формуле Т =(2т-\)АТ. *пр.макс xji-xj. . Например, при m = 8 и ДГ= I мкс (тактовая частота генератора равна I МГц) максимальное время преобразования равно гпр.макс = (28 -1)мкс = 255 мкс, что обеспечивает предельную частоту работы АЦП около 4 кГц. Уравнение преобразования последовательных АЦП рассмотренного типа можно записать в виде: kAw = wBx, (2.16) где Ли — величина ступени (шага квантования), а о < к < 2т — число ступеней, сформированных генератором ступенчатого напряжения до момента, когда ивх = иос. Очевидно, что быстродействие АЦП подобного типа при заданной разрядности выходного кода т ограничено такой величиной AT, которая не превышает минимально возможного значения АГмин, удовлетворяющего условию установления процессов в схеме с заданной погрешностью > АТММ =гсч +гЦАП +гк +гтр +гл, (2.17) где t — максимальное время установления переходного процесса в счетчике; 'цап— вРемя установления ЦАП с погрешностью, не превышающей отведенной ей части в общей статической погрешности АЦП; tK — максимальное время переключения компаратора, включающее в себя время переключения при перевозбуждении и гарантирующее требуемую точность сравнения; t — время переключения триггера Т; tn — время задержки на элементе «И».
Статическая погрешность АЦП складывается из статических погрешностей используемых ЦАП и компаратора с учетом того, что при выборе частоты тактового генератора соблюдено условие (2.17). Динамические погрешности рассматриваемого АЦП не зависят от того, используется ли в данной схеме УВХ или нет. При работе без УВХ такие погрешности определяются временем преобразования, которое в данном случае играет роль апертурного времени. Так как последнее зависит от величины входного сигнала, то апертур- ные погрешности, возникающие при этом, носят нелинейный характер. Для каждого конкретного значения выборки сигнала они могут быть рассчитаны по формулам (2.1-2.3), приведенным в разделе 2.1.1, если использовать в качестве фиксированных моментов, к которым относятся эти выборочные значения, моменты запуска АЦП. Таким образом ясно, что АЦП данного типа, работающие без УВХ, пригодны для преобразования либо постоянного, либо медленно меняющегося напряжения, которое за время преобразования изменяется не более, чем на величину шага квантования. Если же УВХ используется, то частоту входного сигнала, с которой способен работать АЦП, можно увеличить до значения, обратного максимальному времени преобразования, что и будет определять его максимальную частоту дискретизации. Тем не менее достаточно длительный процесс преобразования в последовательных АЦП с односторонним приближением к измеряемой величине определяет их общие особенности: небольшие частоты дискретизации, достигающие всего нескольких килогерц, и достаточно малые статические погрешности порядка шага квантования 10-12-разрядных АЦП. К достоинствам АЦП данного класса можно отнести сравнительную простоту их реализации, определяемую последовательным характером выполнения процесса преобразования. Применяются такие АЦП в цифровых вольтметрах постоянного тока и цифровых системах, предназначенных для работы с постоянным и медленно меняющимся напряжением [21].
2.3.3. АЦП последовательного приближения Наиболее распространенным и ставшим уже классическим методом аналого-цифрового преобразования является метод последовательного приближения. В отличие от описанного выше метода последовательного преобразования с генератором ступенчатого напряжения, который использует процедуру одностороннего приближения к измеряемой величине, этот метод, хотя и относится к числу последовательных, использует процедуру двоично-взвешенных приближений. Для этого в схему АЦП вместо счетчика введен регистр последовательных приближений, что позволило значительно сократить время преобразования. Структурная схема АЦП рассматриваемого типа и временные диаграммы его работы показаны на рис. 2.7. В основе работы преобразователей этого класса лежит принцип последовательного сравнения измеряемой величины мвх со значениями, равными и /2, и /22, и /24, ...и /2т, где и — макси- ' г макс ' макс ' макс ' макс ' ^ макс мально возможное значение мвх, aw — разрядность выходного кода АЦП. Это позволяет кратчайшим путем приблизиться к измеряемой величине и завершить процесс преобразования всего за m последовательных шагов приближения (итераций) вместо 2т-\9 которые потребовались бы в случае использования метода одностороннего приближения. Выигрыш в быстродействии будет тем большим, чем больше разрядность т выходного кода АЦП. Если при т = 6 такой выигрыш будет более чем десятикратным (6 против 26= 64), то при т = 10 он достигнет более двух порядков (10 против 210 = 1024). С помощью таких АЦП, в зависимости от числа используемых разрядов, можно получить до 105 - 106 преобразований в секунду. К тому же статическая погрешность преобразователей данного типа весьма незначительна и определяется в основном используемым ЦАП. Это позволяет реализовать разрешающую способность до 16 двоичных разрядов и более [30]. Работает АЦП последовательных приближений следующим образом (рис. 2.7). Входной сигнал мвх поступает на прямой вход компаратора. Первым тактовым импульсом в регистр последовательных приближений записывается код, который, попадая на вхо-
Рис. 2.7. Структурная схема АЦП последовательного приближения (а) и временные диаграммы его работы (б)
ды ЦАП, вызывает появление на его выходе напряжения и} = */макс/2. Это напряжение поступает на второй (инвертирующий) вход компаратора, который сравнивает его с ивх. Если ивх>и,, то в старший разряд регистра записывается «I» , если иъ< uv то «О». В зависимости от этого результата, после второго тактового импульса на выходе регистра последовательных приближений появится уже другой код, который вызовет изменение значения напряжения на выходе ЦАП на величину wwaKC/4 и оно станет равным некоторому значению иг Если после первого такта в старший разряд была записана «1», то и2=щ+ ммакс /4 = ммакс / 2 + имакс /4 = Зммакс /4. Если был записан «О», то и^=и,—и /4 = и 12 —и /4 = и /4. 2 1 макс ^ макс ' макс ^ макс Снова компаратор производит сравнение, а результат записывается в следующий разряд регистра и, в зависимости от его значения, выходное напряжение ЦАП увеличится или уменьшится — теперь уже на величину нмакс /8. Этот процесс будет продолжаться столько раз, сколько разрядов имеет используемый АЦП, и с каждым тактом значение напряжения на выходе ЦАП будет приближаться к значению входного сигнала и . вх При работе такого АЦП без УВХ апертурное время будет равно времени между началом и фактическим окончанием процесса преобразования, которое так же, как и в предыдущем случае, зависит от величины входного сигнала и является переменным. Возникающие при этом апертурные погрешности также имеют нелинейный характер. Однако чаще всего АЦП последовательного приближения используются совместно с УВХ и процесс преобразования продолжается ровно т тактов. Разрешающая способность при этом равна половине младшего разряда квантования, т.е. +и^1Т -1. Данный класс АЦП, представляя собой разумный технический компромисс между точностью и быстродействием, находит широ-
кое применение — как при построении цифровых измерительных приборов, так и в различных системах цифровой обработки быстро меняющихся сигналов (совместно с УВХ). 2.3.4. АЦП с время-импульсным преобразованием Преобразователи этого типа по принципу действия напоминают последовательные АЦП с генератором ступенчатого напряжения. Иногда их называют преобразователями с одностадийным интегрированием. Однако здесь из схемы исключен ЦАП, который при высоких скоростях преобразования может превратиться в элемент, ограничивающий быстродействие устройства, а вместо ступенчатого напряжения используется линейное пилообразное. В основе работы подобных АЦП лежит принцип преобразования величины входного напряжения во временной интервал, который заполняется импульсами фиксированной частоты. Эти импульсы подсчитываются счетчиком и их количество служит цифровым эквивалентом величины преобразуемого аналогового сигнала. Пилообразное напряжение, используемое для формирования счетного интервала, может быть как линейно нарастающим от О (или близкого к нему порогового уровня) до некоторой величины ммакс' которая должна быть не меньше, чем максимальный размах входного сигнала ы , так и линейно убывающим в тех же гра- ВХ. МЭКС * А ницах. Частота тактовых импульсов Fr определяет разрешающую способность АЦП и должна быть как можно более высокой. Фактором, ограничивающим ее величину, здесь является суммарное время задержки на элементах схемы ^, которое не должно превышать периода тактовой частоты Г = tz<TT. (2.18) Возможный вариант построения схемы АЦП с время-импульсным преобразованием и временные диаграммы ее работы показаны на рис. 2.8. Работает схема следующим образом.
Рис. 2.8. Структурная схема АЦП с время-импульсным преобразованием (а) и временные диаграммы его работы (б). КТ1-КТ5 — контрольные точки; ФКИ1, ФКИ2 — формирователи коротких импульсов
Генератор пилообразного напряжения вырабатывает линейно убывающее напряжение с размахом от иъх макс + Аи до -Аи. Добавка Аи необходима для того , чтобы обеспечить надёжное срабатывание компараторов К1 и К2 в тех случаях, когда входное напряжение и имеет максимальное значение и или значение, вх вх. макс ' равное 0. Поэтому рабочий интервал времени Г аб, в течение которого происходит измерение величины входного сигнала, несколько меньше периода пилообразного напряжения Ггпн, вырабатываемого соответствующим генератором, на величину интервала регенерации Т . Разумеется, при разработке схемы следует стремиться к тому, чтобы Т„«Т^ (2-19) т. е. интервал регенерации был пренебрежимо мал в сравнении с рабочим. Рабочий интервал начинается с момента tx пересечения пилообразным напряжением уровня ивх макс. В момент tv когда пилообразное напряжение становится равными ивх, срабатывает компаратор К1 и короткий импульс, вырабатываемый формирователем ФКИ1, переводит RS-триггер Т в состояние «1» (рис. 2.8 б). Логическая «единица», с его выхода снимает сигнал «сброс» со счетчика и открывает элемент «И», через который на его счетный вход начинают поступать импульсы с генератора тактовых импульсов. Подсчет их продолжается до тех пор, пока пилообразное напряжение не пересечет уровень логического «0» (момент времени t3). При этом срабатывает компаратор К2 и короткий импульс, вырабатываемый формирователем ФКИ2, фиксирует содержимое счетчика в выходном регистре. Он же закрывает и элемент «И», перебрасывая RS-триггер в состояние «0». Одновременно с этим сбрасывается счетчик, переходя в режим ожидания очередного цикла измерения. За счет задержки на RS-триггере и счетчике, импульс с ФКИ2 производит запись результата преобразования в выходной регистр значительно раньше, чем на выходе счетчика установится нулевой код. Таким образом, значение цифрового эквивалента входного аналогового сигнала будет сохраняться в выходном регистре вплоть до окончания следующего цикла измерения.
Очевидно, что разрешающая способность АЦП с время-импульсным преобразованием кроме максимально возможной тактовой частоты, величина которой ограничена суммарным временем задержки tv будет определяться числом разрядов т счетчика и требуемой частотой дискретизации. При этом разрешающая способность будет тем выше, чем ниже частота дискретизации F. Если выполняется условие (2.19), т. е. время регенерации Грег пренебрежимо мало в сравнении с рабочим интервалом Граб и время преобразования приблизительно равно периоду частоты генератора пилообразного напряжения 1ГПЯ inp *раб 5 то можно считать, что максимально достижимая разрешающая способность N будет равна N = 2m-l~Fr/Fa, (2.20) где m — разрядность счетчика. Для точного описания работы преобразователя можно воспользоваться равенством и и - где к = вх,макс = вх,макс — крутизна преобразования; Граб 'з-'l tx — момент времени, когда игпн = ивх макс; 1Ъ — момент времени, когда игпн = 0. Отсюда можно определить моменты t2 и t2 срабатывания компараторов К1 и К2 соответственно в зависимости от величины входного напряжения мвх: и —и и t вх.макс вх . f _ вх. макс 2" к ,3" к ' Длительность счетного интервала Гсч равна
и Т -1 -t = — 1сч L3 l2 j • к При этом количество импульсов тактового генератора, подсчитанных счетчиком за время Гч, равно Очевидно, что быстродействие АЦП с время-импульсным преобразованием не может быть большим, по крайней мере в тех случаях, когда требуется получить высокое разрешение. Если же высокого разрешения не требуется, то оно может достигать сотен килогерц и выше. Например, при F = 25 МГц и 8-разрядном разрешении частота дискретизации FR будет около 100 кГц. 2.3.5. АЦП с двухэтапным интегрированием Такие преобразователи, как и вышеописанные, относятся к типу АЦП с время-импульсным преобразованием, однако здесь исполь- .зуется двухэтапный метод интегрирования. Это позволяет избежать большинства сложностей, связанных с требуемой точностью и временной стабильностью параметров компараторов и конденсаторов. Один нз вариантов построения схемы АЦП с двухэтапным интегрированием вместе с временными диаграммами ее работы приведен на рис. 2.9. Суть метода заключается в следующем. На первом этапе, длительность которого задается с помощью счетчика, конденсатор С интегратора заряжается током, пропорциональным величине входного сигнала ивх (интервал времени TQ на рис. 2.9 б). На втором этапе этот конденсатор разряжается фиксированным током от источника опорного напряжения ИОН, полярность которого противоположна полярности входного сигнала, до тех пор, пока заряд на нем не станет равным 0 (интервал времени Т на рис. 2.9 б). Время разряда конденсатора С пропорционально величине входного сигнала «вх и измеряется путем заполнения интервала Г зм тактовыми импульсами с частотой FT, накапливаемыми в счетчике. Зна-
Рис. 2.9. Структурная схема (а) и временные диаграммы работы (б) АЦП с двухэтапным интегрированием, ИОН — источник опорного напряжения; К — компаратор
чение кода я(ивх) на выходе счетчика в момент полного разряда конденсатора С принимается за цифровой эквивалент входной аналоговой величины. п(ивх) = nAt где At = 1/F — период следования тактовых импульсов. Режим работы АЦП задается устройством управления и счетчиком. Полный цикл преобразования равен 2Г0, где TQ = NAt — интервал времени, необходимый для заполнения счетчика от 0 до N = 2т-\, где т — разрядность счетчика, который определяет разрешающую способность АЦП, а N— его емкость. Начало интервала Г0 совпадает с одним из моментов перехода счетчика, работающего в непрерывном режиме, из состояния переполнения в состояние 0. В этот момент устройство управления замыкает ключ SI и интегратор подключается к входному сигналу мвх. Ключ S2 в это время разомкнут. Конденсатор С интегратора заряжается в течение всего промежутка времени Г0, пока счетчик вновь не достигнет состояния переполнения. Наклон прямой, характеризующей скорость заряда, прямо пропорционален величине входного сигнала и будет максимальным в случае, если и = и .В иных случаях Jr^ J ' вх вх. макс J наклон, разумеется, будет меньшим. Величина заряда q на конденсаторе С составит q = umTQ/RC, (2.22) где и*х — усредненное значение мвх на интервале TQ. Когда сигнал переполнения со счетчика зафиксирует окончание времени заряда, устройство управления отключает интегратор от входного сигнала (ключ SI размыкается) и подключает его к источнику опорного напряжения ИОН (ключ S2 замыкается). Величина опорного напряжения моп должна быть не меньше максимально возможной величины входного напряжения мвх макс. В противном случае конденсатор С не успеет полностью разрядиться за время Ттм = Т0, определяемое емкостью счетчика. Но она не должна быть и слишком велика, поскольку тогда разряд конденсатора бу-
дет слишком быстрым, емкость счетчика будет использована не полностью и разрешающая способность АЦП уменьшится. В идеальном случае при иъх= мвх максвремя заряда емкости интегратора долж- Процесс разряда конденсатора С продолжается до тех пор, пока заряд на нем не станет равным 0. При этом сработает компаратор К и содержимое счетчика, накопленное в нем к этому моменту, запишется в регистр РГ в качестве цифрового эквивалента ивх. Одновременно с этим устройство управления разомкнет ключ 52 и процесс измерения прекратится. Счетчик же тем временем продолжает накапливать тактовые импульсы до тех пор, пока вновь не возникнет состояние переполнения и устройство управления не начнет новый цикл преобразования, подключив к интегратору входной сигнал мвх.Такой режим, когда счетчик в течение цикла дважды достигает состояния переполнения, необходим для того, чтобы сохранить равномерность квантования независимо от величины мвх. Можно также добиться и равномерности следования выходного кода, разместив на выходе АЦП еще один регистр, запись в который осуществлять тем же сигналом, который замыкает ключ SI. Поскольку время разряда Гизм конденсатора С определяется величиной опорного напряжения иоп и величиной накопленного заряда q можно записать: q^uJ^IRC. (2.23) Из (2.22) и (2.23) следует, что откуда тти=—то (2.25) ^ОП ИЛИ но быть равно времени ее разряда, т. е. Т0 = Г изм. макс'
n(u,x) = N1-^. (2.26) Достоинством метода двухэтапного интегрирования является то, что он позволяет получить высокую точность преобразования, не требуя высокой точности применяемых элементов. Нет необходимости предъявлять высокие требования к стабильности конденсатора С интегратора, поскольку скорость изменения напряжения на нем как на этапе заряда, так и на этапе разряда, обратно пропорциональная величине его емкости. Кроме того, временной или температурный дрейф порога срабатывания компаратора самокомпенсируется, т. к. каждый этап преобразования начинается и заканчивается при одном и том же напряжении. Более того, даже к стабильности тактовой частоты в данном методе высоких требований не предъявляется, поскольку как интервал Г0, так и интервал Гизм формируются путем подсчета одних и тех же тактовых импульсов. Если тактовая частота уменьшится, к примеру, на 5% то на 5% повысится и уровень, которого достигает линейно нарастающее напряжение на первом этапе, и, соответственно, на 5% увеличится время разряда. Так как оба интервала отсчитываются с помощью одних и тех же тактовых импульсов, частота которых уменьшилась на 5%, то результирующее число получится неизменным. Однако, несмотря на вышеперечисленные достоинства, метод двухэтапного интегрирования обладает и недостатками. Самый существенный из них — относительно невысокое быстродействие. И действительно, если бы с помощью такого АЦП понадобилось обеспечить 16-разрядную разрешающую способность при частоте дискретизации входного сигнала 44,1 кГц (параметры сигнала в цифровой звукозаписи по системе CD), то тактовые импульсы должны были бы следовать с частотой Fr = 44,1 кГц х 216 х 2 = 44,1 кГц х 65536 х 2 - 5,8 ГГц. Очевидно, что такое значение тактовой частоты слишком велико.
Другим недостатком АЦП рассматриваемого типа является то, что в случае его перегрузки сигналом, превышающим ивх макс, возникает перезаряд емкости С интегратора, и преобразователь, даже после снятия перегрузки, в течение нескольких циклов работает с большой погрешностью. 2.3.6. АЦП ускоренного интегрирования Способом преодоления основного недостатка АЦП с двухэтап- ным интегрированием — низкого быстродействия, является способ ускоренного интегрирования. Суть его заключается в том, что разряд емкости интегратора осуществляется в два или более приемов — до некоторого значения напряжения и{ емкость разряжается током, вес которого равен 27, а от значения ы{ до 0 — током, вес которого равен 1 (рис. 2.10). Время разряда, как и в случае двухэ- тапного интегрирования, измеряется путем подсчета тактовых импульсов. Однако в интервале от tQ до tx подсчет ведется одним счетчиком, а в интервале от tx до t2 — другим. Вес каждого разряда первого счетчика в 21 раз превышает вес разряда второго. Рис. 2.10. Временная диаграмма работы АЦП ускоренного интегрирования
Цифровой эквивалент входной величины ивх представляет собой число, старшие разряды которого соответствуют разрядам числа, зафиксированного первым счетчиком, а младшие — разрядам числа, зафиксированного вторым счетчиком. Если оба счетчика, к примеру, шестиразрядные, то цифровой эквивалент будет 12-разрядным. При этом вес тока ix должен быть равен 26/,, т. е. / = 6. Поясним вышесказанное на примере схемы АЦП с тройным токовым интегрированием, осуществляющим преобразование с 16- разрядным разрешением [31]. Схема его представлена на рис. 2. 11, а временные диаграммы работы — на рис. 2.12. Здесь разряд конденсатора С интегратора осуществляется тремя разными токами iv i, i, а время разряда фиксируется тремя разными счетчиками CTI, СТ2 и СТЗ. Чтобы число Рис. 2.11. Структурная схема АЦП с тройным токовым интегрированием
Рис. 2.12. Временные диаграммы работы АЦП с тройным токовым интегрированием
тактов на каждом из временных интервалов Tv Т2 и Г3, а следовательно, и на всем интервале Г зм было минимальным, счетчики должны иметь примерно одинаковую разрядность. В приведенном примере счетчик CTI — шестиразрядный, а счетчики СТ2 и СТЗ — пятиразрядные. Тогда ток i2 должен иметь в 25 раз большее значение, чем /р а ток /3 — в 25 раз большее, чем ir Таким образом, для работы АЦП требуются три источника стабильного тока, величины которых относятся друг к другу, как 210: 25: 1. Правда, точность соотношения токов должна быть не хуже 1/210 (1/1024). Иначе на характеристике квантования появятся изломы. Как и в случае АЦП с двухэтапным интегрированием, время Т0 заряда емкости С должно быть равно времени ее разряда Ттшкс при максимальном значении входного сигнала и . Число тактовых вх*макс импульсов, необходимое для фиксации такого интервала, равно суммарной емкости всех трёх счетчиков Ns= N, + N2 + N3 = 26 +25 +25 = 64 + 32 + 32 = 128. Очевидно, что тактовая частота, которая требуется для обеспечения работы данного АЦП с 16-разрядным разрешением, всего лишь в 2 х 128 = 256 раз выше частоты дискретизации (сомножитель 2 учитывает время заряда TQ). Таким образом, если необходимо получить частоту дискретизации, равную 44,1 кГц, то тактовую частоту F. достаточно выбрать равной Fr = 256 FR = = 256 • 44,1 кГц ~ 11,3 МГц. Эта цифра не так уж велика, особенно если сравнивать ее со значением 5,8 ГГц, требовавшимся в аналогичных условиях для АЦП с двухэтапным интегрированием. Следует отметить, что цикл работы схемы на рис. 2.11 (время заряда и разряда емкости С интегратора) задается с помощью отдельного счетчика, являющегося частью устройства управления, а запись выходного кода в регистр РГ и сброс счетчиков CTI-CT3 осуществляется сигналом управления ключом S4. Работа схемы напоминает работу АЦП с двухэтапным интегрированием. Заряд емкости С напряжением входного сигнала мвх осуществляется при замыкании ключа S4 в течение интервала Г0, определяемого устройством управления. После этого ключ S4 размыкается, а замыкаются ключи S5 и S1. Начинается разряд
конденсатора Стоком /1? имеющим вес 2,0/3, до тех пор, пока напряжение на нем не станет равным значению иА. Время разряда Тх регистрируется 6-разрядным счетчиком СТ1. Затем источник тока /3 отключается и подключается источник тока i2 с весом 25iy Разряд этим током продолжается до тех пор, пока напряжение на конденсаторе С не станет равным мв. Время разряда Т2 подсчитывается 5-разрядным счетчиком СТ2. Завершается разряд током /3 с единичным весом. Время разряда фиксируется счетчиком СТЗ. Полученное на выходах трех счетчиков 16-разрядное значение кода является цифровым эквивалентом мвх. Это значение переписывается в выходной регистр РГ в начале следующего цикла преобразования, а счетчики сбрасываются в «О». Значения опорных напряжений иА и ив вычисляются по формулам: ил=—^—^ (2-27) 25 L At "в= ъс , (2.28) где At — период тактовой частоты; С — значение емкости С интегратора; /2, /3 — токи соответствующих источников. 2.3.7. АЦП с частотно-импульсным преобразованием К интегрирующим АЦП можно отнести также АЦП, в основе которых лежит преобразование входного напряжения в пропорциональную ему частоту следования импульсов. Полученная последовательность импульсов делится на интервалы, равные периоду заданной частоты дискретизаций, количество импульсов, содержа-
щихся в каждом из таких интервалов, принимается за цифровой эквивалент аналогового входного сигнала иъх. Схема такого преобразователя приведена на рис. 2.13. Рис. 2.13. Структурная схема АЦП с частотно-импульсным преобразованием (а) и временные диаграммы его работы (б), КТ1 и КТ2 — контрольные точки
Счетные импульсы, характеризующие величину входного сигнала ивх, вырабатываются преобразователем напряжения в частоту (ПНЧ) или иначе—генератором, управляемым напряжением (ГУН). Интервал преобразования Г формируется генератором частоты дискретизации, который должен обладать высокой стабильностью, т. к. от этого зависит погрешность преобразования. Цифровой эквивалент образуется путем фиксации в выходном регистре содержимого счетчика в конце интервала преобразования. Запись выходного кода и сброс счетчика в «О», осуществляются импульсами частоты дискретизации. Зависимость /гун("вх) должна быть строго линейной во всем диапазоне изменения входного сигнала ивх, т. к. от этого зависит линейность преобразования АЦП /гун = СЧх, (2.29) где С — const. Количество импульсов и(мвх), содержащихся в каждом из интервалов Г, можно определить как интеграл от мгновенной частоты на интервале tk+l -tk: "К* ) = j /гун^ = C J "вхЛ = CTnK* = — «4 . (2.30) где wBX = — J uBXdt = Fa j uBKdt — усредненное значение ивх на ин- Т тервале преобразования. 2.3.8. Параллельные АЦП В аналого-цифровых преобразователях параллельного типа квантование входного сигнала мвх осуществляется одновременно и реализуется с помощью набора из 2m-l одинаковых компараторов, где тп — разрядность выходного кода.
Структурная схема такого АЦП, преобразующего однополярный сигнал, показана на рис. 2.14. Параллельность (одновременность) преобразования, как видно из приведенной схемы, достигается за счет того, что инвертирующие входы всех компараторов объединены и подключены ко входу АЦП. Уровни срабатывания (пороговые уровни) у всех компараторов разные и отличаются на величину шага квантования. Эти уровни задаются с помощью резистивного делителя — цепочки резисторов, один конец которой подключен к «земле» (для однополярного сигнала), а другой — к источнику опорного напряжения моп, величина которого равна величине максимального размаха входного напряжения ивх, или немного превышает ее. Величина резисторов при линейной шкале квантования строго одинакова и выбирается с учетом входных токов используемых компараторов и их количества. При подаче на такой набор компараторов входного напряжения ивх, на их выходах сформируется код, который можно рассматривать как результат квантования аналогового сигнала, присутствующего на входе АЦП. Однако, чтобы превратить этот результат в обычный m-разрядный двоичный код, выходы компараторов должны быть подключены ко входу приоритетного шифратора. Шифратор формирует m-разрядный код отсчета, принимая во внимание лишь тот из сработавших компараторов, который соответствует наибольшему весу шкалы квантования. [21, 25, 32]. Рис. 2.14. Структурная схема параллельного однополярного АЦП
Для того, чтобы схема могла работать с биполярным сигналом, правый (по схеме) конец цепочки резисторов следует вместо «земли» подключить к источнику опорного напряжения — иоп, а для синхронизации моментов формирования выходного кода шифратор стробировать сигналом частоты дискретизации, как показано на рис. 2.15 [16]. В ином варианте для согласования моментов срабатывания компараторов, стробирующий сигнал подается прямо на них (рис. 2.16). Кроме того, для коррекции смещения «нуля» можно использовать специальную схему подстройки [33]. Рис. 2.15. Структурная схема параллельного биполярного АЦП с синхронизацией шифратора Рис. 2.16. Структурная схема параллельного биполярного АЦП с коррекцией «нуля» и стробированием компараторов
Способ параллельного кодирования обеспечивает наибольшую скорость преобразования, из-за чего его иногда называют способом «мгновенного кодирования». И действительно, время преобразования здесь — всего один такт, и ограничено оно лишь быстродействием компараторов и задержкой на шифраторе (2.31) где tK — время срабатывания компаратора; tm — задержка на шифраторе. Поскольку сумма этих величин для современной элементной базы невелика (порядка нескольких наносекунд), то параллельные АЦП способны работать на частотах дискретизации до 200 МГц и выше. Однако, несмотря на такие великолепные технические характеристики и чрезвычайно простую структуру, параллельные преобразователи имеют один вполне очевидный недостаток: с увеличением числа разрядов квантования (т. е. с увеличением разрешающей способности преобразования) число компараторов удваивается. Именно это и ограничивает их применение на практике. При числе разрядов более 6-8 схема получается чересчур громоздкой. Тем не менее такие преобразователи широко используются в качестве элементов последовательно-параллельных АЦП. 2.3.9. Двухкаскадный последовательно-параллельный АЦП Последовательно-параллельные АЦП являются тем классом преобразователей, который позволяет использовать быстродействие и простоту параллельных АЦП для создания многоразрядных преобразователей последовательного типа с высокой разрешающей способностью. Некоторое снижение быстродействия последовательно-параллельных АЦП в сравнении с чисто параллельными с лихвой компенсируется многократным снижением
объема последних прежде всего за счет уменьшения количества компараторов. Структурная схема двухкаскадного последовательно-параллельного АЦП приведена на рис. 2.17. Как видно из рисунка, все устройство в целом осуществляет преобразование входного аналогового сигнала мвх с 6-разрядным разрешением. Однако преобразование осуществляется в два приема с помощью двух 3-разрядных АЦП. Оба они, и АЦШ, и АЦП2 — параллельного типа, т. е. являются однотактными. Но алгоритм работы данной схемы предполагает их последовательное действие, и общее количество тактов равное трем. В течение первого такта осуществляется грубое квантование входного сигнала ивх с трехразрядной точностью при помощи АЦП1. Результат этого квантования подается на выход АЦП в качестве старших разрядов выходного кода (23-25) и одновременно поступает на вход 3-разрядного ЦАП. Во втором такте аналоговое напряжение, которое формируется на выходе ЦАП и отражает результат грубого квантования в первом такте, сравнивается с истинным значением входного сигнала мвх. Разница, полученная на выходе вычитающего устройства ВУ, поступает на вход второго трехразрядного АЦП (АЦП2), который в третьем такте осуществляет ее преобразование в три младших разряда выходного кода (2°-22). Таким образом, быстродействие представленного на рис. 2.17 6-разрядного АЦП в три раза ниже, чем то, которым обладал бы 6-разрядный параллельный АЦП. Но, если для создания параллельного потребовалось бы 26 - 1 = 63 компаратора, то для создания двухкаскадного последовательно-параллельного — всего 2(23- 1), 2-7 = 14 компараторов. Выигрыш — более чем в 4 раза. Количество каскадов в АЦП с подобной архитектурой может быть больше двух, поэтому их иногда называют многокаскадными. В этом случае на входе каждого АЦП следует размещать устройство выборки и хранения (УВХ).
Рис. 2.17. Структурная схема 2-каскадного последовательно- параллельного АЦП 2.3.10. Последовательно-параллельный АЦП с переменным шагом квантования Структурная схема 6-разрядного АЦП такого типа показана на рисунке 2.18. Здесь цифрой 1 обозначен резистивный делитель — такой же, как на рис. 2.14, где изображена схема параллельного АЦП. Однако нижняя часть этого делителя, соединенная на рис. 2.14 с потенциалом «земли», в схеме на рис. 2.18 подключена к устройству управления, а верхняя часть, вместо источника опорного напряжения —к выходу 3-разрядного ЦАП. Блок, обозначенный цифрой 2 — это оставшаяся часть схемы на рис. 2.14, т. е. блок компараторов и приоритетный шифратор. Особенностью данной схемы является то, что здесь для достижения 6-разрядного разрешения используется всего один 3-разрядный параллельный АЦП, но пороги срабатывания входящих в его состав компараторов изменяются в ходе преобразования с помощью устройства управления и 3-разрядного ЦАП. Работает схема следующим образом. Входной сигнал ивх, как и в схеме на рис. 2.14, поступает на инверсные входы блока компараторов. Если максимальный размах входного напряжения мвх равен, к примеру, 8В, то на первом этапе преобразования к резис-
Рис. 2.18. Структурная схема последовательно-параллельного АЦП с переменным шагом квантования тивному делителю прикладывается такая разность потенциалов, что шаг квантования для блока компараторов получается равным 1В. Результатом преобразования после первого этапа будет трехразрядный код на выходе АЦП, соответствующий трем старшим разрядам выходного кода (23-25). На втором этапе преобразования напряжение, которое сформируется на выходе ЦАП, и напряжение с устройства управления образуют такую разность потенциалов на концах резистивного делителя, что шаг квантования для блока компараторов станет равным 1/7 В, т. е. шкала квантования сместится так, что на вход ЦАП будет действовать только разница между входным сигналом мвх и сигналом грубого квантования с выхода ЦАП. Результат квантования определит код младших разрядов (2°-22) на выходе устройства в целом. Статическая погрешность такого АЦП будет той же, что и у двухкаскадного последовательно-параллельного АЦП, а объем устройства будет меньшим.
2.3.17. Конвейерные АЦП Преобразователи конвейерного типа по своей структуре могут напоминать либо многокаскадные последовательно-параллельные АЦП, либо последовательно-параллельные АЦП с переменным шагом квантования, однако отличаются от них организацией процесса квантования и способом формирования выходного кода. В результате, независимо от числа каскадов, т. е. последовательных этапов квантования, полное время преобразования оказывается равным всего одному такту. Чтобы ознакомиться с характерными особенностями конвейерных АЦП и основным принципом их функционирования, рассмотрим структурную схему одного из возможных вариантов построения таких устройств, показанную на рис. 2.19. Рис. 2.19, Структурная схема 9-разрядного 3-каскадного конвейерного АЦП (а) и временные диаграммы его работы (б)
Представленная схема подобна 3-каскадному последовательно- параллельному АЦП, но включает в себя еще несколько дополнительных регистров. Назначение этих регистров станет ясным из описания работы устройства. Входной сигнал ивх = ивх] поступает на вход первого устройства выборки и храненния УВХ1 и запоминается им на время преобразования, равное одному периоду Гр тактовой частоты Fr = 1/Гр. Трехразрядный АЦП1 параллельного типа, соединенный с выходом УВХ1, осуществляет грубое квантование входного сигнала мвх1 в течение первой половины первого периода преобразования Гпр1 (рис. 2.19 б). Результат этого квантования, соответствующий трем старшим разрядам 26 - 28 выходного 9-разрядного кода, перепадом уровня «1-0» тактовой частоты между первой и второй половиной Гр1 записывается в 3-разрядный регистр РГ1-1. Одновременно с этим 3-разрядный ЦАП1 формирует на своем выходе аналоговое напряжение ^ЦАП1, соответствующее выходному коду АЦП1. Вычитающее устройство ВУ1 сравнивает это напряжение с напряжением выборки входного сигнала ивх1, хранящимся в это время в УВХ1, и полученную разность подает на вход УВХ2. Этот процесс должен завершиться к концу второй половины Т v С приходом следующего импульса тактовой частоты FT (первая половина Г^) в УВХ2 запоминается напряжение разности с выхода ВУ1, а в УВХ1 — новое значение входного сигнала ивх = ивхГ В течение первой половины Гр2 соединенный с выходом УВХЗ 3-разрядный параллельный АЦП2 осуществляет квантование разности и^-Ицдпр а АЦШ — входного сигнала мвх2, значение которого теперь хранится в УВХ1. Результатом преобразования АЦП2 будут три средних разряда 23-25 первой выборки входного сигнала мвх1, а результатом преобразования АЦШ — три старших разряда 26-28 второй выборки входного сигнала мвх2. Перепадом уровня тактовой частоты в середине периода Г будут произведены следующие операции записи в регистры: • три старших разряда 26-28 первой выборки входного сигнала ивх1, хранящиеся в РГ1-1, перепишутся в регистр РГ1-2;
• три старших разряда 26-28 второй выборки входного сигнала иъх2 с выхода АЦШ запишутся в регистр РГ1-1; • три средних разряда 23-25 первой выборки входного сигнала мвх1 выхода АЦШ запишутся в регистр РГ2-1. Во второй половине периода Т 2 должны быть завершены процессы формирования разницы между ивх2и н ш на выходе ВУ1 и разницы между "вх1-"цдП1 и мЦАШ на выходе ВУ2. С началом третьего периода Гр3 тактовой частоты в УВХ1 фиксируется следующее значение входного сигнала ивх = ивхГ в УВХ2 — разность ивх2-WuAni, а в УВХЗ — разность ивхГ VnrVm- После этого АЦП1, АЦП2 и АЦПЗ формируют соответствующие этим напряжениям коды: • АЦШ — код старших разрядов 26-28 выборки мвх3; • АЦП2 — код средних разрядов 23-25 выборки мвх2; • АЦПЗ — код младших разрядов 2°-22 выборки ивхУ В момент прихода очередного перепада «1-0» тактовой частоты в центре Гр3 в регистры устройства будут произведены следующие записи: • старшие разряды 26-28 первой выборки ивх1 из регистра РГ1-2 перепишутся в РГ1-3; • старшие разряды 26-28 второй выборки ивх2 перепишутся из РГ1-1 вРГ1-2; • старшие разряды 26-28 третьей выборки ивх3 с выхода АЦШ запишутся в регистр РП-1; • средние разряды 23-25 первой выборки мвх1 перепишутся из РГ2-1 в РГ2-2; • средние разряды 23-25 второй выборки ивх2 с выхода АЦШ запишутся в РГ2-1; • младшие разряды 2°-22 первой выборки мвх1 с выхода АЦПЗ запишутся в РГЗ. Таким образом, на выходе всего устройства в целом (в регистрах РГЗ, РГ2-2 и РГ1-3) окажется девятиразрядный код выборки
входного сигнала мвх]. Вполне очевидно, что ровно через такт в тех же регистрах окажется девятиразрядный код выборки мвх2, еще через такт — код выборки мвх3 и так далее. Несмотря на то, что преобразование входного сигнала осуществлялось в три этапа (т. е. последовательно), результирующая скорость преобразования получилась равной тактовой частоте Fr, которая в итоге оказалась эквивалентной частоте дискретизации, т. е. F. = F . Именно такой способ организации процесса преобразования и характерен для конвейерных АЦП, которые позволяют получить максимально возможную скорость преобразования, определяемую быстродействием всего одного каскада. Образующаяся при этом задержка выходного кода относительно входного сигнала никакой роли не играет, поскольку теорема отсчетов инвариантна к смещению отсчетов во времени. Приведенный пример описывает только принцип функционирования конвейерных АЦП, детали же могут быть самыми разными. Каскадов может быть больше или меньше, АЦП в них могут иметь одинаковую разрядность, а могут иметь и разную (вплоть до одноразрядной), форма и полярность сигнала тактовой частоты может быть такой, как показана в примере, а может быть и другой — все зависит от особенностей используемой элементной базы — АЦП, ЦАП, регистров, УВХ, ВУ и других, не показанных на структурной схеме элементов. Однако реально достижимая разрешающая способность, а следовательно и точность преобразования всего устройства в целом, зависит от величины суммарной погрешности используемых в схеме элементов — в первую очередь от УВХ и АЦП последнего каскада преобразования, формирующего младшие разряды выходного кода. Иногда, с целью облегчения требований к УВХ и АЦП, в схему включают усилители, которые располагаются после ВУ. На рис. 2.20 показан пример двухкаскадного 6-разрядного конвейерного АЦП с усилением разностного сигнала. Коэффициент усиления операционного усилителя в данной схеме равен 8, что, кроме снижения погрешностей УВХ, дает возможность использовать совершенно одинаковые АЦП1иАЦП2.
Рис. 2.20. Структурная схема 6-разрядного конвейерного АЦП с усилением разностного сигнала
3. ЦИФРО-АНАЛОГОВЫЕ ПРЕОБРАЗОВАТЕЛИ Цифро-аналоговые преобразователи представляют собой класс устройств с чрезвычайно широким спектром применений. В этом спектре особо следует выделить использование ЦАП в качестве функционального блока АЦП, где он выполняет роль многозначной меры преобразованного аналогового сигнала, которая управляется кодом, формируемым на выходе АЦП, и располагается в цепи обратной связи. В качестве самостоятельного устройства ЦАП широко используются в измерительной и вычислительной технике, системах передачи, хранения и отображения информации (телевидение, радиосвязь и радиовещание, телефония, видео- и звукозапись и пр.), а также в системах управления технологическими процессами. 3.1. Погрешности ЦАП Погрешности цифро-аналоговых преобразователей обусловлены тремя основными факторами — погрешностью смещения нулевого уровня шкалы преобразования, погрешностью коэффициента преобразования и погрешностью нелинейности характеристики преобразования. Погрешность смещения нулевого уровня шкалы напрямую зависит от характеристик, применяемых в схемах ЦАП операционных усилителей. Той же причиной определяется и нелинейность монотонного характера. Погрешность коэффициента преобразования зависит от соотношения внутреннего сопротивления кодоуправляемых делителей
(делители опорного напряжения, декодирующие резистивные матрицы) и сопротивления обратной связи операционных усилителей, а также от соотношения сопротивлений наборов взвешивающих резисторов. Одной из важнейших составляющих погрешности является нелинейность немонотонного характера. Основной причиной, вызывающей нелинейность функции преобразования ЦАП, является погрешность взвешивающих резисторов кодоуправляемого делителя. Однако свой вклад вносят и другие факторы: остаточные параметры ключей, внутреннее сопротивление источника опорного напряжения, разброс параметров генераторов тока и т. д. Рассмотрим эти факторы более подробно. 3.2. Основные параметры цифро-аналоговых преобразователей Совокупность нормируемых и контролируемых параметров ЦАП определяется в зависимости от их назначения и области применения. Чаще всего такие параметры нормируются для приборов данного конкретного типа, но иногда и для отдельных экземпляров ЦАП. Помимо назначения и области применения, на выбор совокупности нормируемых параметров влияет степень конструктивной и функциональной законченности ЦАП. Если термин «ЦАП», обозначает микросхему, у которой отсутствуют как источник опорного напряжения, так и цепи регулирования смещения «нуля» и масштаба, то это всего лишь комплектующий элемент, предназначенный для создания функционально законченного устройства. Последнее в этом случае может быть выполнено в виде отдельного модуля, в котором кроме микросхемы ЦАП, должны быть установлены: источник опорного напряжения, цепи регулировок, выходной усилитель, буферный регистр, оптронная развязка и другие необходимые элементы. В то же время существуют микросхемы ЦАП, которые представляют собой полностью завершенные приборы — как функционально, так и конструктивно. Разумеется, в каждом из пере-
численных выше случаев набор нормируемых параметров будет разным. Всю совокупность параметров, из которых в соответствии со спецификой и назначением ЦАП выбираются комплексы нормируемых параметров, можно разбить на несколько групп. 3-2.1. Номинальные параметры Номинальная функция цифро-аналогового преобразования имеет вид U(h) = Qh (3.1) Параметрами этой функции являются коэффициенты преобразования Q и параметры кода входного сигнала h. Для двоичного кодирования функция U(h) примет вид U(h) = QfjAi2i-i (3.2) 1=1 где Л с {0,1}. График функции преобразования имеет вид точек на прямой (рис. 3.1). Максимальное (конечное) значение выходного сигнала £/к=е(2п-1). Коэффициент преобразования характеризует отношение приращения аналогового выходного сигнала к приращению цифрового входного сигнала. Этот коэффициент имеет размерность выходной величины и численно равен номинальной единице младшего разряда. Код входного сигнала характеризует систему символов, используемых для отображения цифрового сигнала на входе ЦАП. Чаще всего здесь используются прямой и обратный двоичные коды, дополнительный двоичный код и смещенный двоичный код. Число разрядов кода — число двоичных (или групп двоично-десятичных) символов, обозначающих кодированный входной сигнал.
Рис. 3.1. Номинальная функция преобразования ЦАП 3.2.2. Статические параметры Погрешность преобразования отражает разницу между реальной функцией преобразования и номинальной. Этот параметр может быть приведенным как к выходу ЦАП, так и к его входу. Если погрешность преобразования приведена к выходу, то она выражается в единицах выходной величины (напряжение или ток), если ко входу, то в безразмерных долях единицы младшего разряда. Для измерительных ЦАП пределы допустимой относительной погрешности преобразования выражаются формулой S=±[c + d\UJU\-l](%)9 (3.3) где end — положительные числа, выбираемые из множества (10; 6; 5; 4; 2,5; 2; 1,5)10_/и, где т — целое положительное число; Uk — максимальное (конечное) значение выходной величины.
Зависимость b(U) имеет нелинейный характер (рис. 3.2 а), поэтому при контроле погрешности удобнее пользоваться сравнением оценок полученных значений с предельно допустимыми не в относительных единицах, а в абсолютных. Зависимость пределов допустимой абсолютной погрешности от выходной величины, выраженная в единицах этой величины, имеет линейный характер (рис. 3.2 б): Контроль погрешности производится для заданных значений входного кода, поэтому в формуле (3.4) непрерывный аргумент заменяется дискретным в соответствии с номинальной функцией преобразования AU = ±[(с -d)U + dUK ]/100 . (3.4) A(h) = ±[Qh(c-d)/W0 + QhKd/100l где hK — максимальное значение входного кода. Рис. 3.2. Области допустимых значений относительной (а) и абсолютной (б) погрешностей преобразования
Формула (3.4) включает в себя два слагаемых. Величина (с - йГ)/100 характеризует допустимые пределы погрешности, вносимой погрешностью коэффициента преобразования, и выражается в относительных единицах. Например, (с - d)/\00 = 0,001 соответствует пределам относительной погрешности выходной величины ЦАП ± 0,1%. Величина dUJIOO = dQhJIOO характеризует допустимые пределы погрешности, вносимой за счет погрешности смещения «нуля», и выражается в единицах выходной величины. Например, для d = 0,02, Q = 1 мВ и hK = 210-1 абсолютная погрешность смещения «нуля» не превышает величины ± 0,2 мВ. Эти два параметра (погрешность коэффициента преобразования и погрешность смещения «нуля») достаточно полно характеризуют точность ЦАП данного типа. Погрешность преобразования систематическая является усредненным во времени значением погрешности преобразования при неизменном значении входного кода. Допустимое значение систематической погрешности выражается числом или функцией либо числового значения входного кода, либо выходной величины. Для оценки качества больших партий преобразователей одного типа и оценки степени культуры производства вычисляют математическое ожидание систематической погрешности, которое в идеале должно стремиться к нулю, и среднеквадратичное отклонение систематической составляющей погрешности, которая характеризует разброс систематических погрешностей от экземпляра к экземпляру. Погрешность преобразования случайная — случайная составляющая выходного сигнала ЦАП (шум) при неизменном значении входного кода, т. е. разность между погрешностью преобразования и ее систематической составляющей. Случайная погрешность характеризуется среднеквадратичным отклонением или автокорреляционной функцией, либо однозначно с ней связанной спектральной плотностью. Интегральная нелинейность преобразования характеризует максимальное отклонение значений реальной функции преобразования от соответствующих точек на прямой, аппроксимирующей эту
функцию (рис. 3.3). Параметры такой прямой определяются либо соединением двух крайних точек реальной функции преобразования, либо методом наименьших квадратов. Чтобы при оценке данного типа нелинейности исключить влияние шумов, производят усреднение во времени. Рис. 3.3. К определению интегральной нелинейности ЦАП Дифференциальная нелинейность преобразования локальная — отклонение приращения выходного сигнала ЦАП при увеличении или уменьшении входного кода на одну единицу. При оценке всей функции нелинейности преобразования выбирается максимальное по модулю значение локальной дифференциальной нелинейности,
которое и принимается за общую характеристику дифференциальной нелинейности ЦАП. Монотонность функции преобразования — неизменность знака приращения сигнала на выходе ЦАП при монотонном изменении числового значения входного кода. Функция преобразования считается немонотонной, если хотя бы одна из локальных дифференциальных нелинейностей имеет знак, противоположный знаку единицы младшего разряда, и превосходит его по размеру. Стабильность — способность ЦАП сохранять воспроизводимое значение выходной величины или линейность преобразования в течение оговоренного времени. В паспорте преобразователя может указываться максимальный интервал времени, в течение которого пределы допустимой погрешности или нелинейности преобразования не превышаются. Указание временных интервалов служит основанием для назначения сроков регулировки прецизионных ЦАП во время эксплуатации. 3.2.3. Динамические параметры Время установления по напряжению (по току) — интервал времени от момента изменения кодовой комбинации на входе ЦАП до момента, когда выходной аналоговый сигнал окончательно войдет в зону установившегося состояния, соответствующего ±1/2 единицы младшего разряда (шага квантования) (рис. 3.4) или другому оговоренному значению, например, допустимому пределу статистической погрешности. Выброс входного сигнала (глитч) — короткий всплеск в выходном сигнале ЦАП, который возникает при изменении входного кода (рис. 3.4). Величина глитча, как правило, зависит от величины разности между значениями предыдущего и последующего кодов и характеризуется длительностью и амплитудой, или вольтсекундной площадью.
Рис. 3.4. К определению динамических параметров ЦАП *уст ~~ вРемя установления; О — шаг квантования 3.2.4. Функции влияния К функциям влияния относятся зависимости параметров точности или быстродействия либо от изменения влияющих величин (температуры, влажности, напряжения, питания и пр.), либо от неинформативных параметров входного сигнала — амплитуды и длительности фронтов сигналов, представляющих «единицы» и «нули» входного кода. Примерами функций влияния могут служить зависимости погрешности и линейности от температуры, погрешности от напряжения источника питания, длительности выброса от крутизны фронтов входного цифрового сигнала и пр. 3.2.5. Параметры сопряжения Параметры сопряжения описывают вход и выход ЦАП с точки зрения электрического согласования с внешними устройствами.
Можно выделить две группы таких параметров — параметры аналогового сопряжения и параметры цифрового сопряжения. Параметрами аналогового сопряжения являются следующие величины: • полное выходное сопротивление, которое определяет реакцию ЦАП на подключение к его выходу некоторой фиксированной нагрузки и характеризуется выходным сопротивлением и выходной емкостью вместе с односторонними или двусторонними допусками на них; • входное сопротивление для внешнего источника опорного напряжения (или входной ток); • номинальное напряжение внешнего опорного источника и допустимые пределы отклонения от него; • входные сопротивления для внешних источников питания (или входные токи); • номинальные значения напряжения источников питания и пределы допустимых отклонений от них. Параметры цифрового сопряжения включают в себя: • номинальные значения уровней логического «нуля» и «единицы» и допускаемые погрешности на них; • полное входное сопротивление ЦАП со стороны цифрового входа и односторонний допуск на него. Кроме упомянутых выше параметров для оценки рабочих характеристик ЦАП могут быть использованы и другие параметры. 3.3. Классификация схем ЦАП Также, как в случае АЦП, схемы ЦАП можно классифицировать по разным признакам: принципу действия, виду выходного сигнала, его полярности, типу элементной базы, характеру опорного сигнала, конструктивному исполнению и пр.
По принципу действия или способу формирования выходного сигнала различают ЦАП с суммированием напряжений, с делением напряжения и с суммированием токов. По виду выходного сигнала ЦАП делят на две группы: с токовым выходом и с выходом по напряжению. По полярности выходного сигнала — также на две группы: с однополярным и биполярным сигналом на выходе. Схемы, используемые в ЦАП для суммирования и деления, могут быть резистивными, емкостными и индуктивными. По характеру опорного сигнала различают ЦАП с постоянным опорным сигналом и с изменяющимся опорным сигналом (умножающие ЦАП). Конструктивное исполнение ЦАП может быть модульным, гибридным и интегральным. В настоящее время стремительный прогресс в области микроэлектроники определил расстановку акцентов в распространенности ЦАП тех или иных видов. Прежде всего это коснулось их конструктивного исполнения — наиболее распространенными стали интегральные преобразователи. В свою очередь интегральное исполнение ЦАП способствовало развитию преобразователей с суммированием токов и делением напряжения — как наиболее технологичных в этом отношений. Самыми технологичными из всех оказались резистивные схемы деления и суммирования. Для других классификационных признаков конструктивное исполнение ЦАП роли не играет. Кроме вышеперечисленных признаков, ЦАП отличаются еще характером входного управляющего кода (двоичный, двоично-десятичный, унитарный, Грея и др.), его разрядностью, уровнями логического сигнала (ТТЛ, КМОП, ЭСЛ и пр.), быстродействием, точностью преобразования, напряжением питания, потребляемой мощностью и т. д.
3.4. Обобщенная структурная схема ЦАП Цифро-аналоговый преобразователь выполняет функцию, обратную функции АЦП. Каждому набору сигналов (Ат,..^4,), представляющему входное кодовое слово А, ставится в соответствие однозначно связанное с ним значение выходного аналогового сигнала F(h) [23, 29]. Если входное слово представлено в форме позиционного двоичного кода, то номинальную функцию преобразования ЦАП можно записать в виде т F{h) = Qh = QYJAi2i~l , (3.5) /=1 где Q — коэффициент (чувствительность) преобразования; т — разрядность входного кодового слова; А. е {0,1} — значения /-го разряда кодового слова; 2'"1 — числа, соответствующие весам разрядов. Выражение (3.5) применимо только к линейным ЦАП. Нелинейные преобразователи, которые могут использоваться в некоторых случаях, в данной книге рассматриваться не будут. Как упоминалось выше, подавляющее большинство ЦАП составляют преобразователи параллельного двоичного кода в напряжение или ток, построенные на основе резистивных матриц, осуществляющих деление опорного напряжения или тока. Такая матрица характеризуется заданным множеством коэффициентов, пропорциональных числовым значениям входного кода. Очевидно, что число таких коэффициентов равно множеству всех т-раз- рядных двоичных чисел. Иногда вместо термина «резистивная матрица» в технической литературе употребляются термины: цифровой делитель напряжения, кодоуправляемый делитель, декодирующая сетка или матрица, делитель опорного напряжения, декодирующая резисторная матрица и др. Обобщенная структурная схема ЦАП представлена на рис. 3.5. Она включает в себя два набора резисторов НР1 и НР2, представляющих собой декодирующую резистивную матрицу, и набор клю-
Рис. 3.5. Обобщенная структурная схема ЦАП чей S]9 ..-,Sm9 положение которых определяется входным кодовым словом. Наборы резисторов в зависимости от начальных условий могут осуществлять деление тока или напряжения, преобразование напряжения в ток или тока в напряжение. Реальная резистивная матрица может содержать либо набор HP 1, либо набор НР2, либо оба набора одновременно. Чтобы не загромождать схему, на ней не показаны активные элементы, осуществляющие усиление по току, по напряжению, инвертирование при масштабировании, преобразование напряжения в ток или наоборот. Набор резисторов НР1 служит для формирования из опорного напряжения EQm сигналов по числу разрядов входного кода, представляющих собой набор токов. Преобразование Е0 в ток осуществляется либо с помощью самих резисторов набора НР1 за счет постоянства его входного сопротивления, либо за счет применения активных элементов (генераторов стабильного тока). Эти токи или подключаются, или не подключаются к соответствующим входам НР2 с помощью ключей 5,,..., Sm в зависимости от значения разрядов входного кода, управляющего положением этих ключей. На выходе НР2 при этом формируется выходной сигнал в виде тока / или напряжения U. Если же набор НР1 отсутствует, то ко всем ключам Sv...9Sm окажется приложено напряжение EQ9 и схема трансформи-
руется в схему с делением напряжения. Если отсутствует набор НР2, но присутствует набор НР1, то схема трансформируется в схему суммирования токов, полученных с помощью НР1. Эти токи с выходов открытых ключей Sv ..., Sm объединятся в одной точке, образуя выходной сигнал в виде тока. На представленной схеме активные элементы не показаны, т. е. их коэффициенты передачи приняты равными «единице». Коэффициент преобразования ЦАП определяется коэффициентом деления резистивной матрицы, который при открытом /-м ключе (А. = 1) равен отношению выходного сигнала UB. к опорному напряжению Е0 и представляет собой весовой коэффициент KBI = UB/EQ. В случае двоичного управляющего кода весовые коэффициенты принимают значения, пропорциональные или равные 2м/2"\ Совокупность коэффициентов деления является основной характеристикой резистивной матрицы. С учетом того, что к рассмотренной схеме применим принцип суперпозиции, номинальную функцию преобразования резистивной матрицы можно записать в виде: т К(К) = ^А,КВ.„ (3.6) 1=1 где K(h) — коэффициент деления. Конечно, реальные ЦАП из-за существующих погрешностей имеют функции преобразования, отличающиеся от номинальных, следствием чего является неточность преобразования. Эта неточность может быть выражена различными способами, однако способ выражения точности должен соответствовать той задаче, которую выполняет преобразователь в каждом конкретном случае, а числовые значения ее — характеризовать степень достижения целей поставленной задачи [23, 24]. 3.5. Базовые схемы ЦАП Основным признаком, по которому различают все существующие схемы цифро-аналоговых преобразователей, является способ
формирования выходного сигнала — суммирование токов, деление напряжения или суммирование напряжений. В соответствии с этим признаком можно выделить три базовые схемы, являющиеся вариантами обобщенной структурной схемы, показанной на рис. 3.5, которые служат основой для построения конкретных схем ЦАП данного класса [21, 25, 33]. Ключи Sv S2,..., Sm, входящие в состав каждой из этих схем и управляемые разрядами Av ••-,Ат входного кода А, либо подключают к выходу ЦАП некоторое количество источников опорных сигналов, либо устанавливают некоторое дискретное значение коэффициента деления К(И). Рассмотрим эти схемы более подробно. 3.5.7. Схема ЦАП с суммированием токов Упрощенная структурная схема ЦАП с суммированием токов приведена на рис. 3.6. Токи, в реальном устройстве формируемые тем или иным способом внутри самой схемы ЦАП, здесь показаны в виде m эквивалентных им опорных источников Iv Iv ..., Im. Разряды A j, Av ..., Am входного кода h управляют положением ключей Sx, S2,..., Sm, которые при А = 1 подключают i-й источник к нагрузке, а при А= 0 замыкают его на «землю». Поэтому результирующий ток 1Ъ будет равен сумме токов тех опорных источников /., для которых соответствующий им разряд А = 1. Рис. 3.6. Упрощенная структурная схема ЦАП с суммированием токов
Для двоичного входного кода h формула для /£ получается из выражения (3.5) приданием определенности функции F(h) путем замены ее на 1(h) = Ir a Q на 10 т h = /0£Д2М = /0(Л2° + А22' +...+ Ат2т-1) = /0Й, (3.7) 1=1 где 10—коэффициент (чувствительность) преобразования, имеющий значение тока от младшего значащего разряда входного кода А; т — разрядность входного кода. Напряжение на нагрузочном резисторе RH будет равно и^=1Л- (3-8) Если U достигает значения Ею то вых.макс ^ О' 'о-у^' (3.9) 3.5.2. Схема ЦАП с суммированием напряжений В этой схеме набор суммируемых напряжений, формируемых внутри устройства из напряжения EQ опорного источника, показан как т эквивалентных источников напряжения EvEy Ет. Упрощенная схема подобного рода ЦАП представлена на рис. 3.7. Рис. 3.7. Упрощенная структурная схема ЦАП с суммированием напряжений
Подключение источников к сопротивлению нагрузки Лн осуществляется с помощью ключей Sv Sv ..., iS^, управляемых входным кодом. Как и в предыдущей схеме, если А = 1, то источник Е. подключен, если А.= О, то, наоборот, исключен из суммарного напряжения UT Величина суммарного напряжения Ц. определяется по формуле т иъ = £/o£Л2'-, = £/0(Д 2° + Д22' +...+Ат 2""1) = U0h, (3.10) /=1 где UQ =Е0/2т— коэффициент преобразования, имеющий значение напряжения, прикладываемого к нагрузке, когда А =\ (младший значащий разряд), а А2 = Аъ =.. .= Ат = 0. 3.5.3. Схема ЦАП с делением опорного напряжения Упрощенная схема такого ЦАП представлена на рис. 3. 8. В данной схеме напряжение опорного источника Е0 делится с помощью калиброванных резисторов RVRV ...,Rm. Необходимый коэффициент деления может быть получен путем замыкания и размыкания ключей Sv S2iSm, управляемых входным кодом. Если AQ = 0, то ключ S. разомкнут, если А% = 1, то ключ S. замкнут. Рис. 3.8. Упрощенная структурная схема ЦАП с делением напряжения
Выходное напряжение для данной схемы определяется формулой EqR^ где /?z — общее сопротивление тех резисторов цепочки, для которых соответствующие ключи находятся в разомкнутом состоя- Как говорилось выше, наибольшее распространение получили резистивные схемы ЦАП с суммированием токов и делением напряжения, которые допускают использование всего одного источника опорного напряжения (ИОН). Работу таких схем можно описать уравнением общего вида т Y1=jil1B = B^Al^ (ЗЛ2) 1=1 где Ух — выходной аналоговый сигнал; В — опорный аналоговый сигнал; jUx — коэффициент преобразования ЦАП по току или напряжению; fig — коэффициент преобразования /-го разряда т-разрядного цифрового сигнала; А. е {0,1} — значение /-го разряда цифрового кода; i — порядковый номер разряда цифрового кода в порядке возрастания его веса. Из (3.12) следует, что при любом построении ЦАП преобразованию подвергается опорный аналоговый сигнал, а цифровой сигнал является модулирующим. Всякое изменение jL££ на единицу младшего разряда вызывает изменение Y1 на величину AY, которая по аналогии с АЦП называется шагом квантования. Рассмотрим несколько наиболее распространенных схем практической реализации ЦАП. НИИ.
3.6. Методы практической реализации ЦАП 3.6.1. ЦАП с суммированием взвешенных токов Схема такого преобразователя представлена на рис. 3.9. Число резисторов в резистивной матрице R]-Rm равно числу разрядов m входного кода. Величины сопротивлений этих резисторов соответствуют формуле Ri=R02m-i, (злз) где R — сопротивление резистора самого старшего значащего разряда Rm (наименьшее по величине); i — 1, 2,..., m — порядковый номер разряда входного кода, начиная с самого младшего / = 1 и кончая самым старшим / = т. Таким образом, резистор Rm самого старшего разряда входного кода обладает сопротивлением Рис. 3.9. Схема ЦАП с суммированием взвешенных токов
т. е. наименьшим возможным сопротивлением RQ. Величина сопротивления резистора RmJ[ равна ЯшЧ =R02m-(m-l)=R02m-m+l =2R0, т. е. в два раза больше, чем у Rm. Продолжая вычисления, можно убедиться, что величина сопротивления каждого последующего резистора с уменьшением веса соответствующего ему разряда будет удваиваться. Величина сопротивления резистора самого младшего разряда Rl будет наибольшей Rl=R02m-\ С помощью такой матрицы, состоящей из взвешивающих резисторов R{-Rm, формируется набор взвешенных токов величины которых будут возрастать с увеличением веса соответствующего разряда, Управление этими токами осуществляется с помощью ключей Sr Если А = 0, то ключ S. разомкнут, если А. = 1, то ключ S. замкнут. Величина /.взвешенного тока определяется формулой О приД=0 Е (314) Таким образом, общий ток 1^ на входе операционного усилителя будет равен сумме токов i, протекающих через замкнутые ключи и пропорционален значению входного кода т тс т A J7 т ^=ХА=|Х^7 = |ХЛ2- (зл5) i=\ ^Ч) i=i z *\) «=1 Напряжение U на выходе ЦАП также будет пропорционально значению входного кода ^ЫХ='А (3-16)
Данная схема чрезвычайно проста по структуре, однако реализация ее представляет определенную сложность из-за того, что с увеличением т величина сопротивления весового резистора младшего значащего разряда становится очень большой. К примеру, для 16-разрядного ЦАП Rx = 215 /?16 = 32768/?16. Если Л1б = 10 кОм, то Rx = 327,68 МОм. Поскольку разрешающая способность преобразователя должна быть при этом не хуже половины младшего значащего разряда, то точность выполнения номинала этого резистора должна быть не хуже 1/65536. Соблюсти такую точность в интегральном исполнении практически невозможно. Поэтому схема с суммированием взвешенных токов может использоваться только для реализации ЦАП невысокой разрядности. 3.6.2. ЦАП на основе резистивной матрицы типа R-2R Схема ЦАП данного вида, показанная на рис. 3.10, также является схемой с суммированием токов, которые в этом случае формируются с помощью резистивной матрицы типа R-2R [7]. Осо- Рис. 3.10. ЦАП с цепочкой резисторов R-2R с токовым выходом
бенностью такой матрицы является то, что ее входное сопротивление при любом положении ключей SVS2, ...,Sm равно R, т. е. общий ток, втекающий в матрицу, равен /0 = EJR. Распределение потенциалов в узлах матрицы не меняется при изменении положения ключей, поскольку входное сопротивление операционного усилителя, охваченного отрицательной обратной связью через резистор i?oc, фактически равно нулю, следовательно потенциал его входа равен потенциалу «земли». Это обстоятельство приводит к последовательному уменьшению вдвое напряжения в узлах схемы по мере их удаления от источника опорного напряжения и такому же уменьшению токов, протекающих через ключи Sm> SmV Sv Если ток Im =£0/2/?, то Im_l=E0/2-2R = E0/4R и так далее до IX=EQ/ 2m~l2R = E0/2mR или в общем виде I = _ Ер 2'~т 1 2R-2m-'1 2R Общий ток 1^ будет равен ,=1 /=1 где А.= I, если ключ S. замкнут на вход ОУ; А. = 0, если ключ S. замкнут на «землю». Выходное напряжение ЦАП будет равно £К ;=1 Если R = R, то ос ' Из (3.19) следует, что величина выходного напряжения ЦАП зависит от величины напряжения Е0 опорного источника. Этим обстоятельством пользуются для пострения так называемыхумножа- (3.17) (3.18) (3.19)
ющих ЦАП. Ко входу опорного источника подключают источник изменяющегося напряжения, и преобразователь в этом случае будет формировать выходной сигнал, пропорциональный произведению управляющего кода и напряжения, поданного на вход опорного сигнала [33]. Схема ЦАП, показанная на рис. 3.10, является схемой с выходом по току. На основе резистивной матрицы типа R-2R также можно построить ЦАП с выходом по напряжению, если использовать в качестве высокоомной нагрузки резистивной матрицы входное сопротивление операционного усилителя, включенного как показано на рис. 3.11, [25]. Очевидно, что напряжение на выходе при включении старшего разряда А4 будет равно EJ2 (делитель 2R-2R), от второго разряда А3 -EJA (эквивалентный генератор EJ2 и делитель 2RI2R) и т. д. Данную схему можно рассматривать, как эквивалентный управляемый генератор напряжения, величина которого равна NEQ, где N— некоторое дробное число меньшее 1, с внутренним со- Рис. 3.11. ЦАП на основе резистивной матрицы R-2R с выходом по напряжению
противлением R. Такой генератор можно использовать в качестве изменяемого источника опорного сигнала для схемы на рис. 3.10. Кроме того, масштаб выходного напряжения можно менять в сторону уменьшения, подключая прецизионный резистор Rh между выходом схемы и «землей» (на рис. 3.11 он показан пунктиром). Схемы ЦАП на основе резистивных матриц R-2R практичны, надежны, обладают высокой скоростью преобразования и легко реализуются в интегральном исполнении. В отличие от ЦАП со взвешивающими резисторами, здесь не требуется широкого диапазона номиналов и чрезвычайной точности при их подгонке. Рассмотрим два примера конкретной реализации ЦАП с резис- тивными матрицами типаЛ-2Л, которые в наибольшей степени пригодны для интегрального исполнения. В одной из них цепочка R-2R используется для формулирования двоично-взвешенных токов, в другой — для деления напряжения. Простейшая схема 3-разрядного ЦАП, работающего по принципу суммирования коллекторных токов транзисторов VT1, VT2 и VT3, показана на рис. 3.12. С помощью ключей S] - S3, управляемых входным кодом, эти токи подключаются к выходу ЦАП. Закон удвоения токов обеспечивается цепочкой резисторов R-2R. Структура каждого из транзисторов VTI-VT3 должна быть выполнена так, чтобы их коллекторные токи были согласованы со значениями токов резистивной матрицы и, следовательно, падения напряжения £/БЭна переходе база- эмиттер были одинаковыми. Это обстоятельство создает некоторые проблемы, поскольку разности значений напряжений С/БЭ в источниках тока изменяют значения потенциалов со стороны выводов резисторов цепочки R-2R, соединенных с эмиттерами транзисторов. Это приводит к погрешностям коэффициентов двоичного взвешивания. Наличие таких погрешностей превращается в серьезную проблему при разработке высокоточных многоразрядных ЦАП. К примеру, для реализации 10-разрядного ЦАП требуется обеспечить соотношение токов 512:1. Из-за взвешивания эмиттерных токов на резисторах в эмиттерной цепи образуется двоично-взвешенное падение напряжения. Проблема состоит в том, что резисторы, кото-
Рис. 3.12. ЦАП с резистивной матрицей Я-2Я, формирующий двоично-взвешенные токи рые при интегральной технологии реализуются из того же полупроводника, что и транзисторы, очень чувствительны к изменению напряжения. Проблему, однако, можно решить, выполняя резисторы по тонкопленочной технологии. Схема ЦАП с делением напряжения, показанная на рис. 3. 13, свободна от недостатков, присущих предыдущей схеме. Здесь источники тока, собранные на транзисторах VT1, VT2 и VT3 вместе с резисторами 7?э, вырабатывают одинаковые токи. Эти токи подключаются к двоичному резистивному делителю R-2R, который ослабляет их на пути к выходу ЦАП в соответствии с весовыми коэффициентами. Поскольку падение напряжения на/?э во всех разрядах одинаково, то зависимость величины сопротивлений от напряжения не будет вносить никакой погрешности, вызывающей рассогласование токов. Поэтому вполне допустимо изготовление резисторов R3 большого номинала путем напыления высокоомного резистивного слоя. В двоичном делителе на резисторах R-2R раз-
Рис. 3.13. ЦАП с делением напряжения с помощью резистивной матрицы R-2R ность падений напряжения всё же образуется, но поскольку эти резисторы без потери точности можно сделать низкоомными, то существенно уменьшить эти падения напряжения трудностей не представляет. Таким образом, оптимизация произведенияIxR при согласовании напряжения £/БЭ транзисторов может не потребовать увеличения площади подложки для рассеяния излишков тепла. Благодаря идентичности источников тока эта схема имеет два дополнительных преимущества. Во-первых, согласование напряжений UB3 одинаковых транзисторов, работающих в одинаковом режиме, лучше, чем согласование транзисторов, работающих с разными токами. Во-вторых, при всех переключениях переходные характеристики всех источников остаются одинаковыми, благодаря чему сигнал на выходе устанавливается быстрее для младших разрядов и его всплески будут меньшими.
3.6.3. ЦАП с тройным токовым интегрированием Кроме ЦАП на основе резистивных матриц, на практике иногда используются преобразователи, работа которых строится на иных принципах. На рис. 3.14 показана упрощенная схема 16-разрядного ЦАП с тройным токовым интегрированием, а на рис. 3.15 — временные диаграммы его работы. В основе этого ЦАП лежит тот же принцип, который был использован при построении аналогичного АЦП (см. раздел 2.3 б). Основными функциональными элементами схемы являются: входной 16-разрядный регистр РГ, три реверсивных счетчика СТ1, СТ2 и СТЗ с возможностью предварительной записи состояния, три ис- Рис. 3.14. Структурная схема 16-разрядного ЦАП с тройным токовым интегрированием
точника стабильного тока Ip Iv и /3, три ключа Sp S2, и Sv интегратор, состоящий из операционного усилителя ОУ, накопительного конденсатора С1 и ключа S4 для его разряда. Ключ S5 и конденсатор С2 — это упрощенный вариант УВХ, расположенного на выходе устройства. Каждый 16-разрядный отсчет, поступающий во входной регистр ЦАП, делится на три группы разрядов. Старшие 6 разря- Рис. 3.15. Временные диаграммы работы ЦАП с тройным токовым интегрированием
дов записываются в счетчик СТ1, средние 5 разрядов — в счетчик СТ2 и младшие 5 разрядов — в счетчик СТЗ. Преобразование входного кода в аналоговое напряжение осуществляется путем заряда конденсатора С1 интегратора суммарным током от источников Iv Iv и Iv соотношение токов которых выглядит как 210: 25: 1. Время подключения каждого из источников тока (t-t3) зависит от значения цифрового кода, записанного в соответствующий ему счетчик. Каждый из этих счетчиков начинает отсчет тактовых импульсов (на схеме тактовые импульсы не показаны) в сторону уменьшения своего содержимого, начиная с числа, записанного в него из входного регистра РГ, и продолжается вплоть до полного обнуления. При обнулении счетчика соответствующий ему источник тока отключается от интегратора. Таким образом, суммарный заряд конденсатора С1 интегратора, а значит и напряжение на его выходе, в точности соответствует значению входного кода. После того, как преобразование закончено, напряжение с выхода интегратора переносится на емкость С2 УВХ путем замыкания ключа S5 (конечно, в реальном устройстве такого непосредственного переноса не происходит — ключ S5, как правило, выполняется на полевом транзисторе, исключающем утечку заряда из емкости С1, а заряд емкости С2 до уровня напряжения на С1 происходит от другого источника тока). После этого ключ S5 снова размыкается, а ключ S4 замыкается, разряжая конденсатор С1 интегратора для подготовки его к очередному циклу преобразования. 3.6.4. ЦАП с суммированием токов, формируемых с помощью динамически согласующихся элементов Двоично-взвешенные токи, суммируемые в процессе цифро-аналогового преобразования, могут быть получены не только с помощью резистивной матрицы, но и методом так называемых динамически согласующихся элементов [35-37]. Устройство, реализующее такой метод, по своей структуре сложнее резистивной матрицы, но реализовать его тем не менее проще, поскольку в этом случае не
требуется сверхвысокой точности номиналов основных взвешивающих элементов — резисторов. Рассмотрим этот метод подробнее. Эквивалентная схема ЦАП с динамически согласующимися элементами (рис. 3.16) принципиально ничем не отличается от схемы, представленной на рис. 3.6. Но она сильно отличается от нее по способу формирования взвешенных токов, который в данном случае заключается в том, что ток каждого из младших разрядов получается путем деления пополам тока соседнего с ним старшего разряда. Упрощенная схема и временные диаграммы делителя тока пополам представлены на рис. 3.17. Источником тока, подлежащим делению, здесь служит опорный источник /. В схеме ЦАП он же служит источником весового тока самого старшего разряда. Резисторы Rx и R2 имеют примерно одинаковый номинал R и токи 1Х и /2 протекающие через них, также будут иметь примерно одинаковую величину Некоторая погрешность, которую невозможно избежать при изготовлении резисторов, приводит к тому, что токи 1Х и 12 будут отличаться друг от друга на некоторую величину А/. В представленной схеме величина сопротивления резистора Л. чуть больше величины сопротивления резистора Rv поэтому ток 1Х на величину AI меньше половины тока /, а ток /2, соответственно, на такую же величину AI больше 1/2. Однако формирование как взвешенного тока /3, так и взвешенного Рис. 3.16. Эквивалентная схема ЦАП с динамически согласующимися элементами
Рис. 3.17. Принцип действия делителя тока с динамически согласующимимся элементами. ГТИ — генератор тактовых импульсов тока/4, производится путем непрерывного переключения токов 1Х и 12 с помощью тактовых импульсов, вырабатываемых высокочастотным генератором ГТИ. Поэтому каждый из токов /3 и /4 будет содержать пульсации размахом в 2А/, определяемые разницей номиналов резисторов R{ и Rr Поскольку размах пульсаций совершенно одинаков в обе стороны от уровня 1/2 и оба тока /3 и 14 обладают им в равной мере, то такие пульсации легко подавляются с помощью самого простого фильтра. Токи /3 и /4 при этом получаются одина-
ковыми и равными 1/2. Один из этих токов может быть принят за двоично-взвешенный ток следующего по старшинству (младшего по отношению к току Г) разряда, а другой использован в качестве опорного для последующей ступени деления. Схема каскадного деления токов показана на рис. 3.18. Рис. 3.18. Схема многоканального формирования двоично- взвешенных токов С учетом возможной ошибки хронирования ДГиз-за нестабильности ГТИ, относительную величину общей ошибки А/3 можно выразить как А/34 AT AI tm-~- <з-2о)
Величину АТ/Т можно снизить до пренебрежимо малой величины, используя для хронирования кварцевый генератор, а величину AI/I — путем подгонки резисторов Л, и R2 и, самое главное — использованием ЛС-фильтров для каждого из источников тока / , полученных путем деления тока / опорного источника. С помощью динамически согласованных элементов можно осуществить деление опорного тока не только на две, но и на четыре равные части. Практическая схема такого делителя показана на рис. 3.19. Она использована как часть ЦАП TDA1540 фирмы Philips и применяется в проигрывателях компакт-дисков этой фирмы [36]. Здесь делению подвергается ток 41, генерируемый источником, расположенным в нижней части схемы. Для первичного деления тока вместо обычных резисторов, подобных резисторам i?j и R2 в предыдущей схеме (рис. 3.17), использованы транзисторы VT1-VT4 с резисторами R -R4 в эмиттерных цепях. Коллекторные токи этих транзисторов стабилизированы путем соединения их баз с источником опорного напряжения Uon. Для переключения этих токов в направлении одного из четырех выходов делителя А, В, С' и С" использован набор ключей Дарлингтона, которые управляются сигналами с выхода регистра сдвига. Каждый импульс генератора ГТИ последовательно перемещается с одного выхода регистра сдвига на другой (снизу вверх по схеме), подключая при этом к выходам делителя А, В, С и С" один из коллекторных токов транзисторов VT1—VT4. Механизм формирования выходных токов делителя получается тем же самым, что и в предыдущей схеме, только в формирований участвуют не два, а четыре исходных тока. Суммарная погрешность этих токов также равна нулю и может быть легко устранена с помощью очень простых фильтров, включенных на выходе каждого из них. Таким образом, /А=/в=/с.=/с..=/.
Рис. 3.19. Практическая схема делителя опорного тока на четыре равные части. ГТИ —- генератор тактовых импульсов
Два из полученных токов 1С. = 1С„ объединяются в точке С для получения двоично-взвешенного тока 21. В результате получаем /с=2/, Первые два тока можно использовать в качестве двоично-взвешенных токов, управляемых двумя смежными разрядами входного кода ЦАП. Последний ток /А, можно подвергнуть дальнейшему делению для получения двоично-взвешенных токов с весами, меньшими, чем /, и управлять ими младшими разрядами ЦАП (см. рис. 3.18). Схема фильтрации и управления двоично-взвешенными токами, полученными в результате деления, показана на рис. 3.20. Рис. 3.20. Схема фильтрации двоично-взвешенных токов и управления ими разрядами А1 и А2 входного кода ЦАП
Токи / и 21, полученные в результате деления, фильтруются с помощью простейших RC-цепочек (RXC{ иЯ2С2). Для развязки фильтрующих элементов и элементов подключения/отключения соответствующих токов от общего выхода (/ых) используются схемы Дарлингтона на транзисторах VT3, VT4 и VT5, VT6 с фиксированным потенциалом базы транзисторов VT4 и VT6. Подключение токов / и 21 к общему выходу осуществляется диодно-транзисторны- ми ключами VD1, VT1 и VD2, VT2, управляемыми разрядами Ах и А2 входного кода. Вся структура схемы, за исключением внешних конденсаторов Сх и С2, выполнена по интегральной технологии и представляет собой часть БИС TDAI540 фирмы Philips [36]. 3.7. Организация выхода по напряжению для ЦАП с токовым выходом Номенклатура ЦАП, выпускаемых современной промышленностью, чрезвычайно широка и имеет в своем составе приборы как с токовым выходом, так и с выходом по напряжению. Тем не менее встречаются ситуации, когда требуется организовать выход по напряжению у имеющегося в наличии ЦАП с токовым выходом. Для этого можно воспользоваться одним из описанных ниже приемов. Если большие значения выходного напряжения не требуются, и емкость нагрузки незначительна, то достаточно выход ЦАП соединить с «землей», через резистор i?H, величина которого должна быть примерно равна величине выходного сопротивления ЦАП (рис. 3.21) [32]. Рис. 3.21. Формирование выхода по напряжению для ЦАП с токовым выходом путем использования нагрузочного резистора Я
Например, если выходной ток ЦАП с выходным сопротивлением 100 Ом изменяется в пределах от 0 до 1 мА, то при величине сопротивления нагрузочного резистора 7?н = 100 Ом, выходное напряжение будет изменяться в пределах 0-И 00 мВ. Быстродействие ЦАП с организованным таким образом выходом будет характеризоваться величиной постоянной времени т уст х =RC , уст н вых' где Свых — сумма емкости выхода ЦАП и емкости нагрузки. При этом следует помнить, что время установления выходного напряжений ЦАП с точностью до 1/2 младшего значащего разряда в силу колебательности процесса установления, займет время, в несколько раз превышающее туст. Если на выходе ЦАП требуется получить достаточно большой диапазон напряжений или требуется согласовать выход с низкоом- ной нагрузкой или нагрузкой, имеющей значительную выходную емкость, то для организации выхода ЦАП по напряжению следует воспользоваться операционным усилителем, включенным по схеме инвертирующего усилителя тока с выходом по напряжению (рис. 3.22) [32, 38, 39]. Коэффициент усиления такого усилителя Kv ра- Рис. 3.22. Формирование выхода ЦАП по напряжению с помощью инвертирующего ОУ с частотно-зависимой обратной связью
вен отношению Roc к выходному сопротивлению ЦАП. Если последнее, как и в прошлом примере, равно 100 Ом, то = 100. Следовательно, если напряжение на входе ОУ 0-400 мВ, то напряжение на выходе ОУ будет изменяться от 0 до -10 В. Компенсирующий конденсатор Сос, подключенный параллельно резистору обратной связи Roc, нужен здесь для обеспечения устойчивости работы схемы, поскольку выходная емкость ЦАП Свых вместе Roc образуют фазосдвигаюшую цепочку, снижая тем самым быстродействие усилителя. Схема, показанная на рис. 3.23, представляет собой комбинацию из первых двух схем. Здесь операционный усилитель работает как неинвертирующий усилитель напряжения с коэффициентом усиления к =R2 + Ri Рис. 3.23. Формирование выхода ЦАП по напряжению с помощью неинвертирующего ОУ с частотнонезависимой обратной связью
Если, к примеру, Rx = 1 кОм, a R2 = 99 кОм, то А*и = 100. Эта схема обеспечивает наилучшие характеристики, т. к., не является частотнозависимой [32]. Тем не менее последние две схемы при работе на высоких частотах могут потребовать применения высококачественных (и довольно дорогих) операционных усилителей, что и является их существенным недостатком. 3.8. Формирование биполярного выходного сигнала ЦАП Подавляющее большинство аналоговых сигналов, преобразуемых в цифровую форму, являются биполярными. Это учитывается и при кодировании их цифровых эквивалентов путем введения специального знакового разряда (см. раздел 1.3). Однако обратная процедура — преобразование кода в аналоговую величину — представляет некоторые сложности, так как используемые в схемах ЦАП электронные аналоговые ключи, чаще всего , способны переключать сигналы только одной полярности. Поэтому для получения биполярного выходного сигнала ЦАП приходится использовать ряд дополнительных схем, отличающихся как принципом действия, так и достижимой точностью и стабильностью [21, 40, 41] Относительно просто реализуется смещение выходного сигнала на половину шкалы в случае ЦАП с токовым выходом или ЦАП с выходом по напряжению, у которого значение выходного сопротивления R конечно и постоянно (рис. 3.24). При этом R' U-=-E°(Ri+R2) + R" (З-21) R\Rt + R2) U™~Ij R'+(Rl+R2) ' <3-22) где
R'= {R3+R4)RbUK (R3 + R4) + RBu (3.23) Следует иметь в виду, что для получения удовлетворительных параметров у ЦАП с токовым выходом максимальное положительное значение U не должно превышать 1-2 В. Но максималь- ВЫХ* МАКС ное отрицательное значение С/вых при соответствующей величине Е0 может достигать -10 В. И наоборот, если выходной ток ЦАП /. имеет отрицательное значение (обратное показанному на рис. 3.24), то для смещения придется использовать источник EQ положительной полярности. В этом случае максимальное значение Uwx не должно превышать -(1-2) В. Рис. 3.24. Организация биполярного выхода ЦАП путем смещения выходного сигнала без применения ОУ
Часто для смещения выходного сигнала ЦАП используют его собственный источник опорного напряжения. Это способствует повышению температурной стабильности «нуля». Следует отметить, что операции регулировки смещения и шкалы в данной схеме являются взаимозависимыми. Это следует из формул (3.21- 3.23). Некоторые недостатки схемы 3.24 можно обойти, если разместить на выходе устройства операционный усилитель (рис. 3.25). Такое усовершенствование позволит увеличить размах выходного напряжения, снизить выходное сопротивление и увеличить нагрузочную способность. Кроме того, операции подстройка смещения и шкалы станут Рис. 3.25. Смеха формирования биполярного выхода ЦАП с применением неинвертируемого ОУ
практически независимыми. Недостатком подобного решения можно считать только некоторое снижение быстродействия. В данной схеме подстройка шкалы путем изменения величины сопротивления резистора R6 не изменяет сопротивления нагрузки ЦАП и операции подстройки смещения и шкалы получаются относительно независимыми друг от друга. Тем не менее после подстройки «нуля» шкалу все-таки приходится слегка подстраивать, т. к. величина сопротивления потенциометра R2 влияет на сопротивление нагрузки ЦАП. Операционный усилитель можно использовать и в инвертирующем включении (рис. 3.26). Такое включение будет кстати, если на вход ЦАП поступает инверсный код. В противном случае его придется инвертировать принудительно, разместив перед цифровым входом ЦАП ряд инверторов, количество которых равно разрядности входного кода. Рис. 3.26. Схема формирования биполярного выхода ЦАП смещением с помощью инвертирующего ОУ
В ситуации, когда нагрузочная способность выходного усилителя (как инвертирующего, так и неинвертирующего) недостаточна, входной ток ОУ можно уменьшить, отведя часть тока /. на землю с помощью резистивного делителя (рис. 3.27). Рис. 3.27. Схема расщепления выходного тока ЦАП в смехе с биполярным выходом Если в качестве источника напряжения смещения выходного сигнала ЦАП используют ИОН самого ЦАП, то выходной операционный усилитель целесообразно применить в дифференциальном режиме (рис. 3.28). В случае ЦАП с активными генераторами тока, температурные дрейфы сигнала ИОН и выходного сигнала ЦАП могут быть различными, что приведет к температурной нестабильности «нуля». Для снижения влияния температурного дрейфа напряжения пользуются его компенсацией на эмиттерных переходах транзисторов, участвующих в формировании опорных токов.
Рис. 3.28. Схема формирования биполярного выхода ЦАП с помощью внутреннего ИОН и с применением дифференциального включения ОУ Если ЦАП работает по принципу суммирования токов, то биполярный выход можно организовать, используя ток старшего (знакового) разряда дополнительного кода (рис. 3.29). Как известно, этот разряд равен «О», если код представляет положительную величину аналогового сигнала или нуль, и равен «1», если код представляет отрицательную аналоговую величину. Этот разряд можно использовать как источник тока, подавая его на инвертирующий вход ОУ. На неинвертирующий вход подается сумма токов всех остальных разрядов. В этом случае компенсация дрейфа напряжений эмиттерных переходов необязательна. Следует только помнить, что такая схема будет работать только в случае дополнительного кода.
Рис. 3.29. Схема формирования биполярного выхода ЦАП с использованием тока знакового разряда дополнительного кода Знаковый разряд можно использовать и как сигнал переключения полярности выходного сигнала ЦАП. В схеме на рис. 3.30 этот разряд управляет ключом S. Используемый здесь ОУ должен обладать единичным коэффициентом усиления и, в зависимости от положения ключа S (верхнее или нижнее по схеме), переходить из режима работы повторителя в режим работы инвертора, соответственно. Данная схема предназначена для работы с прямым кодом со знаком. В схеме на рис. 3.31 выходной ток ЦАП I поступает на вход инвертирующего ОУ 1, где преобразуется в напряжение противоположной полярности. Это напряжение поступает на верхний по схеме контакт ключа S и на вход следующего инвертирующего ОУ2, где приобретает ту же полярность, что и на выходе ЦАП. В результате на обоих контактах ключа S оказываются одинаковые по величине, но противоположные по знаку, напряжения, которые подаются на вход повторителя ОУЗ в зависимости от значения знакового разряда^'. Такая схема обеспечивает постоянство нагрузки ЦАП и ключа, переключающего полярность выходного напряжения.
Рис. 3.30. Схема формирования биполярного выхода ЦАП путем переключения режима работы ОУ Рис. 3.31. Схема формирования биполярного выхода ЦАП, обеспечивающая постоянство нагрузки ЦАП и переключателя полярности выходного напряжения
В схеме на рис. 3.32 отрицательный выходной ток ЦАП с помощью ключа S переключается между инвертирующим и неинверти- рующим входом ОУ1 в зависимости от значения А'г Если ток L отрицателен и подключен к неинвертирующему входу ОУ1, то он непосредственно поступает на вход усилителя ОУ2, формируя положительное выходное напряжение. Если тот же /.подключен к инвертирующему входу, то он предварительно преобразуется в положительное напряжение на выходе ОУ 1 и затем в отрицательное на выходе ОУ2. Рис. 3.32. Схема формирования биполярного выхода ЦАП с помошью двух ОУ
4. УСТРОЙСТВА ВЫБОРКИ И ХРАНЕНИЯ 4.7. Основные понятия и принцип действия Чаще всего аналоговые сигналы, которые требуется преобразовать в цифровую форму, изменяются во времени достаточно быстро, и возникающие при этом апертурные погрешности могут превышать величину интервала (шага) квантования Q. Чтобы сохранить значение преобразуемого сигнала неизменным на всё время преобразования, его величина в определенные моменты фиксируется с помощью специальных устройств, которые называются устройствами выборки и хранения (УВХ). Фактически УВХ выполняет операцию дискретизации, в то время как АЦП — функции квантования и кодирования. УВХ можно представить как некоторое устройство, имеющее аналоговый вход, аналоговый выход и вход двухуровневого управляющего сигнала, который принято называть стробирующим (рис. 4Ла). Более наглядно УВХ представляется в виде накопительного конденсатора Сн, который может подключаться и отключаться от входа устройства с помощью управляемого ключа S. УВХ имеет два. основных режима работы: режим выборки (или слежения) и режим хранения. В режиме выборки (ключ S замкнут) напряжение на емкости Сн с максимально возможной скоростью достигает значения входного сигнала Ubx (при этом Um становится равным 1/вх) и отслеживает его изменения до тех пор, пока режим выборки не сменится режимом хранения (рис. 4.1 в). С этого момента УВХ будет хранить значение входного сигнала, которое было в момент изменения уровня управляющего сигнала.
Рис. 4.1. УВХ: а) обобщенная схема; б) функциональная схема; в) временные диаграммы работы
Чаще всего УВХ не инвертируют входной аналоговый сигнал и имеют единичный коэффициент передачи. По управляющим входам они работают от стандартных логических уровней (ТТЛ, КМОП, ЭСЛ и пр.). Логическая «единица», как правило, соответствует команде «ВЫБОРКА», а логический «нуль» — команде «ХРАНЕНИЕ». УВХ широко применяются как в системах аналого-цифрового преобразования для уменьшения апертурного времени, так и в системах цифро-аналогового преобразования для получения гладких, без выбросов сигналов на выходе ЦАП [21, 22,24,25,29, 30, 42-48]. Схему на рис. 4.1 можно сравнить со схемой на рис. 1.3, где дискретизация сигнала s(i) производится путем умножения его на единичную решетчатую функцию. Фактически схема на рис. 4.1 является реальным воплощением идеальной операции, показанной на рис. 1.3. Принцип преобразования очень похож, но реальный результат все же отличается от идеального. Прежде всего, в реальных условиях невозможно добиться равенства нулю времени выборки Гвыб. Оно всегда конечно, и входной сигнал в течение этого времени успевает изменить своё значение, т. е. апертурное время хотя и уменьшилось, но равным нулю, как того хотелось бы, все равно не стало. Во-вторых, результат преобразования (даже если пренебречь апертурным временем) дискретной функцией, как на рис. 1.3, не является, а является ступенчатым аналоговым сигналом. Такая трансформация исходного аналогового сигнала приводит к очевидному расширению его спектра и применение теоремы отсчетов к результату дальнейшего преобразования без учета этого обстоятельства может привести к значительным ошибкам. В зависимости от вида стробирующего сигнала различают два способа получения выборок входного аналогового сигнала (рис. 4.2). В первом случае стробирование производится последовательностью коротких прямоугольных импульсов, имеющих вполне определенную длительность t 9 которая, как правило, много меньше интервала преобразования Тпр (рис. 4.2 а). Этот случай рассматривался выше со ссылкой на рис. 4.1. В другом случае исходный анало-
говый сигнал модулирует последовательность стробирующих импульсов достаточно произвольной формы (рис. 4.2 6). В системах аналого-цифрового и цифро-аналогового преобразования используется, в основном, первый способ получения отсчетов. Рис. 4.2. Два способа получения выборок входного сигнала: а) стробированием прямоугольными импульсами; б) амплитудно-импульсной модуляцией (АИМ) 4.2. Основные параметры УВХ УВХ имеет два устойчивых режима работы — режим выборки и режим хранения. Однако между этими основными режимами существуют ещё два переходных: переход от режима хранения к режиму выборки и переход от режима выборки к режиму хранения, причем параметры УВХ в двух последних режимах не менее важны, чем параметры в основных режимах. Таким образом, полный цикл работы УВХ складывается из четырех этапов: • выборка (иногда этот режим называют слежением). Разница в терминологии отражает разницу в относительной продол-
жительности данного режима. Если ключ S (рис. 4.1 б) замкнут в течение достаточно длительного времени и входной сигнал за это время успевает существенно измениться, то здесь больше подходит термин «слежение». Если ключ S замыкается только на время tcjp« Тпр (рис. 4.2 я), то логичнее употреблять термин «выборка»: • переход от выборки к хранению; • хранение; • переход от хранения к следующей выборке. Каждый из указанных этапов характеризуется своим набором параметров [48]. Рассмотрим эти параметры и оценим их вклад в погрешность преобразования. 4.2./. Параметры в режиме выборки Время выборки (*выб) — это промежуток времени, в течение которого формируется выборочное значение напряжения на накопительном конденсаторе С (рис. 4.1 б). Время выборки численно равно длительности стробирующего импульса. При работе в режиме слежения время выборки равно времени слежения. В обоих случаях этот параметр является причиной появления некоторой разницы между исходным значением аналогового сигнала и значением, зафиксированным на накопительном конденсаторе — погрешности образования выборочного значения. Эту погрешность интерпретируют как погрешность коэффициента передачи УВХ. Погрешность коэффициента передачи характеризует его отклонение от расчетного значения Кп, которое в системах аналого-цифрового и цифро-аналогового преобразования, как правило, равно 1. Различают погрешности коэффициента передачи при работе с постоянным входным напряжением и при работе с синусоидальными сигналами различной частоты. Первая является одной из составляющих статической погрешности и определяется при максимальных значениях сигнала. Вторая является одной из составляющих динамической погрешности и выражается как погрешность недозаря-
да. Определяется путем снятия частотной характеристики УВХ в режиме выборки. Нелинейность амплитудной характеристики выражает зависимость коэффициента передачи Кп от величины входного сигнала и определяется во всем диапазоне его изменения. Дрейф коэффициента передачи характеризует его изменение в зависимости от температуры, влажности, изменения номиналов источников питания, старения элементов и пр. Напряжение смещения — величина выходного напряжения УВХ при стробировании нулевого входного сигнала. Дрейф напряжения смещения — характеризует изменение напряжения смещения в зависимости от температуры и влажности окружающей среды, питающих напряжений, старения элементов и пр. 4.2.2. Параметры в режиме перехода от выборки к хранению Апертурное время (fa) — это интервал времени, в течение которого имеет место неопределенность между образовавшимся выборочным значением сигнала и моментом времени, к которому оно в действительности относится. Как и в случае апертурного времени АЦП, различают апертурную погрешность или апертурный сдвиг, равный постоянной составляющей апертурного времени, и апертурное «дрожание», равное случайной составляющей апертурного времени, определенной для всего динамического диапазона входного сигнала. Погрешность переключения обусловлена просачиванием на накопительный конденсатор Сн части стробирующего сигнала через паразитные емкости используемых в схеме УВХ электронных компонентов. В некоторых типах аналоговых транзисторных ключей в нее входит составляющая, возникающая из-за наличия заряда переключения.
Погрешность, связанная с диэлектрической поляризацией. Образуется в результате процессов, происходящих в диэлектрике накопительного конденсатора Сн, и способна изменить результат выборочного значения. 4.2.3. Параметры в режиме хранения Основным параметром УВХ в режиме хранения является спад выходного напряжения. Этот параметр характеризует способность УВХ сохранять в заданных пределах образовавшееся на накопительном конденсаторе значение выборки и определяется скоростью его разряда dUc/dt = I1/CH, (4.1) где 1Ъ — сумма токов утечки электронного ключа, токов смещения буферного усилителя и токов утечки через шину питания и «земляную» шину. С точки зрения минимизации токов утечки, следует обращать особое внимание на тип диэлектрика накопительного конденсатора. Наиболее предпочтительны здесь фторопласт, полиэтилен и другие синтетические материалы. Если диэлектрик подобран неудачно, то при скачке напряжения выборки на 5В в момент перехода к хранению, только за счет поляризации диэлектрика в схеме может возникнуть ошибка до 50-100 мВ [38, 39]. Спад выходного напряжения определяет время хранения УВХ с заданной допустимой погрешностью. Напряжение сквозного прохождения характеризует ту часть входного сигнала, которая попадает на выход устройства через емкостной делитель, образованный проходной емкостью разомкнутого ключа (открытого транзистора) и самим накопительным конденсатором. Этот параметр принято выражать в децибеллах как отношение напряжения сквозного прохождения при наличии на входе УВХ синусоидального сигнала определённой амплитуды и частоты к амплитуде этого сигнала.
4.2.4. Параметры при переходе от хранения к выборке Основным параметром при переходе от хранения к выборке является время установления выходного напряжения, которое характеризует максимальное время, необходимое для установления выходного напряжения с заданной точностью при воздействии на вход ступенчатого сигнала. Ступенчатый сигнал на входе УВХ возникает всякий раз, когда происходит переход от предыдущего значения входного сигнала, хранившегося на накопительном конденсаторе, к новому, которое за время преобразования успело измениться. Из-за переходных процессов в буферных каскадах УВХ это время может превышать время выборки, поэтому при подборе типа используемого УВХ на него следует обращать особое внимание. Если заданную точность при заданном tBuQ получить не удается, то необходимо либо увеличить длительность стробирующего импульса, либо выбрать другой тип УВХ. Иногда вместо времени установления выходного напряжения пользуются понятием скорости нарастания выходного напряжения, как это делается для операционных усилителей. Кроме времени установления здесь присутствует и погрешность переключения, которая также, как при переходе от выборки к хранению, является следствием наводок от стробирующих импульсов. Разница только в том, что в первом случае она определялась задним фронтом стробирующего импульса, а в данном — его передним фронтом. 4.2.5. Внешние параметры Этот набор параметров характеризует внешние условия работы УВХ: влияние подключаемых к его аналоговому и управляющему входам и аналоговому выходу устройств. К нему относятся диапазоны входного и выходного напряжений (абсолютный и относительный), входные и выходные сопротивления, входные и выходные токи, параметры стробирующих импульсов, внешние климатические условия работы и пр.
4.3. Принципы построения УВХ Простейшая схема УВХ, изображенная на рис. АЛ б и состоящая из накопительного конденсатора Сн и ключа S, в реальных условиях вряд ли сможет работать. Во-первых, устройство, подключенное к выходу такого УВХ, должно иметь очень высокое входное сопротивление. Если это не так, то заряд, содержащийся в накопительном конденсаторе, будет быстро уменьшаться за счет входного тока нагрузки. Время хранения подобного УВХ будет очень небольшим. Уменьшить спад выходного напряжения можно путем размещения на выходе устройства буферного операционного усилителя (рис. 4.3 а). Такой операционный усилитель, включенный по схеме повторителя напряжения, развяжет накопительный конденсатор и нагрузку на выходе УВХ. Теперь нагрузка уже не будет разряжать конденсатор Сн после того, как он зарядился и ключ S разомкнулся. Однако один буферный усилитель всех проблем УВХ не решит. В режиме выборки сопротивление цепи ключ-конденсатор очень мало и, если выходное сопротивление источника сигнала также незначительно, то он будет сильно перегружен. Кроме того, при замыкании ключа в цепи заряда каждый раз будут возникать переходные колебательные процессы, которые приведут к затягиванию времени установления выходного напряжения УВХ. Чтобы развязать выход источника сигнала и цепь коммутации конденсатора, на входе УВХ следует разместить еще один буферный операционный усилитель, работающий в режиме повторителя напряжения (рис. 4.3 б). Теперь емкость Сн полностью отделена как от выхода источника сигнала (ОУ1), так и от нагрузки (ОУ2). Единственной проблемой остается конструкция ключа S. Обычно его выполняют на полевом транзисторе, как показано на рис. 4.3 в. Полученную схему уже можно использовать в реальном устройстве. Величины присущих ей погрешностей не так уж велики (сопротивление открытого транзистора VT1, разряд накопительного конденсатора очень небольшим током затвора, помехи, вносимые из цепи управления через емкость затвор-исток) и в ряде случаев укладываются в рамки допустимых.
Рис. 4.3. Схемы УВХ с использованием: а) одного ОУ; б) двух ОУ; в) двух ОУ и полевого транзистора VT1 в качестве ключа; г) двух ОУ, охваченных общей ООС, и двух полевых транзисторов
Но и эти погрешности можно уменьшить, если использовать общую отрицательную обратную связь, охватывающую оба буферных усилителя, и еще один транзистор VT2, как показано на рис. 4.3 г. В режиме выборки транзистор VT1 открыт, а Транзистор VT2 заперт, и обратная связь выхода ОУ2 со входом ОУ1 определяется сопротивлением резистора R. Поскольку полное усиление в канале прямой передачи определяется усилителем ОУ 1, то сопротивление канала при этом значительно снижается. При переходе в режим хранения транзистор VT1 запирается, а транзистор VT2 отпирается. В результате ОУ 1 переходит в режим повторителя напряжения, обеспечивая высокое входное сопротивление УВХ. При проектировании УВХ следует иметь в виду, что для более эффективного подавления глитчей (см. раздел 3.3.3) эквивалентное сопротивление 7?э цепи заряда накопительной емкости Сн не должно быть чересчур малым [49, 50]. Его величину нужно выбирать с таким расчетом (путем подбора компонент схемы и величины Сн), чтобы максимальная крутизна ограниченного постоянной времени 7?эСн выходного сигнала ЦАП была меньше, чем максимальная скорость нарастания выходного напряжения операционного усилителя ОУ2 (рис. 4.3 б-г) [51]. ^цап.макс . с <Чакс' (4.2) где 5 — максимальная скорость нарастания выходного напря- U макс жения ОУ; £/ЦАП макс = Q • 2т -1 — выходной сигнал ЦАП при максимальном значении входного кода. Множество существующих практических схем УВХ адаптированы к заданному режиму работы устройства и к заданным параметрам входных и выходных сигналов [21-25, 28-30, 33,42,44-48].
5. АНАЛОГО-ЦИФРОВЫЕ И ЦИФРО-АНАЛОГОВЫЕ ПРЕОБРАЗОВАТЕЛИ НА ОСНОВЕ ХА-МОДУЛЯЦИИ Одной из главных проблем в системах обработки цифровых данных, отображающих реальные аналоговые процессы, является повышение точности преобразования аналоговых величин в соответствующие им цифровые эквиваленты и обратно. Решение этой проблемы в недавнем прошлом сдерживалось относительным несовершенством технологий производства электронных компонент — недостаточным быстродействием микросхем и их высоким энергопотреблением. Однако вместе с развитием микроэлектроники сдерживающие факторы подобного рода постепенно перестают оказывать влияние на разработчиков, и спектр электронных компонент с каждым годом пополняется всё новыми и новыми изделиями, реализующими такие принципы и алгоритмы обработки сигналов, о внедрении которых раньше не приходилось даже мечтать. В области А/Ц и Ц/А-преобразования подобные успехи позволили разработчикам использовать при проектировании АЦП и ЦАП принципы ХД-модуляции, которые, хотя и были известны еще с начала 60-х годов [52, 53], но по указанным выше причинам до последнего времени почти не использовались. Преобразователи на основе ХД-модуляции, благодаря высокой скорости обработки сигнала, способны обеспечить высокую разрешающую способность А/Ц- и Ц/А-преобразования даже при одноразрядном квантовании
и, что немаловажно, некритичны к точности выполнения элементов схемотехники. Кроме того, применение таких преобразователей резко снижает требования к сопутствующим им аналоговым фильтрам, а необходимость в таких прецизионных элементах, как УВХ, отпадает совсем [54, 55]. 5.7. Принципы работы ZA-модулятора Для того, чтобы яснее представить себе достоинства и недостатки преобразователей с применением ХЛ-модуляции, рассмотрим простейшую схему одноконтурного ХД-модулятора, представленную на рис 5.1, и познакомимся с основными принципами его работы. Более наглядно структура такого модулятора показана на функциональной схеме, представленной на рис. 5.2. Пусть на вход модулятора поступает аналоговый сигнал Хп> амплитуда которого изменяется в пределах от -В до +В, а полоса частот ограничена сверху величиной^. В результате преобразования на выходе модулятора должен сформироваться одноразрядный по- Рис. 5.1. Сигма-дельта модулятор 1-го порядка
Рис. 5.2. Функциональная схема сигма-дельта модулятора 1-го порядка ток данных, отражающий форму входного аналогового сигнала. Если бы преобразование осуществлялось с помощью обычного многоразрядного АЦП, быстродействие которого весьма ограничено, дискретизацию пришлось бы производить со скоростью, чуть большей, чем скорость Найквиста Fh = 2/ъ, а для предотвращения интермодуляционных искажений на выходе устройства пришлось бы разместить сложный аналоговый ФНЧ. В силу особенностей ХД-модулятора преобразование с его помощью может осуществляться с частотой, в десятки и сотни раз превышающей F , а для предварительной фильтрации вполне достаточно простого фильтра 2-3-го порядка Интегратор — это активный аналоговый ФНЧ с высоким усилением в полосе частот входного сигнала и подавлением частотных составляющих, лежащих вне этой полосы. Квантователь—это, в первом приближении, компаратор с порогом срабатывания, равным «О», выход которого может переключаться из состояния «-В»
в состояние «+В», и который подключен ко входу синхронизируемого тактовой частотой (частотой дискретизации) элемента памяти, сохраняющего это состояние в течение тактового интервала. Если предположить, что на выходе этого элемента памяти, который является одновременно и выходом модулятора, должен формироваться цифровой сигнал с уровнями, соответстсующими уровням логического «нуля» и «единицы» (АЦП), то таким элементом памяти может служить обычный D-триггер. Правда, в петле обратной связи при этом понадобится отдельное переключающее устройство, выполняющее функции ЦАП (на рис. 5.1 показан пунктиром), который управляется цифровым сигналом, а на выходе формирует либо «-В» либо «+В». Здесь следует сделать важное замечание — для нормальной работы ХД-модулятора требуется, чтобы выход квантователя (или ЦАП), подключенный к сумматору Хр был способен обеспечивать его стабильным калиброванным током того или иного направления от источников «-В» или «+В». Сумматор — это в общем случае операционный усилитель, на неинвертирующий вход которого подается входной сигнал X, а на инвертирующий — выход ЦАП, т. е. переключаемые сигналом с выхода квантователя два источника эталонного напряжения «-В» и «+В». Пусть в исходном состоянии на выходе интегратора присутствует сигнал £/0, а на выходе сумматора ]ц — сигнал ошибки еп = В. Из структуры схемы на рис. 5.1 видно, что e„ = X„-Q(Un), (5.1) (5-2) Тогда базовые уравнения, описывающие работу ЕА-модулятора можно записать следующим образом: \U„ прип = 0 =(/„_, +Xn_l-Q(Un.l) прил = 1,2...' <5-3>
где п — моменты времени, определяемые импульсами частоты дискретизации; Х„е[-В,В]; Г+Япри£/>0 " [-Впри(/<0 Тогда последовательность значений ошибки е\ (В при п = О Q(U„)-U,=X„ -£/„+1 при и = 1,2,... (54) Работа модулятора базируется на следующем принципе. Схема его построена таким образом, что на входе интегратора (инвертирующий вход операционного усилителя ОУ2, соединенный с емкостью С) (рис. 5.2) поддерживается потенциал, равный нулю. Этот вход находится под воздействием суммарного потенциала от аналогового входа модулятора и выхода квантователя (или ЦАП), т. е. на выходе ОУ1 должен быть «О». Идеальная ситуация, к созданию которой стремится схема—сделать так, чтобы напряжение аналогового входа по модулю было в точности равно напряжению на выходе ЦАП, но знак его при этом был противоположным. Тогда на выходе сумматора Y,x (на входе интегратора) будет нулевой потенциал (ошибка еп = 0). Однако такая ситуация практически невозможна, по крайней мере в течение любого, даже самого короткого интервала времени, поскольку ЦАП способен находиться только в двух состояниях — «-В» и «+В» (крайние пределы изменения входного сигнала), а во избежание перегрузки модулятора эти состояния для входного сигнала, как правило, запрещены. В нормальном же рабочем режиме, когда входной сигнал изменяется в диапазоне от «-В» до «+В», потенциал на входе интегратора может быть равен нулю только в те моменты, когда потенциал с выхода ЦАП либо «обгоняет» модуль потенциала входа при его переключении из одного положения в другое, либо уменьшается при переключении в противоположное состояние, когда накопленная
интегратором ошибка имеет полярность, противоположную полярности выхода ЦАП. Таким образом, на выходе сумматора X, всегда присутствует некоторая ошибка еп, имеющая тот или иной знак. Если знак ошибки положителен (из-за увеличения уровня входного сигнала), и присутствует она достаточно долго для того, чтобы успела перезарядиться емкость С интегратора, то изменится и полярность сигнала Un на его выходе. В момент перехода Un через «О» (порог срабатывания компаратора) компаратор квантователя изменит свое состояние. Это состояние будет зафиксировано синхронизируемым элементом памяти (/^-триггером) в момент прихода очередного импульса тактовой частоты и будет сохраняться им вплоть до прихода следующего импульса. В результате на выходе квантователя (выходе модулятора) сформируется сигнал «высокого» уровня. Поскольку от состояния квантователя зависит состояние ЦАП, то последний также изменит свое состояние из «-В» в «+В», и калиброванный ток с его выхода через вычитающий вход сумматора X, будет стремиться скомпенсировать нарастание заряда на емкости С интегратора, вызванное увеличением уровня входного сигнала. Как только ток эталонного источника превысит ток от входного сигнала, или входной сигнал начнет уменьшаться по уровню, емкость С интегратора начнет разряжаться, а вместе с ней начнет уменьшаться модуль величины ошибки еп. Когда заряд ёмкости и ошибка еп пересекут уровень «нуля» и станут отрицательными, изменит знак и напряжение Un на выходе интегратора. Соединенный с ним компаратор квантователя изменит свое состояние, а ближайший по времени импульс тактовой частоты зафиксирует его по крайней мере на один период Т= 1/F.. В соответствии с этим обстоятельством, напряжение на выходе ЦАП также поменяет полярность с «-В» на «+В». Ток на вычитающем входе сумматора X, изменит свое направление и вновь станет противоположным току на входе (+), компенсируя возрастание потенциала на емкости С интегратора. Таким образом, каким бы ни был по величине входной сигнале, сигнал с выхода ЦАП, зависящий от состояния квантователя, будет
стремиться скомпенсировать изменение потенциала на емкости С интегратора. При этом на выходе квантователя, который одновременно является и выходом модулятора, будет формироваться поток данных, содержащий в себе информацию о входном аналоговом сигнале X. Для более полного представления о способах осуществления сигма-дельта модуляции, рассмотрим еще одну схему (рис. 5.3), которая является сугубо практической и реализована по интегральной 3-микронной КМОП-технологии [56]. Эта схема отличается от предыдущей тем, что цикл её работы складывается из двух этапов, каждый из которых занимает около половины периода тактовой частоты (рис. 5.4.). Работой модулятора здесь управляют всего два неперекрывающихся по времени сигнала .S3 и S4. Другие два (.S1 и S2) получаются путем задержки сигналов .S3 и .S4 на величину At. В течение первой фазы ключи .S1 и .S3 замкнуты и конденсатор С1 заряжается от входного сигнала Хп. Во время второй фазы ключи .S1 и .S3 размыкаются, а ключи .S2 и .S4 замыкаются, и заряд, содержащийся в С1, переносится на емкость С2. В то же самое время происходит компенсация заряда интегратора эталонным током ЦАП. -в Рис. 5.3. Структурная схема сигма-дельта модулятора с двух- этапным режимом работы
Рис. 5.4. Временные диаграммы работы сигма-дельта модулятора с двухэтапным режимом работы Задержка сигналов 51 и 52 относительно .S3 и 54 предусмотрена для предотвращения инжекции в схему заряда переключения. Ключи S3 и S4 соединены с «землей» непосредственно (53) или «виртуально» (54) и не могут быть причиной инжекции заряда переключения [57]. С момента же размыкания 53 или 54 и до момента замыкания одного из них состояние С1 не изменяется. Таким
образом, размыкание .SI или .S2 в то время, когда .S3 и .S4 разомкнуты, не приведет к инжекции заряда в С2. На временных диаграммах, показанных на рис 5.4, переключатели считаются замкнутыми, когда управляющие сигналы имеют высокий уровень. Импульсы управления обеих фаз не должны перекрываться, чтобы не было взаимного проникновения зарядов [56]. Фактически, сигма-дельта модуляцию можно рассматривать как разновидность частотно-импульсной модуляции (ЧИМ) или как разновидность широтно-импульсной модуляции (ШИМ). Отличие только в том, что при сигма-дельта модуляции длительность интервалов как «единичного», так и «нулевого» уровней, строго кратна периоду тактовой частоты F (рис. 5.5). Поскольку рабочая частота ХД-модулятора выбирается много выше верхней частоты диапазона преобразуемого сигнала (F >:>fj> то последний можно восстановить с достаточной степенью точности самым простым способом — пропустив полученный одноразрядный поток данных через ФНЧ, полоса пропускания которого соответствует полосе частот исходного аналогового сигнала. При этом степень подобия восстановленного сигнала исходному будет зависеть от качества фильтрации и может быть очень высокой. Однако в реальных устройствах для выделения аналогового сигнала используют другие, более точные методы. О них будет сказано ниже. На практике результат аналого-цифрового преобразования чаще всего требуется получить в виде последовательности М-разрядных отсчетов, следующих с частотой F^ чуть большей, чем скорость Най- квиста. Поэтому одноразрядный поток приходится тем или иным способом преобразовывать в Af-разрядные отсчеты с одновременным понижением частоты дискретизации.
Рис. 5.5. Структуры импульсных последовательностей при различных способах модуляции входного сигнала
5.2. АЦП с применением сигма-дельта модулятора Способ формирования многоразрядных отсчетов на выходе ХД- модулятора зависит от того, какова требуемая разрядность этих отсчетов и с какой скоростью они должны следовать. Повышение разрядности и скорости следования отсчетов (частоты дискретизации F) усложняет задачу и ограничивает выбор средств, с помощью которых эта задача может быть решена. 5.2./. Метод подсчета «единиц» Наиболее простым способом получения многоразрядных отсчетов на выходе сигма-дельта модулятора является подсчет количества «единиц» в цифровом потоке, формируемом одноконтурным ХД-модулятором 1-го порядка, за период дискретизации Тд = 1/F. Схема такого устройства показана на рис. 5.6. Если заданы частота дискретизации F^ и разрядность выходного кодаN, то тактовая частота F, на которой работает ХД-модуля- тор, должна быть выше частоты дискретизации в К раз F = KF, т д' где К = 2N. Тогда интервал времени, равный периоду дискретизации, можно сформировать путем деления тактовой частоты Ft на число К с помощью обычного счетчика (счетчик 1 на рис. 5.6). Подсчет «единиц» в цифровом потоке также осуществляется с помощью счетчика (счетчик 2 на на рис. 5.6), причем на его счетный вход подается та же тактовая частота F, а на вход разрешения счета поступают «единицы» кода. Когда на входе разрешения присутствует «единица», счетчик увеличивает свое содержание, а когда «О» — состояние остается прежним. В конце каждого периода дискретизации сигналом со счетчика 1 содержимое счетчика 2 переписывается в ТУ-разрядный регистр, а сам счетчик 2 обнуляется. Таким образом, на выходе АЦП формируется код отсчета, численно равный коли-
Рис. 5.6. АЦП с сигма-дельта модулятором 1-го порядка и формированием N-разрядного кода методом подсчета «единиц» честву «единиц» в цифровом потоке на выходе ХД-модулятора за период дискретизации. Описанный метод чрезвычайно прост, но обладает невысокой точностью и применим только для квантования медленно меняющихся процессов или в случае, когда высокой точности не требуется. Если же сигнал на входе преобразователя меняется быстро (следовательно, частота дискретизации должна быть велика) и необходимо получить высокое разрешение, то использование данного метода становится невозможным. В подобных случаях пользуются другими методами построения сигма-дельта АЦП — применением модуляторов 2-го и более высоких порядков, каскадным соединением таких модуляторов, использованием многоразрядных квантователей и многоразрядных ЦАП в петле обратной связи, а на выходе размещают сложные цифровые фильтры высоких порядков, выполняющие операцию децимации (прореживания) одноразрядного цифрового потока вместе с увеличением разрядности выходного кода. 5.2.2. АЦП с ЕЛ-модулятором 2-го порядка и цифровым фильтром на выходе Одним из способов получения многоразрядного сигнала с высоким разрешением является использование ХД-модулятора 2-го по-
рядка совместно с цифровым децимирующим фильтром высокого порядка [56]. Схема такого АЦП показана на рис 5.7. Схема включает в себя два контура ХД-модулятора, включенные последовательно, т. е. выход 1-го интегратора выполняет роль входного сигнала для второго интегратора, а на вычитающие входы обоих сумматоров XI и Х2 подается один и тот же сигнал с выхода ЦАП. Здесь нужно отметить, что это отнюдь не означает, что токи, втекающие в эти сумматоры, будут одинаковы. Как известно, ток с выхода ЦАП стремится скомпенсировать входной ток модулятора, т. е. уменьшить заряд емкости интегратора, обусловленный величиной ошибки. Поскольку ошибки, накапливаемые каждым из интеграторов, будут, как правило, разными,, то и токи, направленные на их компенсацию, будут распределяться пропорционально их величинам. Рис. 5.7. Структурная схема АЦП с £Д-модулятором 2-го порядка и цифровым фильтром-дециматором Так как интегратор представляет собой активный аналоговый ФНЧ, то характеристики его, как и у всякого фильтра, с повышением порядка должны улучшаться. Однако фильтр, используемый в качестве интегратора, вынужден работать в крайне неблагоприятных условиях. Наличие в цепи обратной связи такого сугубо нелинейного элемента, как квантователь (здесь имеется в виду квантователь в широком смысле, т. е. компаратор, элемент памяти и ЦАП), отклик с которого к тому же поступает на вход интегратора с задержкой на величину 1/F (а для двухконтурного модулятора этот отклик к первому интегратору приходит с задержкой на величину 2/F), обуслав-
ливает потенциальную нестабильность устройства. Стремление увеличить эффективность подавления шумов квантования в полосе модулирующего сигнала предполагает достаточно высокий коэффициент усиления операционного усилителя в схеме интегратора. Но для интегратора 2-го порядка высокое усиление может привести к тому, что в результате накопления большой ошибки напряжение на выходе второго интегратора в несколько раз превысит максимальную амплитуду входного сигнала. Эффективное усиление компаратора, как элемента нелинейного, обратно пропорционально величине сигнала на его входе. Если на выходе интегратора, соединенном со входом .компаратора, появляется чересчур высокое напряжение, то компаратор оказывается перегружен, и его усиление падает. Причем такая ситуация сохраняется, даже если сигнал высокого уровня, ставший причиной перегрузки, уже снят. Подобная ситуация может возникнуть, в частности, из-за переходных процессов при включении питания, а также если входной сигнал в течение нескольких периодов тактовой частоты быстро увеличивался. На практике для борьбы с таким явлением предусматривают схему принудительного вывода компаратора из состояния перегрузки. Однако радикальным методом обеспечения стабильности работы модулятора является тщательный расчет его элементов, в первую очередь — параметров интегратора, а также выбор оптимальной структуры всего устройства. Коэффициент усиления операционного усилителя с разомкнутой петлей обратной связи, как правило, выбирается вблизи значения коэффициента передискретизации К = FJF 9 а постоянная времени интегрирования т, как показывает моделирование, не должна превышать значения Большее значение т делает работу модулятора нестабильной. Коэффициент передискретизации К выбирается исходя из требований к уровню шумов квантования на выходе АЦП с учетом того, что основная нагрузка по устранению внутриполосного шума ложится на цифровой фильтр-дециматор, который, помимо прочего, формирует из одноразрядного потока с выхода модулятора многоразрядные отсчеты. В качестве такого фильтра чаще всего исполь-
зуюттрансверсальный фильтр высокого порядка, обеспечивающий линейность фазовой характеристики. В качестве примера на рис. 5.8 приведена схема АЦП с сигма- дельта модулятором 2-го порядка и цифровым фильтром-децима- тором на выходе устройства, описанная в [56]. Устойчивая работа ХД-модулятора достигается здесь за счет следующих мер. Прежде всего, как видно из схемы, на входе каждого из интеграторов предусматривается ослабление входного сигнала в два раза. Кроме того, рассчитанный коэффициент усиления в полосе рабочих частот интегратора выбран таким, что максимальный уровень сигнала на его выходе никогда не превышает максимального уровня входного сигнала более, чем в 1,5 раза, Коэффициент передискретизации К = 256. Устройство предназначено для работы с входным сигналом, верхняя частота которого не превышает 8 кГц, т. е. скорость Найквиста Fh = 2/ъ= 16 кГц. Следовательно, тактовая частота модулятора должна быть около 4 МГц. Разрядность выходного кода, обеспечиваемая фильтром-дециматором — 16. Реальный динамический диапазон, т. е. точность, обеспечиваемая совокупностью фильтров обоих интеграторов и цифровым фильтром на выходе АЦП — 89 дБ, что примерно соответствует раз- Цифровой фильтр-дециматор Рис. 5.8. Пример архитектуры АЦП с ЕА-модулятором 2-го порядка и цифровым фильтром-дециматором на выходе
решению в 14,5 разрядов. Такое расхождение расчетных и реальных характеристик обусловлено в первую очередь накоплением ошибок округления при децимации в цифровом фильтре. 5.2.3. АЦП с каскадным соединением ЕЛ-модуляторов 1-го порядка Модуляторы 1-го порядка, соединяемые каскадно, имеют еще один дополнительный выход, на котором формируется разность Q(UJ -1/= е п, которая характеризует ошибку квантования данного каскада (рис. 5.9). Рис. 5.9. Схема ХД-модулятора 1-го порядка с дополнительным выходом еп Схема двухкаскадного сигма-дельта модулятора, состоящая из двух модуляторов 1-го порядка, показана на рис.5.10. Сигнал ошибки 6 j п первого каскада подается на вход второго каскада модулятора, где с ним производятся те же операции, что и с входным аналоговым сигналом в первом каскаде. Выходные двухуровневые сигналы обоих каскадов подаются на линейную комбинаторную схему, которая производит с ними операции, определяемые её структурой. Результатом этих операций (сложение, вычитание, задержка на один такт) будет появление на выходе модулятора уже не двухуровневого, а четырехуровневого сигнала с возможными значениями -3, -1, +1, +3 (если уровни выходных сигналов каждого из каскадов принять за -1 и +1) [58].
Рис. 5.10. Схема 2-каскадного соединения £Д-модуляторов 1-го порядка (3-каскадного ХД-модулятора)
В отличие от модулятора 2-го порядка, двухкаскадная схема вполне устойчива, и поведение ее так же предсказуемо, как и поведение одноконтурного модулятора 1-го порядка. Тем не менее точность преобразования здесь гораздо выше. Как показано в [59], средняя величина шума квантования для многокаскадного сигма-дельта модулятора уменьшается пропорционально величине I/K2m+1, где К — коэффициент передискретизации, aw — число каскадов модулятора. Фактически величина шума на выходе многокаскадного ХА-модулятора равна уровню шумов последнего каскада [60]. Таким образом, очевидно, что для получения нужного значения величины шума в полосе частот преобразуемого сигнала, т. е. для повышения точности преобразования, нет необходимости использовать чрезмерно высокую тактовую частоту, а достаточно увеличить число каскадов в схеме модулятора. Техника многокаскадного понижения шума в системах А/Ц- и Ц/А-преобразования широко применяется в изделиях фирмы Matsushita и известна как система MASH (Multi Stage Noise Shaping) [61, 62]. На рисунке 5.11 представлена обобщенная структурная схема m-каскадного сигма-дельта преобразователя. Символами «ХА» на ней обозначены одноконтурные ХА-модуляторы 1-го порядка, каждый из которых имеет архитектуру, показанную на рис. 5.9. Аналоговый входной сигнал Хп поступает на вход модулятора первого каскада ХАГ Ошибка квантования е, п этого каскада поступает на вход модулятора второго каскада ХА2 и так далее, до т-го каскада. Ошибка квантования последнего т-го каскада не используется. Выходы Q(U. п) всех каскадов поступают на линейную комбинаторную схему, где над ними производится еще ряд операций, которые описываются разностными уравнениями: {и] при п = О Vr6KH) + Vi при л = 1,2,..., / = 1,2,...w (5*5) €1.я=бКя)-И£.и при л = 0,1, 1 = 1,2,...т, (5.6)
Линейная комбинаторная схема Рис. 5.11. Обобщенная структурная схема m-каскадного ХД- модулятора: I — оператор обратной разности; d — единичная задержка (на один такт); (-1) — управляемый инвертор; ХД — одноконтурный SA-модулятор 1-го порядка
при i = 1 при/ = 2,3,...т, /г = 0,1,..' ^ где +В при и > 0 -В при и < О и. л — выходной сигнал интегратора /-го каскада; и*— исходное состояние интегратора /-го каскада; w. п— входной сигнал /-го каскада; е{ п — ошибка квантования /-го каскада; Хп е [-В,+В]— входной сигнал ХД-модулятора, изменяющийся в пределах от -В до +5. Из (5.5) и (5.6) получим: Оператор обратной разности / означает, что для некоторого сигнала X (в данной схеме X соответствует Q (и.п)) для / = 0 для / = 1, 2,...,т Например, Следует отметить, что Z-образом оператора / является передаточная функция (1 - Z"1)'. Работа линейной комбинаторной схемы описывается уравнением: при т = 2,3,... . (5.9) где У — выходной сигнал линейной комбинаторной схемы.
Очевидно, что это уравнение полностью отражает архитектуру этой схемы. В [59] доказано, что для ш-каскадного ХД-модулятора с линейной комбинаторной схемой, описываемой уравнением (5.9), выходной сигнал уп может быть представлен в виде суммы задержанного на т тактов входного сигнала Хп и обратной разности т-го порядка (1т) ошибки двухуровневого квантования на последнем т-м этапе (в m-м каскаде): Уп=Хп-тН-1Г1Гет,п (5.10) Уравнения (5.9) и (5.10) описывают один и тот же сигналу. При этом выражение (5.9) определяет реализацию схемы ХД-модулято- ра, а (5.10) необходимо для анализа шумов квантования на ее выходе, уровень которых можно определить как Nn=y„-Xn.m Из уравнения (5.10) и определения оператора / следует, что Nn можно интерпретировать как результат прохождения шума двухуровневого квантователя последнего m-го каскада модулятора через усредняющий фильтр с передаточной характеристикой (-\)т~х (1-Z_1)m. Спектр мощности полного шума квантования на выходе /«-каскадного ХД-модулятора выражается как Sn(f) = (2smnf)2fnSem(f) (5.11) где S€m (f) — спектр мощности шума квантования на выходе последнего т-го каскада. Пример построения 3-каскадного ХД-модулятора в соответствии с уравнением (5.9) показан на рис. 5.12.
Рис. 5.12. Структурная схема 3-каскадного ХД-модулятора 5.2.4. Многоразрядные сигма-дельта АЦП В некоторых случаях в схемах £Д модуляторов используют не одноразрядные квантователь и ЦАП, а многоразрядные — небольшой разрядности (рис. 5.13). К такому способу прибегают при достаточно высоких требованиях к точности преобразования и больших значениях верхней граничной частоты модулирующего сигнала. Если осуществлять квантование одним разрядом, то тактовая частота будет чрезмерно высокой и возникнут сложности при реализации цифрового фильтра на выходе устройства. Применение Л-разрядного квантования позволяет снизить коэффициент преобразования К в 2R раз и получить выигрыш либо в точности преобразования, либо в
Рис. 5.13. Структурная схема АЦП с R-разрядным £Д-модулятором ширине полосы модулирующего сигнала. Например, если требуется получить 10-разрядное разрешение при квантовании аналогового сигнала с полосой до 500 кГц, то частота следования отсчетов на выходе АЦП должна быть более 1 МГц (F > Fh = 1 МГц). Значит, при 1-разрядном квантовании тактовая частота ЁА-модулятора потребовалась бы не меньше, чем Fr=KFH= 2ю х МГц -1,024 ГГЦ, что, конечно, чересчур много. В случае же использования хотя бы 5-разрядного квантователя и ЦАП эта величина уменьшится в 25 = 32 раза, т. е. тактовая частота ХА-модулятора составит около 30 МГц. На этой частоте (и гораздо большей — свыше 200 МГц) прекрасно работают АЦП с параллельным преобразованием. Поэтому реализация устройства затруднений не вызовет. 5.3. Одноразрядные Ц/А-преобразователи Преобразование одноразрядного цифрового потока в аналоговый сигнал значительно проще, чем А/Ц-преобразование. Как уже говорилось выше, такую операцию можно осуществить, пропустив однораз- рядую последовательность через фильтр низких частот. С точки зрения ФНЧ такая последовательность будет эквивалентна широтномодупиро- ванному сигналу, что также уже отмечаюсь в разделе 5.1.
Однако, если требуется получить высокую точность преобразования, то в качестве сигма-дельта ЦАП используют интегрирующие схемы с переключаемыми конденсаторами. Такие схемы, как правило, очень просты и не требуют прецизионных элементов, поскольку все, что от них требуется, это обеспечить добавление некоторого дискретного заряда Aq к заряду емкости интегратора, когда на входе ЦАП присутствует «высокий» уровень, и вычитание такого же дискретного заряда Aq, когда на входе ЦАП — низкий «уровень». Один из простейших вариантов подобной схемы показан на рис. 5.14, а временные диаграммы ее работы — на рис. 5.15. Основным элементом схемы является интегратор, построенный на операционном усилителе ОУ в инвертирующем включении и конденсаторе С2. Конденсатор CI, предназначенный для формирования дискретного заряда Aq, с помощью ключей SI и SI может подключаться либо к источнику опорного напряжения +В, либо к источнику опорного напряжения -В, а с помощью ключа S3 — ко входу интегратора. Величина его емкости должна быть весьма малой, а соотношение между С1 и С2 должно соответствовать требуемой разрешающей способности ЦАП. Если необходимо получить Рис. 5.14. Одноразрядный сигма-дельта ЦАП с переключаемыми конденсаторами
Рис. 5.15. Временные диаграммы работы одноразрядного сигма-дельта ЦАП с переключаемыми конденсаторами, показанного на рис. 5.14. разрешающую способность, эквивалентную m двоичным разрядам, то при биполярном выходном сигнале емкость С1 должна быть по крайней мере в 2,и~1 раз меньше емкости С2. Однако с целью уменьшения шумов квантования и с учетом того, что тактовая частота F, на которой работает ХД-ЦАП, во много раз выше скорости Найк- виста Fh, это соотношение, в принципе, может быть увеличение еще в К раз, где К = FJFH — коэффициент передискретизации (сверх дискретизации). Очевидно, что отношение C1ICX в данном случае можно интерпретировать как методическую погрешность пре-
образования, характеризующую разрешающую способность, т. е. отношение сигнал/шум. Оно получается просто фантастическим. Однако все было бы прекрасно, если бы не существовало инструментальных погрешностей. Они-то к портят картину, не позволяя даже приблизиться к величине методической погрешности. Среди них — шумовые характеристики всех элементов тракта Ц/А-пре- образования — как самого ЦАП, так и сопутствующих ему схем. Кроме того, не стоит забывать и о характеристиках исходного сигнала — как аналогового, так и его цифрового эквивалента, поступающего на вход ЦАП. Поэтому выбор соотношения между величинами С1 и С2 остается за разработчиком и определяется исходя из конкретных особенностей схемы и характеристик входных и выходных сигналов. Тем не менее в общем виде можно записать ^Г-2'"-1, (5.12) где уе{\,К}. Работа схемы, показанной на рис. 5.14, включает в себя всего два этапа. На первом этапе (первая половина периода тактовой частоты F) замыкается один из ключей S\ или S2 и происходит заряд конденсатора С1 от одного из источников опорного напряжения — либо до величины +5, либо до величины -В. Ключ S3 в это время разомкнут и емкость С1 отключена от входа интегратора. Если информационный сигнал n(t) = 1, то после его суммирования в схеме И1 с тактовой частотой F получится сигнал n(t)+, (контрольная точка КТЗ), который замкнет ключ SI, и конденсатор С1 зарядится от источника опорного напряжения до величины +В. Поскольку величина емкости О невелика, то заряд происходит почти мгновенно. Если информационный сигнал n(i) = 0, то после его суммирования с F в схеме И2 сформируется сигнал n(t)~, (контрольная точка КТ4), который замкнет ключ 52, и конденсатор С1 зарядится от источника опорного напряжения до величины -В. На втором этапе (вторая половина периода тактовой частоты F) замыкается ключ S3 (ключи SI и S2 в зто время разомкнуты).
Поскольку неинвертирующий вход ОУ подключен к «земле», то на инвертирующем его входе образуется так называемая «виртуальная земля» и весь заряд конденсатора С1 перейдет на конденсатор С2 интегратора (рис. 5.15 ж). Если принять исходный заряд конденсатора С2 равным нулю, а коэффициент у = 1, то на нем образуется потенциал, равный -В (С1/С2) (рис. 5.15 з). После этого ключ .S3 размыкаемся и весь цикл начинается заново. На первом его этапе конденсатор С1 вновь зарядится от одного из источников опорного напряжения, а на втором — этот заряд передаст конденсатеру С2 интегратора. Если входной сигнал n{t) будет по-прежнему иметь «высокий» уровень, то напряжение на выходе интегратора будет увеличиваться; если n(t) примет значение логического «нуля», то заряд на емкости С1 будет иметь знак, противоположный знаку заряда на емкости С2, и при замыкании ключа S3 произойдет вычитание элементарного заряда Aq из суммы заряда на конденсаторе С2 интегратора. Таким образом, на выходе интегратора (выходе ЦАП) образуется ступенчатый аналоговый сигнал, величина ступенек которого очень мала, а частота их следования очень велика. Шум квантования, обусловленный их наличием, также невелик и находится далеко за пределами полосы рабочих частот. Такой шум можно легко подавить даже с помощью очень простого ФНЧ 2-3 порядка. Чаще всего первым звеном такого фильтра является сам интегратор. Схемы с переключаемыми конденсаторами не требуют точного соблюдения номиналов элементов. Даже величины емкостей С1 и С2 в определенных пределах не играют решающей роли, поскольку влияют только на величину выходного сигнала ЦАП, которую легко отрегулировать либо с помощью обычных подстроечных резисторов, либо с помощью схемы АРУ (автоматической регулировки усиления). Практическая схема одноразрядного интегрального ЦАП на основе переключаемых конденсаторов, разработанная фирмой Philips, и временные диаграммы ее работы приведены на рис. 5.16. В качестве ключей здесь использованы полевые транзисторы, а интегратор (ОУ 1, Rl9 СЗ и С4) является первым звеном аналогового ФНЧ [63,64].
Рис. 5.16. Одноразрядный интегральный ЦАП с переключаемыми конденсаторами (а) и временные диаграммы его работы (б)
ПРИЛОЖЕНИЯ
Из анализа приведенного ниже каталога интегральных ИС АЦП и ЦАП видно, что номенклатура этих изделий чрезвычайно широка и учитывает запросы разработчиков как с точки зрения параметров точности прибора, так и с точки зрения быстродействия. Кроме того, учитываются такие факторы, как число каналов преобразования, величина напряжения питания, допустимая рассеиваемая мощность, тип корпуса и прочие характеристики, позволяющие самым оптимальным образом адаптировать прибор в разрабатываемое устройство. Количество типов выпускаемых сегодня в мире интегральных АЦП и ЦАП таково, что перечислить их данные в одной книге физически невозможно — для этого понадобилось бы многотомное издание. Поэтому ниже приводится каталог только тех интегральных схем АЦП и ЦАП, которые выпущены ведущими фирмами- производителями за последние два-три года. Разумеется, с учетом времени, потраченного на подготовку справочника к выходу в свет, их число увеличиться еще более.
ПРИЛОЖЕНИЯ
Приложение 1 КАТАЛОГ ИНТЕГРАЛЬНЫХ АЦП ВЕДУЩИХ ФИРМ ПРОИЗВОДИТЕЛЕЙ Фирма Тип прибора Число каналов Разрешающая способность (бит) Частота выборки (кГц) Диапазон входного сигнала (В) Тип выхода Напряжение питания (В) Нелинейность (%) Отно шение с/ш (ДБ) Рассеиваемая мощность (мВт) Корпус Примечания 1 2 3 4 5 6 7 8 9 10 11 12 13 ANALOG DEVICES AD7724 2 16 100 послед. +5 300 Сигма-дельта АЦП AD7724 1 12 1000 2,5 паралл. +3/+5 /+2,7...+5,2 4,5 AD7887 2 12 125 послед. +2,7...+5,25 3,5 AD7888 8 12 125 ^REF послед. +2,7...+5,25 3,5 BURR- BROWN ADS1210 1 24 16 послед. I МЗР* 60 DIP-18, SO-18 Сигма-дельта АЦП ADS1211 4 24 16 послед. I МЗР* 60 DIP-24, SO-24, SSOP-24 Сигма-дельта АЦП ADS1216 4 д' 8д2 20 0,06 послед. 0,0015 10 TQFP-48 Сигма-дельта АЦП ADS1218 4 д' 8д2 20 0,06 послед. 0,0015 10 TQFP-48 Сигма-дельта АЦП, память 1— дифференциальный вход;2 — несимметричный вход
Приложение 1 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 BURR- BROWN ADS 1252 1 д' 20 25 послед. 0,003 45 SO-8 Сигма-дельта АЦП ADS7809 1 12 100 послед. 0,003 86 100 DIP-20, SO-20 Последовательного приближения ADS7807 1 16 40 паралл./ послед. 0,0022 86 35 DIP-28, SO-28 Последовательного приближения ADS7806 1 12 40 паралл./ послед. 0,012 72 35 DIP-28, SO-28 Последовательного приближения ADS7805 1 16 100 паралл. 0,045 82 100 DIP-28, SO-28 Последовательного приближения ADS7804 1 12 100 паралл. 0,012 72 100 DIP-28, SO-28, Последовательного приближения ADS7800 1 12 333 паралл. 0,012 69 215 DIP-28, SO-28, SSOP-28 Последовательного приближения ADS7864 бд1 12 500 паралл. 0,024 70 50 TQFP-48 Послед, приближения, одноврем. выборка 1— дифференциальный вход;2 — несимметричный вход
Приложение 1 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 BURR- BROWN ADS8322 1 д 16 500 паралл. 0,0045 86 85 TQFP-32 Послед, приближения, внутр. ИОН, однополярн. ADS8323 1д 16 500 паралл. 0,0045 86 85 TQFP-32 Послед, приближения, внутр. ИОН, биполярн. ADS8341 2д', 4д2 16 100 послед. 0,012 84 5 SSOP-16 Последовательного приближения ADS8344 4д8н 16 100 послед. 0,012 84 5 SSOP-20 Последовательного приближения ADS810 1д,1н 12 100000 паралл. +5 66 650 TQFP-48 ADS850 1д,1н 14 10000 паралл. +5 71 650 TQFP-48 Самокалибровка ADS810 1д,1н 14 65000 паралл. +5 75 650 TQFP-48 INTERSIL HI 5905 1 14 5 +5 350 Конвеерный, внешн. ИОН, ТТЛ H15767 1 10 60/40/20 +5 270-350 Конвеерный, внунт. ИОН, ттл/кмоп
Приложение 1 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 INTERSIL HI 5762 2 10 60 +5 650 Конвеерный, внугр.ИОН, ТТЛ/КМОП HI5675 1 8 20 60 Внешний ИОН, ТТЛ HI5667 1 8 60 +5 350 Внугр.ИОН, ТТЛ/КМОП, конвеерный H15662 2 8 60 +5 650 Конвеерный, внугр.ИОН, ТТЛ/КМОП H15630 3 8 80 1500 Конвеерный, внугр.ИОН, ТТЛ/КМОП H13286 1 8 160 +5 550 Внешн. ИОН, ТТЛ/ЭСЛ/ п-эсл HI 3276 1 8 160 +5 550 Внешн. ИОН, ТТЛ/ЭСЛ/ п-эсл HI 3256 1 8 130 +5 500 Внешн. ИОН, ТТЛ/ЭСЛ/ п-эсл
Приложение 1 (продолжение) 1 2 3 4 5 6 7 8 10 И 12 13 LINEAR TECHNOLOGY LTC1091 2 10 31 ШЗРд, 0,5МЗРи 7,5 LTC1099L 2 8 16,5 1д**, 1И*** 0,47 LTC1199 2 10 450 1Д, 1н 25 LTC1199L 2 10 210 1Д, 1н 2,5 LTC2402 2 24 0,0075 1д,167н 1 LTC2404 4 24 0,0075 1д,167н 1 LTC2408 8 24 0,0075 1д,167н 1 LTC2424 4 20 0,1 1д,Ю,5н 1 LTC2428 8 20 0,1 1д,10,5н 1 LTC1I97 1 10 500 1д, 1н 25 LTC1197L 1 10 250 1д, 1н 0,5 LTC1401 1 12 200 1д, 1н 15 LTC1402 1 12 2200 1д, 1н 90 LTC1405 1 12 5000 1д, 1н 115 **— дифференциальная; *** — интегральная
Приложение 1 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 LINEAR TECHNOLOGY LTC1406 ■ 8 20000 1Д, 1и 150 LTC1411 i 14 3000 1д, 1и 195 LTC1412 i 12 3000 1д, 1и 150 LTC1414 i 14 2200 1,75л. 2и 150 LTC1416 i 14 400 2д, 2и 70 LTC1417 i 14 400 1д, 1,25и 20 LTC1418 i 14 200 1д, 1,25и 15 LTC1419 i 14 800 1д, 1,25и 150 LTC1604 i 16 333 1д, 2и 220 LTC1605 i 16 100 1д, 2и 55 LTC1605-2 i 16 100 2д, Зи 55 LTC1606 i 16 200 1д, 2и 75 LTC 1609 i 16 200 1д, 2и 65 LTC2400 i 24 0,0075 1д, 167и 1 LTC2401 i 24 0,0075 1д, 167и 1 LTC2410 24 0,0075 1д, 167и 1
Приложение 1 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 LINEAR TECHNOLOGY LTC2411 1 24 0,0075 1д, 167и 1 LTC2420 1 20 0,1 1д, 167и 1 MAXIM MAX1400 5 18 4800 послед. 5 0,0015%и, 2МЗРд Внешний ИОН, сигма-дельта АЦП MAX1401 5 18 4800 послед. 3 0,0015%и, 2МЗРд Внешний ИОН, сигма-дельта АЦП MAX 1402 5 18 4800 послед. 5 0,0015%и, 2МЗРд Внешний ИОН, сигма-дельта АЦП MAX 1403 5 18 4800 послед. 5 0,0015%и, 2МЗРд Внешний ИОН, сигма-дельта АЦП MAX1080 8 10 400 +vref> ±0 послед. +4,5...+5,5 ИОН внешний, внутренний +2,5 MAX1081 8 10 300 +vref> ±0 послед. +2,7...+3,6 ИОН внешний, внутренний +2,5 MAX 1082 4 10 400 +vref> ±0 послед. +4,5...+5,5 ИОН внешний, внутренний +2,5 MAX 1083 4 10 300 +vref> ±0 послед. +2,7...+3,6 ИОН внешний, внутренний +2,5 MAX 1084 1 10 400 ^ref послед. +4,5...+5,5 ион внутренний +2,5 MAX 1090 8 10 400 +vref> ±0 цР/8 +4,5...+5,5 ИОН внешний, внутренний +2,5 MAX1091 8 10 250 +vref> ±0 цР/8 +2,7...+3,3 ИОН внешний, внутренний +2,5
Приложение I (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 MAXIM MAX 1092 4 10 400 +VREP±VREF/2 ЦР/8 +4,5...+5,5 ИОН внешний, внутренний +2,5 MAX1093 4 10 250 +VREF,±VREF/2 цР/8 +2,7...+3,3 ИОН внешний, внутренний +2,5 MAX1106 1 8 25 послед. +2,7...+5,5 ИОН внешний, внутренний +2,048 MAX1107 1 8 25 \^ послед. +4,5...+5,5 ИОН внешний, внутренний +4,096 MAX1108 2 8 50 vrep послед. +2,7...+5,5 ИОН внешний, внутренний +2,048 MAX1107 2 8 50 ^ref послед. +4,5...+5,5 ИОН внешний, внутренний +4,096 MAX127 8 12 8 +10,+5,±10,±5 послед. 2-провод. 5 ИОН внешний, внутренний +4,096 MAX 1270 8 12 110 + 10,+5,±10,±5 послед. 5 ИОН внешний, внутренний +4,096 MAX1271 8 12 110 послед. 5 ИОН внешний, внутренний +4,096 MAX128 8 12 8 послед. 2-провод. 5 ИОН внешний, внутренний +4,096 MAX1280 8 12 400 +VREP±VREF/2 послед. +4,5...+5,5 ИОН внешний, внутренний +2,5 MAX1281 8 12 300 +VREF,±VREF/2 послед. +2,7...+3,6 ИОН внешний, внутренний +2,5
Приложение I (продолжение) 1 2 3 4 5 6 7 оо 9 10 11 12 13 MAXIM МАХ1282 4 12 400 +VREP±VREF/2 послед. +4,5...+5,5 ИОН внешний, внутренний +2,5 МАХ1283 4 12 300 +VREF,±VREF/2 послед. +2,7...+3,6 ИОН внешний, внутренний +2,5 МАХ1284 1 12 400 ^ref послед. +4,5...+5,5 ИОН внутренний +2,5 МАХ1285 1 12 300 vref послед. +2,7...+3,6 ИОН внутренний +2,5 MAX1290 8 12 400 +VREF,±VREF/2 цР/8 +4,5...+5,5 ИОН внешний, внутренний +2,5 MAX129I 8 12 250 +VREP±VREF/2 цР/8 +2,7...+3,6 ИОН внешний, внутренний +2,5 MAXI292 4 12 400 +VREP±VREF/2 цР/8 +4,5...+5,5 ИОН внешний, внутренний +2,5 МАХ1293 4 12 250 +VREF,±VREF/2 цР/8 +2,7...+3,6 ИОН внешний, внутренний +2,5 MAX 1294 6 12 420 +VREF,±VREF/2 цР/12 +4,5...+5,5 ИОН внешний, внутренний +2,5 MAX 1295 6 12 265 +VREP±VREF/2 цР/12 +2,7...+3,6 ИОН внешний, внутренний +2,5 MAX1296 2 12 420 +VREF,±VREF/2 цР/12 +4,5...+5,5 ИОН внешний, внутренний +2,5 MAX1297 2 12 265 +VREP±VREF/2 цР/12 +2,7...+3,6 ИОН внешний, внутренний +2,5
Приложение 1 (продолжение) 1 2 3 4 5 6 7 8 9 10 И 12 13 MAXIM MAXI44 2 12 108 vREF послед. +2,7...+5,25 ИОН внешний MAX145 1 12 108 ^ref послед. +2,7...+5,25 ИОН внешний MAXI57 2 10 108 ^ref послед. +2,7...+5,25 ИОН внешний MAX177 1 10 108 послед. +2,7...+5,25 ИОН внешний MAX106 1 8 600000 0,5 PEC 178 ±5 ESBGA-192 Внутренний ИОН+2,5 MAX 108 1 8 1500000 0,5 PECL/8 ±5 ESBGA-192 Внутренний ИОН+2,5 MAX1160 10 20000 -2,0...+2,0 цР/8 +4,75...+5,25 -4,95...-5,45 DIP-28 SO-28 Внешний ИОН МАХ1161 10 40000 -2,0...+2,0 цР/8 +4,75...+5,25 -4,95...-5,45 DIP-28 SO-28 Внешний ИОН МАХ1200 1 16 1000 vREF цР/16 5 MQFP-44 Внешний ИОН МАХ1201 14 2200 vREF цР/14 5 LCC-44 MQFP-44 Внешний ИОН МАХ1205 1 14 1000 vREF цР/14 5 MQFP-44 Внешний ИОН МАХ1425 1 10 20000 ±2 цР/10 5 SSOP-28 Внутренний ИОН+2,5 MAX 1426 1 10 10000 ±2 цР/10 +4,75...+5,25 SSOP-28 Внутренний ИОН+2,5 MAX1444 10 40000 -1...+1 цР/10 +2,7...+3,6 TQFP5-32 Ввнешний, внутренний ИОН+2,048 MAX1446 1 10 60000 -1... + 1 цР/10 +2,7...+3,6 TQFP5-32 Ввнешний, внутренний ИОН+2,048 MAX1448 10 80000 -1...+1 цР/10 +2,7...+3,6 TQFP5-32 Ввнешний, внутренний ИОН+2,048
Приложение 1 (продолжение) 1 2 3 4 5а* 6 7 8 9 10 11 12 13 PHILIPS NE5037 6 9 параллельный 2МЗР 132 DIP-16 NE5204 12 50 паралл ./послед. 0,5 725 DIP-8, SO-8 NE5210 12 13 параллельный 1 132 SO-14 Внутренний ИОН NE5211 12 13 паралл./поелсд. 0,5 1000 SO-14 Внутренний ИОН NE5212 12 13 паралл ./послед. 0,5 1000 DIP-8, SO-8 Внутренний ИОН NE52I4 12 13 паралл./поелсд. 0,5 1000 SO-20 NE5217 12 13 паралл ./послед. 0,25 590 SO-20 Внутренний ИОН PCF8591 8 90 поелсдоват. 1,5 300 DIP-16, SO-16 Внутренний УВХ SA5204 12 50 паралл./поелсд. 0,5 725 DIP-8, SO-8 SA5211 12 13 паралл./поелсд. 0,5 1000 SO-14 Внутренний ИОН SA5214 12 13 паралл ./послед. 0,5 1000 SO-20 SA5217 12 13 паралл./поелсд. 0,25 590 SO-20 Внутренний ИОН TDA1534 14 8 последоват. 0,5 500 Внутренний ИОН TDA8703 8 0,2 параллельный 0,5 415 DIP-24, SO-24 Внутренний ИОН TDA8705 6 параллельный 0,5 250 SO-28 Внутренний ИОН TDA8705A 6 параллельный 0,5 250 SO-28 Внутренний ИОН, интегрирующий TDA8706 6 0,05 параллельный 0,5 418 DIP-20, SO-20 Внутренний ИОН TDA8706T 6 0,05 параллельный 0,5 300 SO-20 5 а* — время установления (вместо 5 — частота выборки) (мке)
Приложение 1 (продолжение) 1 2 3 4 5а* 6 7 8 9 10 и 12 13 PHILIPS TDA8714 8 параллельный 0,35 435 DIP-16, SO-24 TDA8714 8 0,02 параллельный 0,5 425 DIP-18, SO-20 ТОА8714 8 0,01 параллельный 1 900 DIP-24, SO-32 TDA87I8 8 0,02 параллельный 0,5 850 PLCC-28 TDA8752 8 1,6 последоват. 0,5 1 TQFP Внутренний УВХ TDA8758 8 1,5 530 TQFP-32 TQFP-48 TDA8760 10 параллельный 2 970 PLCC-44 TDA8762 10 параллельный 0,9 380 SO-28 TDA8715 8 0,01 параллельный 0,5 425 DIP-18 TDA8704 8 параллельный 1 535 SO-28 Внутренний ИОН TDA8704-2 8 0,005 параллельный 1 360 SO-24 Внутренний ИОН ТОА8704-4 8 0,025 параллельный 1 360 SO-24 Внутренний ИОН TDA8704-5 8 0,02 параллельный 1 360 SO-24 Внутренний ИОН ADC0803-1 8 66 параллельный 0,5 1690 DIP-20, SO-20 ADC0803C 8 100 параллельный 0,5 875 DIP-20, SO-20 ADC0804-1 8 66 параллельный 1 1690 DIP-20, SO-20 ADC0804C 8 100 параллельный 1 12,5 DIP-20, SO-20 ADC0805C 8 ПО параллельный 1 12,5 DIP-20 5а* — время установления (вместо 5 — частота выборки) (мкс)
Приложение 1 (продолжение) 1 2 3 4 5а 6 7 8 9 10 11 12 13 SIPEX SP1674В 1 12 ±5,+10/±10,+20 паралл. +15/10,+5/1 ±1/2МЗР 71 Внутренний УВХ SP574B 1 12 ±5,+10/±10,+20 паралл. +15/7.+5/1 ±1/2 72 Внутренний УВХ SP674B 1 12 ±5,+10/±10,+20 паралл. +15/7.+5/1 ±1/2 72 Внутренний УВХ SP774B 1 12 ±5,+10/±10,+20 паралл. +15/7.+5/1 ±1/2 71 Внутренний УВХ SP7800A 1 12 -10...+10/-5...+5 паралл. +5/18 ±1/2 71 Внутренний УВХ SP8121 8 12 0...+5 паралл. +15/9,+5/0,8 ±1/2 68 SP8527 1 10 0-+Vcc послед. +5 ±1 60 Маломощный (230 мкА), УВХ SP8528 1 12 0-+Vcc послед. +5 ±1 73 Маломощный (230 мкА), УВХ SP8530 2 12 0...+2,5 послед. +15/9,+5Л),8 ±3/4 71 Одновременна выборка SP8537 2 10 0...+V сс послед. +5 ±1 59 Маломощный (230 мкА), УВХ SP8542 2 12 0...+2,5 послед. +5/11,5 ±3/4 70 Маломощный (230 мкА), УВХ SONY СХА1096 8 0,05 паралл. ±1/2 390 DIP-28, SO-28 СХА1096М 8 паралл. ±1/2 830 SO-28 Внутренний ИОН СХА1096Р 8 паралл. ±1/2 1480 DIP-28 Внутр. ИОН, УВХ СХА1166 8 250 паралл. ±1/2 1400 LCC-68 Внутренний ИОН СХА1176 8 0,0033 паралл. ±1/2 60 LCC-68
Приложение 1 (продолжение) 1 2 3 4 5а 6 7 8 9 10 11 12 13 SONY СХА1276 8 500 паралл. ±1/2 2200 LCC-68 Интегрирующий, внутренний ИОН СХА1296 8 паралл. ±1/2 1480 DIP-28 Внутренний ИОН СХА1386 8 75 паралл. ±1/2 580 LCC-44, DIP-28 Внутренний ИОН СХА1396 8 126 паралл. ±1/2 870 LCC-68, DIP-42 Внутренний ИОН СХА1496А 10 20 паралл. ±1 310 QFP-48 Внутренний ИОН СХА150А 10 50 паралл. ±3/2 310 TQFP-48 УВХ СХА1844 10 0,03 паралл. ±3/2 482 TQFP-48 CXD1172 6 0,05 паралл. ±1/2 60 DIP-16, SO-16 CXD1172A 6 0,05 паралл. ±1/2 40 DIP-16, SO-16 Внутренний ИОН, УВХ CXD1175A 8 20 паралл. ±1/2 60 DIP-24, SO-24 Внутренний ИОН, УВХ CXD11790 8 35 паралл. ±1/2 100 QFP-32 Внутренний ИОН CXD2300 8 0,06 паралл. ±1,3 416 TQFP-32 CXD2301 8 0,03 паралл. ±1,3 120 TQFP-32 CXD2302 8 0,02 паралл. ±1/2 125 TQFP-32 Внутренний ИОН CXD2310 10 0,05 паралл. ±2 130 TQFP-48 УВХ
Приложение 1 (окончание) 1 2 3 4 5 6 7 8 9 10 11 12 13 TEXAS INSTRUMENTS THS8083 3 8 80000 3,3 2МРРи*** 1280 THS14F03 1 14 3000 3,3 1д**/2,5и*** 270 THS14F01 1 14 1000 3,3 1д/2,5и 270 THS1240 1 12 40000 5 380 THS1230 1 13 30000 3,3 1д/2,5и 168 THS1209 2 12 8000 5 1д/1,5и 188 THS12082 2 12 8000 5 1д/1,5и 186 THS1207 4 12 6000 5 1д/1,5и 186 THS 1060 2 10 60000 5 0,95д/3и 600 THS 1050 2 10 50000 5 0,6д/2,5и 500 THS 1009 2 10 8000 3,0... 5,0 1д/1и 186 THS 10082 2 10 8000 3,0... 5,0 1д/1и 186 THS1007 4 10 6000 5 1д/1и 186 DDC112 2 20 3000 послед. 5 1д/16и 100 Внутренний ИОН DDC101 1 20 15000 послед. -5 52д/52и 100 Внешний ИОН **—дифференциальная; *** — интегральная
Приложение 2 КАТАЛОГ ИНТЕГРАЛЬНЫХ ЦАП ВЕДУЩИХ ФИРМ ПРОИЗВОДИТЕЛЕЙ Фирма Тип прибора Число каналов шающая способность (бит) Время новления (мкс) Частота смены кода (кГц) Интерфейс Нелинейность (% МЗР) Вну- трен- ний ИОН Рассеиваемая мощность (мВт) Напряжение питания (В) Пот- рсб- ляе- мый ток (мА) Тип выхода (ВД Корпус Примечания I 2 3 4 5 6 7 8 9 10 11 12 13 14 15 BURR- BROWN DAC702 ■ 16 8 паралл. 0,0015/- + 365 и Hennetic-24 DAC707 1 16 8 паралл. 0,003/- 950 и DIP-28 DAC708 1 16 8 паралл. 0,003/- + 370 и Hermetic-24 DAC709 « 16 8 паралл. 0,003/- + 950 и Hermetic-24 Байтовый интерфейс DAC712 1 16 10 паралл. 0,003/- 600 и DIP-28,SO-28 DAC714 1 16 10 послед. 0,0015/- 625 и DIP-16,SO-16 DAC725 16 8 паралл. 0,003/- 1174 и DIP-28 DAC80 12 4 паралл. 0,002/- 480 и DIP-24 DAC813 1 12 4 паралл. 0,006/- 330 и DIP-28,SO-28 DAC7512 12 10 послед. 0,195/- 0,4 и MSOP-8 SOT23-6 Малое потребление DAC7513 12 10 послед. 0,195/- 0,4 и MSOP-8 SOT23-6 Малое потребление
Приложение 2 (продолжение) 1 2 3 4 5 7 8 9 10 11 12 13 14 15 BURR- BROWN DAC7631 1 16 10 послед. 0,0015/- 8,5 и SSOP-20 DAC7641 1 16 10 паралл. 0,0015/- 2,5 и TQFP-32 DAC7714 4 12 10 послед. 0,024/- 90 и SO-16 DAC7715 4 12 10 послед. 0,024/- 50 и SO-16 DAC7724 4 12 10 паралл. 0,024/- 90 и SOIC-24,DIP-24 SO-28 PLCC-28 DAC7724 4 12 10 паралл. 0,024/- 30 и SOIC-24,DIP-24 SO-28 PLCC-28 DAC7731 1 16 5 послед. 0,015/- + 60 и TQFP-48 DAC7741 1 16 5 паралл. 0,015/- + 60 и TQFP-48 DAC7800 2 12 0,8 паралл. 0,012/- 1 I DIP-16 DAC7801 2 12 0,8 0,012/- 1 I DIP-24 DAC7801 2 12 0,8 0,012/- 1 I DIP-24 DAC900 10 0,025 165000 170 +2,7...+5,5 34 1 SO-28 TPSSP-28 DAC902 12 0,025 165000 170 +2,7...+5,5 34 1 SO-28 TPSSP-28 DAC904 14 0,025 165000 170 +2,7...+5,5 34 1 SO-28 TPSSP-28 DAC908 8 0,025 165000 170 +2,7...+5,5 34 1 SO-28 TPSSP-28
Приложение 2 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 INTERSIL HI 5960 14 125 + 180 +3...+5 ТТЛ/КМОП HI 5960 10 125 + 425 +5, +5/5 ТТЛ/П-ЭСЛ HI 5628 2x8 125/60 + 2x165 +5, +5/5 ТТЛ/КМОП, сдвоенный HI 5660 HI 5660 8 125/60 + 165 +3...+5 ТТЛ/КМОП HI 5728 2x10 125/60 + 2x165 +3...+5 ТТЛ/КМОП, сдвоенный HI 5760 HI 5760 10 125/60 + 165 +3...+5 ТТЛ/КМОП HI5828 2x12 125 + 2x175 +3...+5 ТТЛ/КМОП, сдвоенный HI5860 HI 5860 12 125 + 175 +3...+5 ТТЛ/КМОП ISL5314 14 125 + 290 +3...+5 ТТЛ/КМОП, синтезатор прямого синтеза (DDS) с 48-разрядн. управлеением синтезом частот LINEAR TECHNOLOGY LTC 1427-50 1 10 SMBus/ l2S 0,55 I LTC1660 8 10 SP1 1 U
Приложение 2 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 LINEAR TECHNOLOGY LTC1661 2 10 SPI 0,26 и LTC1662 2 10 SPI 0,26 и LTCI663 I 10 SPI 0,62 и LTC1664 4 10 SPI 1 и LTC1448 2 12 SPI 1,35 и LTCI590 2 12 послед. 10 I LTC1659 I 12 SPI 0,72 и LTC1591 1 14 паралл. 0,55 I LTC1658 1 14 SPI 3 и LTC1595 1 16 послед. 0,55 I LTCI596 1 16 послед. 0,55 I LTC1597 1 16 паралл. 0,55 I LTC1599 1 16 паралл. 0,55 I LTC1650 1 16 SPI 50 и LTC1655 I 16 SPI 2,6 и LTC1655 I 16 SPI 1,6 и LTCI657 1 16 паралл. 3 и
Приложение 2(продолжение) I 2 3 4 5 6 7 8 9 10 11 12 13 14 15 LINEAR TECHNOLOGY LTC 1426 2 6 0,55 и LTC1329-10 1 8 0,14 I LTC! 329-50 1 8 0,84 I LTC 1665 8 8 SPI 1 и MAXIM MAX5100 4 8 6 цР/8 +2,5...+5,5 0,37 и TSSOP-20 MAX5101 3 8 6 ^P/8 +2,5...+5,5 0,29 и TSSOP-16 MAX5102 2 8 6 jiP/8 +2,5...+5,5 0,19 и TSSOP-20 MAX5104 2 12 15 послед. +4,5...+5,5 0,5 и DIP-16, QSOP-16 MAX5120 1 12 20 послед. + 5 0,5 и QSOP-16 Умножающий MAX5121 1 12 20 послед. + 3 0,5 и QSOP-16 Умножающий MAX5122 1 12 20 послед. + 5 0,5 и QSOP-16 Умножающий MAX5123 1 12 20 послед. + 3 0,5 и QSOP-16 Умножающий MAX5130 1 13 20 послед. + 5 0,5 и QSOP-16 Умножающий MAX5131 1 13 20 послед. + 3 0,5 и QSOP-16 Умножающий MAX5132 1 13 20 послед. + 5 0,5 и QSOP-16 Умножающий MAX5133 1 13 20 послед. + 3 0,5 и QSOP-16 Умножающий MAX514 4 12 0,25 послед. 5 0,02 I DIP-24, SO-28 Умножающий
Приложение 2 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 MAXIM МАХ5140 1 8 0,003 цР/8 -5,2 155 I DIP-24 МАХ5170 1 14 18 послед. +4,5...+5,5 0,26 и QSOP-16 Умножающий МАХ5171 1 14 18 послед. +4,5...+5,5 0,28 и QSOP-16 Умножающий МАХ5172 1 14 18 послед. +2,7...+3,6 0,28 и QSOP-16 Умножающий МАХ5173 1 14 18 послед. +2,7...+3,6 0,28 и QSOP-16 Умножающий МАХ5174 1 12 12 послед. +4,5...+5,5 0,35 и QSOP-16 Умножающий МАХ5175 1 12 12 послед. +4,5...+5,5 0,26 и QSOP-16 Умножающий МАХ5176 1 12 12 послед. +2,7...+3,6 0,35 и QSOP-16 Умножающий МАХ5177 1 12 12 послед. +2,7...+3,6 0,26 и QSOP-16 Умножающий МАХ5180 2 10 0,025 цР/10 + +2,7...+3,3 6,9 I QSOP-28 МАХ5181 1 10 0,025 JLiP/10 + +2,7...+3,3 5,9 I QSOP-24 МАХ5182 2 10 0,025 цР/10 + +2,7...+3,3 6,9 I QSOP-28 МАХ5183 2 10 0,025 цР/10 + +2,7...+3,3 6,9 и QSOP-28 МАХ5184 1 10 0,025 цР/10 + +2,7...+3,3 5,9 и QSOP-24 МАХ5185 2 10 0,025 цР/10 + +2,7...+3,3 6,9 и QSOP-28 МАХ5186 2 8 0,025 цР/8 + +2,7...+3,3 6,9 I QSOP-28 МАХ5187 1 8 0,025 цР/10 + +2,7...+3,3 5,9 I QSOP-24
Приложение 2 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 MAXIM МАХ5188 2 8 0,025 цР/8 + +2,7...+3,3 6,9 I QSOP-28 МАХ5189 2 8 0,025 |iP/8 + +2,7...+3,3 6,9 и QSOP-28 МАХ5190 1 8 0,025 цР/8 + +2,7...+3,3 5,9 и QSOP-24 МАХ5191 2 8 0,025 цР/8 + +2,7...+3,3 6,9 и QSOP-28 МАХ5222 2 8 10 послед. +2,7...+5,5 0,38 и SQT23-8 МАХ5264А 8 14 22 цР/14 +7...+14, -5...-9, +4,75...+5,25 40 и MQFP-44 МАХ5264В 8 14 22 цР/14 +7...+14, -5...-9, +4,75...+5,25 40 и MQFP-44 МАХ5270А 8 13 22 цР/13 +1,4...+12,6, -11,4...-12,6, +4,75...+5,25 25 и MQFP-44 МАХ5270В 8 13 22 цР/13 +1,4...+12,6, -11,4...-12,6, +4,75...+5,25 25 и MQFP-44 МАХ5302 1 12 14 послед. 5 0,28 и |iMAX-8,DIP-8 МАХ5304 1 10 10 послед. 5 0,28 и ^MAX-8,DIP-8 МАХ5352 I 12 14 послед. 5 0,28 и HMAX-8,DIP-8 МАХ5360 1 6 20 послед. +2,7...+5,5 0,15 и SOT23-5
Приложение 2 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 MAXIM МАХ5361 1 6 20 послед. +4,5...+5,5 0,15 и SOT23-5 МАХ5362 1 6 20 послед +2,7...+5,5 0,15 и SOT23-6 МАХ5363 1 6 20 послед. + +2,7...+3,6 0,15 и SOT23-6 МАХ5364 1 6 20 послед. + +4,5...+5,5 0,15 и SOT23-6 МАХ5365 1 6 20 послед. + +2,7...+5,5 0,15 и SOT23-6 МАХ5380 1 8 20 послед. + +2,7...+3,6 0,15 и SOT23-5 МАХ5381 1 8 20 послед. + +4,5...+5,5 0,15 и SOT23-5 МАХ5382 1 8 20 послед. + +2,7...+3,6 0,15 и SOT23-5 МАХ5383 1 8 20 послед. + +2,7...+3,6 0,15 SOT23-6 МАХ5384 1 8 20 послед. + +4,5...+5,5 0,15 SOT23-6 МАХ5385 1 8 20 послед. + +2,7...+5,5 0,15 SOT23-6 МАХ5541 16 1 послед. 5 0,3 DIP-8, SO-8 МАХ5544 1 14 1 послед. 5 0,3 DIP-8, SO-8 МАХ5839 8 13 22 liP/13 +7...+14 (Vss:-5...-9) 10 MQFP-44
Приложение 2 (продолжение) 1 2 3 4 5 6 7 9 10 11 12 13 14 15 PHILIPS S018 8 2 -/0,5 + 255 DIP-22,SO-24 Умножающий: ттл/кмоп/эсл AMG012 12 0,5 -/2 397 DIP-20,SO-20 Умножающий: ТТЛ/КМОП/ЭСЛ DAC08 8 0,135 -/0,5 174 DIP-16,SO-16 Умножающий: ТТЛ/КМОП/ЭСЛ DAC08A 8 0,135 -/0,25 174 DIP-16 Умножающий: ТТЛ/КМОП/ЭСЛ DAC08C 8 0,15 -/1 174 DIP-16 Умножающий: ТТЛ/КМОП/ЭСЛ DAC08E 8 0,15 -/0,5 174 DIP-16,SO-16 Умножающий: ТТЛ/КМОП/ЭСЛ DAC08H 8 0,135 -/0,25 174 DIP-16 Умножающий: ТТЛ/КМОП/ЭСЛ MCI 408-8 oo 0,07 -/0,5 170 DIP-16,SO-16 Умножающий: ТТЛ MCI 508-8 8 0,07 -/0,5 305 DIP-16 Умножающий: ТТЛ MC3410 10 0,25 -/0,25 380 DIP-16 Умножающий: КМОП MC3410C 10 0,25 -/0,5 380 DIP-16 Умножающий: ТТЛ/КМОП MC3510 10 0,25 -/0,5 380 DIP-16 Умножающий: ТТЛ/КМОП
Приложение 2 (продолжение) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 PHILIPS NE50I8 оо 2 -/0,5 + 255 DIP-22,SO-24 Умножающий: ТТЛ/КМОП/ЭСЛ NE50I9 8 2,3 -/0,5 + 435 DIP-22,SO-24 ТТЛ NE5020 10 4 -/0,5 + 255 DIP-24 Умножающий: ттл/кмоп/эсл NE54I0 10 0625 -/0,5 + 300 DIP-16 Умножающий: ттл/кмоп PCF8591 8 90 -/1,5 300 DIP-16, SO-16 КМОП SE5018 8 2,3 -/0,5 + 435 DIP-22 ТТЛ SE5019 8 2,3 -/0,5 + 435 DIP-22 ТТЛ SE5410 10 0,25 -/0,5 + 300 DIP-16 Умножающий: ТТЛ/КМОП TDA1541A 16 0,5 -/1 700 DIP-28 ТТЛ/КМОП TDA1543 16 0,5 -/1 + 250 DIP-8, SO-16 ТТЛ/КМОП TDA1543A 16 0,5 -/1 + 250 DIP-8, SO-16 ТТЛ/КМОП TDAI545 16 0,2 -/1 20 DIP-8, SO-8 Умножающий: ТТЛ TDA1547 1 800 DIP-32 ТТЛ ТОА8444 6 -12 60 DIP-16, SO-16 SO-20 Умножающий: ТТЛ/КМОП
Приложение 2 (продолжение) 1 2 3 4 5 6 7 9 10 11 12 13 14 15 PHILIPS TDA8444AT 6 -/2 150 SO-20 Умножающий: ТТЛ/КМОП TDA8444T 6 -/2 150 SO-16, SO-20 Умножающий: ТТЛ/КМОП TDA8702 8 0,007 -/0,5 340 SO-16, SO-16 ТТЛ/КМОП TDA8702T 8 0,008 -/0,5 340 SO-16 ТТЛ/КМОП TDA8712 8 0,008 -/0,5 + 340 DIP-16, SO-16 ТТЛ TDA8772-8 8 0,012 -/1,2 700 TQFP-44 ТТЛ TDA8772A-8 8 0,012 -/2,2 700 TQFP-44 ТТЛ TDA8776 10 -/0,5 + 780 PLCC-28 ТТЛ TDE8712D 8 -/0,5 340 DIP-16 ТТЛ TDF8712 8 0,008 -/0,5 + 340 ТТЛ SIPEX HS7541A 1 12 0,6 паралл. -/±0,5 +15 2 I SP7514 1 14 2 паралл. -/±1 +15 2 I SP9500 1 12 7,5 послед. -/±0,75 +5 0,22 и SP9501 1 12 4 послед. -/±0,5 +5,-5 1,6 и SP9504 4 12 4 паралл. -/±0,5 +5,-5 8 и SP9600 1 12 20 послед. -/±0,5 +5,-5 0,1 и
Приложение 2 (продолжение) 1 2 3 4 5 6 7 9 10 11 12 13 14 15 SIPEX SP9601 1 12 30 послед. -/±0,5 +5,-5 0,2 и SP9604 4 12 30 паралл. -/±0,5 +5,-5 3 и SONY СХ20201А-1 10 0,0052 -/0,5 + 870 SO-28 Умножающий: ЭСЛ СХ20201А-2 9 0,0047 -/0,5 + 870 SO-28 Умножающий: ЭСЛ СХ20201А-3 9 0,0047 -/0,5 + 870 SO-28 Умножающий: ЭСЛ СХ20202А-1 10 0,0052 -/0,5 + 1430 DIP-28 Умножающий: ЭСЛ СХ20202А-2 9 0,0047 -/0,5 + 1430 DIP-28 Умножающий: ЭСЛ СХ20202А-3 8 0,0043 -/0,5 + 1430 DIP-28 Умножающий: ЭСЛ СХА1106 8 35 -/0,5 + 1270 DIP-24, SO-24 ТТЛ СХА1156А 8 0,0025 -/0,5 1300 QFP-44 ЭСЛ СХА1236 8 500 -/0,5 1300 QFP-44 Умножающий: ЭСЛ CXD1170 6 0,025 -/0,1 80 SO-24 ТТЛ/КМОП CXD1171 8 40 -/0,25 80 SO-24 Умножающий: ТТЛ/КМОП CXD1177Q 8 0,025 -/0,25 160 QFP-32 CXD1178Q 8 0,025 -/0,25 240 QFP-48
Приложение 2 (окончание) 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 SONY CXD2306 10 0,013 -/0,5 150 QFP-48 кмоп CXD2307 10 0,02 -/0,5 300 TQFP-64 кмоп CXD2308 10 0,02 -/0,5 500 TQFP-64 кмоп CXD2552 1 0 500 QFP-44 TEXAS INSRTU- MENTS THS8134B 3 8 0,005 80000 635 +3...+5 THS8133B 3 10 0,005 80000 525 +3...+5 THS5631A 1 8 0,035 100000 100 +3...+5 TLV5632 8 8 0,333 послед. -/±7,5д + 18 +2,7...+5,5 и TLV5631 10 8 0,333 послед. -/±2д + 18 +2,7...+5,5 и TLV5630 12 8 0,333 послед. -/±2д + 18 +2,7...+5,5 и TLV5629 8 8 0,333 послед. -/±1д 15 +2,7...+5,5 и TLV5610 12 8 0,333 послед. -/±0,9д 18 +2,7...+5,5 и TLV5608 10 8 0,333 послед. -/±2д + 18 +2,7...+5,5 и
Предметный указатель А Аналоговый сигнал 5 Аналого-цифровое преобразование 7, 35 Аналого-цифровой преобразователь 35 - конвейерный 83 - параллельный 52, 76 - последовательного приближения 58 - последовательно-параллельный 52, 79, 81 - последовательный 52 - с время-импульсным преобразованием 61 - с двухэтапным интегрированием 65 - сигма-дельта 156-168 - с тройным токовым интегрированием 71 - с частотно-импульсным преобразованием 74 - ускоренного интегрирования 70 Анти-элайсинг фильтр 13 Апертурная неопределенность 36 - погрешность 36 Апертурное время 36,49, 140 - «дрожание» 38 В Время аналого-цифрового преобразования 49 - апертурное 36,49,140 -выборки 49, 139 -установления 95, 142
- хранения УВХ 141 Выброс входного сигнала (глитч) 95 Г Гистерезис 46 Глитч 95 д Дельта-функция дискретная 9 Динамический диапазон 20 Динамически согласующиеся элементы 116 Дискретизация 9 - адаптивная 14 - равномерная 14 Дискретный сигнал 7 Дифер 28,29 Дифференциальная нелинейность АЦП 47 — ЦАП 95 — локальная АЦП 47 ЦАП 94 Дрейф коэффициента передачи 140 «Дрожание» апертурное 38 3 Закон сжатия 33 — р 33 Знаковый разряд 21 И Интегральная нелинейность преобразования 47, 93 Интегратор 65, 70, 71, 147, 148, 160 Интервал квантования 15
к Квантовнаие 8 -линейное 15 - нелинейное 15,27 Квантованный сигнал 8 Квантователь 147, 148, 160 Код входного сигнала 90 - двоичный дополнительный 20,22 - обратный 20,21 - прямой 20,21 - смещенный 20, 22 Кодирование 8 Компандер 31 Континуальный сигнал 5 Коэффициент преобразования ЦАП 90 Л Линейная комбинаторная схема 161-166 М Матрица резистивная 99, 100 - ranaR-2R 108-113 Метод время-импульсного преобразования 61-65 - двоично-взвешенного приближения 53 - двухэтапного интегрирования 65-70 - одностороннего приближения 53-57 - последовательного приближения 5 8-61 - ускоренного интегрирования 70-74 - частотно-импульсного преобразования 74-76 Модулятор сигма-дельта 146, 147 - - 1 -го порядка 147-157 - - 2-го порядка 157-160 - многокаскадный 161-167 - многоразрядный 167-168
Модуляция сигма-дельта (£Д) 146, 147 - частотно-импульсная (ЧИМ) 154, 155 - широтио-импульсная (ШИМ) 154-155 Монотонность функции преобразования 48, 95 Мощность шума квантования 19 Н Напряжение смещения 140 - сквозного прохождения 141 Нелинейность амплитудной характеристики 140 -дифференциальная АЦП 47 - ЦАП 94,95 - локальная АЦП 47 ЦАП 94 - интегральная АЦП 47 - ЦАП 93 - немонотонного характера 89 Неопределённость апертурная 36 О Округление 16,24 Оператор обратной разности 165, 166 Отношение сигнал/шум 20 Ошибка округления 24 - усечения 26 П Параметры внешние УВХ 142 - внешних условий АЦП 51 -входныеАЦП 51 - входных сигналов АЦП 51 - выходных сигналов АЦП 51 - динамические АЦП 49, 50 - ЦАП 95,96 - источника входных сигналов АЦП 51
- номинальные ЦАП 90 - связи с внешними устройствами АЦП 51 - статические АЦП 46^49 - ЦАП 91-95 - сопряжения аналоговые ЦАП 97 - - цифровые ЦАП 97 - управления АЦП 51 Повторяемость 49 Погрешность апертурная АЦП 50 - УВХ 140 - динамическая АЦП 50 - инструментальная АЦП 35 - квантования 42 - коэффициента передачи АЦП 48-51 УВХ 139 - - преобразования ЦАП 88,91 - методическая АЦП 3 5 - недозаряда УВХ 139, 140 - общая инструментальная АЦП 50 - переключения АЦП 50 - УВХ 142 - преобразования ЦАП 88,91 абсолютная 92 относительная 91 систематическая 93 случайная 93 - связанная с диэлектрической поляризацией 141 - систематическая АЦП 46 - случайная АЦП 46 - смещения «нуля» АЦП 47 ЦАП 88 - температурная АЦП 47 - уровня квантования АЦП 47 Прецизионность 49
р Размножение спектра 10 Разрешающая способность 49 Разряд знаковый 21 Режим выборки УВХ 138-140 - слежения УВХ 139 - хранения УВХ 141 Резистивная матрица 99,100 - типа R-2R 108-113 Ряд Котельникова 14 С Сдвиг апертурный 140 Сегмент квантования 31 Сигма-дельта АЦП 156-168 - модуляция 146-147 -модулятор 146-147 -ЦАП 168-173 Сигнал аналоговый 5 - дискретный 6, 7 - квантованный 6, 7 - континуальный 5 - стробирования 5 - цифровой 6, 7 Скорость Найквиста 13 - нарастания выходного напряжения 142 Спад выходного напряжения 141 Спектр основной инверсный 11 - прямой 11 - сдвинутый инверсный 11 - - прямой 11 Стабильность 95 - временная 49 Стробирование 50
Схема линейная комбинаторная 161-166 - с переключаемыми конденсаторами 169-173 Т Теорема отсчетов (Котельникова) 12, 13 У Уровень квантования 14 Усечение 26 Устройство выборки и хранения (УВХ) 9, 135-145 Ф Функция влияния 96 - номинальная 90 - решетчатая 9 ц Цифро-аналоговое преобразование 88-97 Цифро-аналоговый преобразователь (ЦАП) 97, 98 - - одноразрядный 168-173 - - с делением опорного напряжения 98, 104 - с суммированием взвешенных токов 106 - с суммированием напряжений 98, 103 токов 98,102,108-113 - с тройным токовым интегрированием 114-116 - - с суммированием токов, формируемых с помощью динамически согласующихся элементов 116-123 - - умножающий 109,110 Цифровой сигнал 6, 7
ч Частота дискретизации 6, 7, 13 — предельная 50 -Найквиста 13 Число разрядов кода 90 Ш Шаг квантования 15 Шум квантования 17 Э Экспандер 31
Литература 1. Гоноровский И. С. Радиотехнические цепи и сигналы — М.: Радио и связь. 1986, 512 с. 2. Трахтман А. М. Введение в обобщенную спектральную теорию. — М.: Сов. Радио. 1972. 3. Гольденберг Л. М., Матюшкин Б. Д., Поляк М. Н. Цифровая обработка сигналов. Справочник. — М: Радио и связь. 1985, 312 с. 4. Гауси М., Лакер К. Активные фильтры с переключаемыми конденсаторами/Пер. с англ. — М: Радио и связь. 1986, 168 с. 5. Рабинер Л., Гоулд Б. Теория и применение цифровой обработки сигналов. — М: Мир. 1978, 848 с 6. Цикин И. А. Дискретно-аналоговая обработка сигналов. — М.: Радио и связь. 1982, 160 с. 7. Blesser В. Digitization of Audio, JAES. v. 26, №10, Oct. 1978, pp. 739-771. 8. Bennet W. Spectra of Quantized Signals. Bell System Technique Journal, 1948, №3, v. 27, pp. 446-472. 9. Вологдин Э. И. Цифровая звукозапись на оптическом диске. — ТСС. сер. ТРПА. 1979, вып. 2, с. 5-13. 10. Roberts L. Picture Coding Using Pseudo Random Noise. IRE Trans. Inform. Theory, v. 8, Feb. 1962, pp. 145-154.
11. Jayant N. and Ramber L. The Application of Dither to the Quantization of Speech Signals. Bell System Tech. J., v. 51, 1972, pp. 1293-1304. 12. Schuchman M. Dither Signals and Their Effect on Quantization. IEEE Trans. Commun. Theory, v. COM-I2, Dec. 1962, pp. 162-165. 13. Croll M. Pulse Code Modulation for High Quality Sound Distribution: Quantizing Distortion at Very Low Signal Levels. BBC Research Eng. Div., Great Britain, Monograph 1970/18, 1970. 14. Shorter D. and Chew J. Application of Pulse-Code Modulation to Sound Signal Distribution in a Broadcast Network. Proc. IEE (London), v. 119,1972, pp. 1442-1448. 15. Candy J. A Use of Limit Cycle Oscillations to Obtain Robust Analog- to-Dlgltal Conversion. IEEE Trans. Commun., v. COM-22, 1974, pp. 298-305. 16. Blesser B. Advanced Analog-to-Digital Conversion and Filtering: Data Conversion, Digital Audio (Collected Papers from the AES Premiere Conference, Rye, N.Y. 1982, June 3-6), pp. 37-53. 17. Euler K. Schichte M. and Pfrenger E. A PCM Single-Channel Codec in LSI Technology with a I3-Segment Characteristic, In Proc. IEEE Int. Zuerich Seminar.on Digital Commun.(March 1974), pp. B2,1-4. 18. Hessenmueller H. The Transmission of Broadcast Programs In a Digital Integrated Network, IEEE Trans. Audio Electroacoust., v. AV-21,1973, pp. 17-20. 19. Blesser B. and Ives F. A Reexamination of the S/N Question for Systems with Time-Varing Gain or Frequency Response, JAES, v. 20, Oct. 1972, pp. 638-641. 20. Алябьев С. И., Выходец А. В. и др. Радиовещание и электроакустика/Под редакцией Ю. А. Ковалгина. — М.: Радио и связь. 1998, 792 с. 21. Бахтиаров Г. Д., Малинин В. В., Школин В. П. Аналого-цифровые преобразователи/Под ред. Г. Д. Бахтиарова—М.: Сов. Радио. 1980, 289 с.
22. Barr P. I. Influence of Aperture Time and Conversion Rate on the Sampling Accuracy of Analog-Digital Converters.-Data System Eng., 1964, v. 19, №5, pp. 30-34. 23. Шлыков Г. П. Измерение параметров интегральных ЦАП и АЦП. — М: Рацио и связь. 1985, 128 с. 24. Hoeschele D. F. Analog-to-Digital-to-Analog Conversion Techniques. — New York: John Wiley, 1968. —455 p. 25. Гнатек Ю. P. Справочник по цифроаналоговым и аналогоциф- ровым преобразователям: Пер. с англ./Под ред. Ю. А. Южина. М: Радио и связь. 1982, 552 с. 26. Кауе D. N. Focusion A/D and D/A Converters. — Electronic Design, 1973, v. 21, №1, pp. 56-66. 27. Daley F. D. Analog-to-Digital Conversion Techniques.— Electro- technology, 1967, v. 79, №5, pp.34-39. 28. Гитис Э. И. Преобразователи информации для электронных вычислительных устройств. — М.: Энергия. 1975,447 с. 29. Микроэлектронные цифро-аналоговые и аналого-цифровые преобразователи информации/ В. Б. Смолов, Б. П. Угрюмов, В. К. Шмидт и др./Под ред. В. Б. Смолова. — Л.: Энергия. 1976, 336 с. 30. Бахтиаров Г. Д., Дикий С. Л. Аналого-цифровые преобразователи. Зарубежная радиоэлектроника. 1975. №1, с. 52-90. 31. Iso Y., Arai Т., Shibuya Т., Moguchi Т., Okamoto Н. 16-blt A/D- Converter and D/A-Converter for Digital Audio. IEEE Trans, on Consum. Electron., v. CE-32,1986, №.4, pp. 734-742. 32. Хоровиц П., Хилл У. Искусство схемотехники: в 2-х томах, т. 21 Пер. с англ. — М.: Мир. 1984, 590 с. 33. Прянишников В. А. Электроника: Курс лекций. — СПб.: Корона-принт. 1998,400 с. 34. Розенберг В. Я. Введение в теорию точности измерительных систем. — М.: Сов. радио. 1975, 304 с.
35. Накадзима X. и Огава X. Цифровые грампластинки: Пер. с яп./ Под ред. В. Г. Королькова. — М.: Радио и связь. 1988, 168 с. 36. Van de Plassche R. J. and Dijkmans E. S. A Monolithic 16-bit D/A Conversion System for Digital Audio. Digital Audio Papers from the AES Premiere Conference, Rye, N.Y 1982, June 3-6, pp. 54-60. 37. Plassche R. J. and GoedhardD. A. Monolithic 14-bit D/A Converter, IEEE Journal on Solid-State Circ, v. SC-14, 1979, June. 38. Шило В. Л. Линейные интегральные схемы в радиоэлектронной аппаратуре. — М.: Сов.радио. 1979, 368 с. 39. Маклюков М. И. Протопопов В. А. Применение аналоговых интегральных микросхем в вычислительных устройствах. — М.: Энергия. 1980, 160 с. 40. Bruck D. В. Data Converters Handbook. — Burlington: Hybrid Systems Corp., 1974, 154 p. 41. Dooley D. J. A Complete Monolithic 10-bit Converter. — IEEE, 1973, v. SC-8, №6, pp. 404-108. 42. Марше Ж. Операционные усилители и их применение — Л.: Энергия, Ленингр. Отд. 1974, 216 с. 43. Папоулис А. Анализ ошибок в теории выборок. — ТИИЭР. 1966. т. 54. №7, с. 34^3. 44. Гутников В. С. Применение операционных усилителей в измерительной технике. — Л.: Энергия, Ленингр. отд., 1975, 120 с. 45. Мидлтон Д. Введение в статистическую теорию связи. В 2-х т.: Пер. с англ./Под ред. Б. Р. Левина. — М.: Сов.радио. 1961-1962, т. 1. 1961,782 с. 46. Маркюс Ж. Дискретизация и квантование: Пер. с франц./Под ред. А. В. Шилейко. — М.: Энергия. 1969, 144 с. 47. Бахтиаров Г. Д. Устройства выборки и запоминания: принципы построения, состояние разработок и перспективы развития. — Зарубежная радиоэлектроника. 1978. №10, с. 71-97.
48. Патстоун. Данбар. Выбор динамических запоминающих устройств. — Электроника. 1973, т. 46. №46, с. 52-57. 49. Kriz J. S. A 16-Bit A-D-A Conversion System for High-Fidelity Audio Research, IEEE Trans. Acoustics, Speech Signal Proc, v. ASSP-23, Feb. 1975, pp. 146-149. 50. Freeman D. M. Slewing Distortion In Digital-to-Analog Conversion, JAES, v. 25, Apr. 1977, pp. 178-183. 51. Bristow-Johnson R. Effect of DAC Deglitching on Frequency Response, JAES, v. 36, Nov. 1988, pp. 895-905. 52. Inose H. Yasuda Y. and Murakami J. A Telemetring System by Code Modulation — Delta-Sigma Modulation, IRE Trans, v. 8,1962, Sept. p. 204. 53. Inose H. and Yasuda Y. A Unity Bit Coding Method by Negative Feedback, Proc. IEEE, v. 51, 1963, Nov., pp. 1524-1535. 54. АЦП/ЦАП — повышение быстродействия и точности. Дэнси Гидзюцу. 1989. №1. т. 31, с. 20-23. 55. Gray R.M. Oversampled Sigma-Delta Modulation, IEEE Trans. Commun., v. COM-35, May 1987, pp. 481-489. 56. Bernhard E. Boser and Bruse A. Wooley. The Design of Sigma- Delta Modulation Analog-to-Digital Converters, IEEE Journal of Solid-State Circuits, v.23, №6, December 1988, p. 1298-1308. 57. Choi T, Kaneshiro R. et al. High-Frequency CMOS switched- capacitor filter for communications application, IEEE J. Solid-State Circuits, v. SC-18, Dec. 1983, pp. 652-664. 58. Wong P. W., Gray R. M. FIR Filters with Sigma-Delta Modulation Encoding, IEEE Trans, on Acoustics, Speech and Signal Processing, v. 38, June 1990, pp. 979-990. 59. Chou W. Wong P. W. and Gray R.M. Multistage Sigma-Delta Modulation, IEEE Trans, on Inf. Theory, v. 35, №4, July 1989, pp. 784-796.
60. Uchimura К. et al. Oversampling A-to-D and D-to-A Converters with Multistage Noise Shaping Modulators, IEEE Trans, Acoustics, Speech and Signal Processing (ASSP), 1988, v. 36, №12, pp. 1899- 1905. 61. Мацусита M. и др. Серия БИС с 1-разрядным цифро-аналоговым преобразованием системы MASH, National Technical Report, v. 36, №3, June 1990, pp. 351-357. 62. Дэнси Гидзюцу. 1989, т. 31. №1. с. 96-97. 63. Finck R. and Slowgrove D. Third-Generation Decoding ICs for CD-players, Electronic Components&Appllcatlons, v. 8,1988, №3, pp. 145-152. 64. Rossi E. e Ciboldi M. La Guerra del Bit, Alta Fedelta, v. 32, 1989, №9, pp. 174-186.
Содержание Предисловие 3 1. ОСНОВНЫЕ ОПРЕДЕЛЕНИЯ 1.1. Виды сигналов, используемых в аналого-цифровом и цифро-аналоговом преобразованиях 5 1.2. Последовательность преобразования аналогового сигнала в цифровой 7 1.3. Коды, используемые в АЦП и ЦАП 20 1.3.1. Прямой код 21 1.3.2. Обратный код 21 1.3.3. Дополнительный код 22 1.3.4. Смещенный код 22 1.3.5. Связь способа кодирования с характером ошибки квантования 24 1.4. Нелинейное квантование 27
2. АНАЛОГО-ЦИФРОВЫЕ ПРЕОБРАЗОВАТЕЛИ 2.1. Погрешности аналого-цифровых преобразователей 35 2.1.1. Методическая и инструментальная погрешности. Апертурная неопределенность 35 2.1.2. Оценка общей погрешности аналого-цифрового преобразования 40 2.1.3. Оценка общей величины инструментальной погрешности 44 2. 2. Основные параметры аналого-цифровых преобразователей 45 2. 2 1. Статические параметры аналого-цифровых преобразователей 46 2.2.2. Динамические параметры аналого-цифровых преобразователей 49 2.2.3. Параметры, характеризующие внешние условия работы 51 2.3. Основные способы реализации АЦП 52 2.3.1. Классификация АЦП 52 2.3.2. Последовательный АЦП с генератором ступенчатого напряжения 53 2.3.3. АЦП последовательного приближения 58 2.3.4. АЦП с время-импульсным преобразованием 61 2.3.5. АЦП с двухэтапным интегрированием 65 2.3.6. АЦП ускоренного интегрирования 70 2.3.7. АЦП с частотно-импульсным преобразованием 74
2.3.8. Параллельные АЦП 76 2.3.9. Двухкаскадный последовательно-параллельный АЦП 79 2.3.10. Последовательно-параллельный АЦП с переменным шагом квантования 81 2.3.11. Конвейерные АЦП 83 3. ЦИФРО-АНАЛОГОВЫЕ ПРЕОБРАЗОВАТЕЛИ 3.1. Погрешности ЦАП 88 3.2. Основные параметры цифро-аналоговых преобразователей 89 3.2.1. Номинальные параметры 90 3.2.2. Статические параметры 91 3.2.3. Динамические параметры 95 3.2.4. Функции влияния 96 3.2.5. Параметры сопряжения 96 3.3. Классификация схем ЦАП 97 3.4. Обобщенная структурная схема ЦАП 99 3.5. Базовые схемы ЦАП 101 3.5.1. Схема ЦАП с суммированием токов 102 3.5.2. Схема ЦАП с суммированием напряжений 103 3.5.3. Схема ЦАП с делением опорного напряжения 104 3.6. Методы практической реализации ЦАП 106 3.6.1. ЦАП с суммированием взвешенных токов 106
3.6.2. ЦАП на основе резистивной матрицы типа R-2R 108 3.6.3. ЦАП с тройным токовым интегрированием 114 3.6.4. ЦАП с суммированием токов, формируемых с помощью динамически согласующихся элементов 116 3.7. Организация выхода по напряжению для ЦАП с токовым выходом 123 3.8. Формирование биполярного выходного сигнала ЦАП 126 4. УСТРОЙСТВА ВЫБОРКИ И ХРАНЕНИЯ 4.1. Основные понятия и принцип действия 135 4.2. Основные параметры УВХ 138 4.2.1. Параметры в режиме выборки 139 4.2.2. Параметры в режиме перехода от выборки к хранению 140 4.2. 3. Параметры в режиме хранения 141 4.2.4. Параметры прр переходе от хранения к выборке 142 4.2.5. Внешние параметры 142 4.3. Принципы построения УВХ 143 5. АНАЛОГО-ЦИФРОВЫЕ И ЦИФРО-АНАЛОГОВЫЕ ПРЕОБРАЗОВАТЕЛИ НА ОСНОВЕ 1А-МОДУЛЯЦИИ 5.1. Принципы работы SA-модулятора 147
5.2. АЦП с применением сигма-дельта модулятора 156 5.2.1. Метод подсчета «единиц» 156 5.2.2. АЦП с LA-модулятором 2-го порядка и цифровым фильтром на выходе 157 5.2.3. АЦП с каскадным соединением ХД-модуляторов 1-го порядка 161 5.2.4. Многоразрядные сигма-дельта АЦП 167 5.3. Одноразрядные Ц/А-преобразователи 168 Приложение 1 177 Приложение 2 192 Предметный указатель 205 Литература 213
Книги издательства «Альтекс-А» и другую радиотехническую и компьютерную литературу вы можете заказать наложенным платежом в почтовом агентстве «ДЕССИ: 107-13, Москва, а/я 10 тел(095)3047231 e-mail: post@dessy.ru www.dessy.ru Издательство «Альтекс-А» 121374, Москва, а/я 440 тел. факс. (095) 2351715 E-mail: Info@techkniga.ru, www.techkniga.ru Никамин Виктор Александрович АНАЛОГО-ЦИФРОВЫЕ И ЦИФРО-АНАЛОГОВЫЕ ПРЕОБРАЗОВАТЕЛИ Справочник Редактор Ф. А. Шагиданова. Обложка А. А. Чикулаев. Компьютерная верстка и графика Т. К. Барышникова. Подписано в печать 24.07.2003. Формат 60 х 88 Гарнитура «Тайме». Печать офсетная. Объем 14 печ. л. Тираж 5 000 экз. Заказ №33. ЦА. ООО «КОРОНА принт» ЛР № 065007 от 18 февраля 1997 г. 198005, Санкт-Петербург, Измайловский пр., 29. сканировал: kipiaxxx , обработал: jtp ООО «Альтекс-А» ИД №02665 от 28.08.2000 Москва, ул. Б. Дорогомиловская, д. 14, стр. 1. Отпечатано с готовых диапозитивов в ООО «Северо-Западный Печатный Двор». 188350, Ленинградская область, г. Гатчина, ул. Солодухина, 2.