Текст
                    

СПРАВОЧНИК 3. Ю. ГОТРА ТЕХНОЛОГИЯ МИКРОЭЛЕКТРОННЫХ УСТРОЙСТВ МОСКВА „РАДИО И СВЯЗЬ" 1991
Рецензент д-р техн, наук, проф. Ю. 3. Бубнов Редакция литературы по электронике Готра 3. Ю. Г74 Технология микроэлектронных устройств: Справочник.— М.: Радио и связь, 1991.— 528 с.: ил. ISBN 5-256-00699-1. Рассмотрены механические, химические, ионные, плазменные, элект- ронно-лучевые и другие методы обработки в технологии микроэлектрон- ных устройств. Обобщены данные по выращиванию монокристаллов, диф- фузии, эпитаксии, ионной имплантации, технологии тонких пленок, лито- графии, сборке и герметизации. Значительное внимание уделено контролю, обеспечению качества и надежности при изготовлении микроэлектронных устройств. Для ннженерно-техннческнх работников, мастеров и квалифициро- ванных рабочих, занимающихся производством МЭУ. Г 2302030700-049 046(01)-91 86-91 ББК 32.844 Справочное издание ГОТРА ЗЕНОН ЮРЬЕВИЧ ТЕХНОЛОГИЯ МИКРОЭЛЕКТРОННЫХ УСТРОЙСТВ Справочник Заведующий редакцией Ю. Н. Рысев. Редактор Н. К- Калинина Переплет художника Н. А. Пашуро. Художественный редактор А. С. Широков Технический редактор Т. Н. Зыкина. Корректор Л. К. Акименкова. ИБ № 1802 Сдано в набор 2.04.90. Подписано в печать 22.02.91. Формат бОхЭО’/ы- Бумага типографская № 2. Гарнитура литер. Печать высокая. Усл. печ. л. 33,0. Усл. кр.-отт. 33,0. Уч.-изд. л. 49,05. Тираж 30 000 экз. Изд. № 22255. Зак. Ns 1270. Цена 4 р. Издательство «Радио и связь». 101000, Москва, Почтамт, а/я 693 Областная ордена «Знак Почета» типография им. Смирнова Смоленского облуправ- лення издательств, полиграфии и книжной торговли, 214000, г. Смоленск, по. им. Ю. Гагаоина. 2. © Готра 3. Ю., 1991
Предисловие Технология микроэлектронных устройств (МЭУ) является основой произ- водства современных радиоэлектронных средств. Уровень развития радиоэлект- роники определяется достижениями в области технологии МЭУ. Методы микроэлектронной технологии широко используются в процессах изготовления изделий приборостроения, вычислительных машин, элементов и устройств автоматики и др. Многие производства нерадиоэлектронного профиля также применяют методы микроэлектронной технологии. Современная технология МЭУ базируется на новейших достижениях в об- ластях физики, хнмни, математики, материаловедения, автоматизации и управ- ления. Производство МЭУ включает механическую, тепловую, электронную, ион- ную, плазменную, лазерную и другие виды обработки, позволяющие в вакууме, контролируемой газовой среде, атмосфере целенаправленно изменять н задавать электрофизические параметры полупроводниковых, диэлектрических, резистивных, проводящих материалов н структур на нх основе. В настоящее время отсутствует издание, включающее комплекс основных базовых технологических процессов, используемых при производстве МЭУ, на- чиная с методов создания монокристаллических материалов с заданными свой- ствами структур на нх основе до сборки и герметизации конструктивно закон- ченных устройств. В предлагаемом справочнике собраны основные сведения по базовым тех- нологическим процессам МЭУ. Рассматриваются методы получения, очистки и легирования монокристаллических материалов, процессы диффузии, эпитаксии, ионной имплантации, литографии, методы получения тонких пленок, паяных и сварных соединений, герметизации устройств. Приводятся основные свойства и параметры материалов, оборудование, обеспечивающее проведение технологи- ческих процессов. В связи с быстрым моральным старением устройств и оборудования базо- вые технологические процессы рассматриваются без привязки к конкретным МЭУ, а изложение носит описательный характер. Прн написании справочника использовано более 1000 отечественных и за- рубежных литературных источников. С целью сокращения объема ссылки на них в тексте опущены, а приведенный список использованной литературы вклю- чает лишь основные монографии в области технологии МЭУ. Справочник предназначен для инженерно-технических работников, мастеров и квалифицированных рабочих, занимающихся производством МЭУ.
Глава 1 Методы получения, очистки и легирования монокристаллических материале 1.1. Основные материалы для полупроводниковых микроэлектронных устройств Большинство полупроводниковых материалов представляет собой кристал- лические твердые вещества с упорядоченной периодической структурой. Наи- меньший объем кристаллического вещества, перемещением которого вдоль трех независимых направлений можно получить весь кристалл, называют элементар- ной ячейкой. Кристаллографические оси в кристалле определяют направление ребер элементарной ячейки, а система трех векторов а, в и с, образующая ячейку,— базис. Расстояние между двумя соседними атомами вдоль одного из направлений решетки определяет постоянную решетки. Кристаллы состоят из атомов или ионов, занимающих определенные по- ложения в пространстве, условно называемые узлами пространственной решет- ки. Существует семь кристаллических систем (сингоний) пространственных ре- шеток, которые характеризуют их симметрию по отношению к определенным плоскостям и направлениям (осям) (табл. 1.1). Значительная часть полупроводниковых материалов, в том числе герма- ний, кремний, имеет структуру решетки типа алмаза (рис. 1.1), характеризую- щуюся наличием тетраэдрических связей: у каждого атома есть четыре ближай- ших соседа, взаимодействующих с ним силами ковалентных связей. Решетка твпа алмаза состоит из двух гранецентрнрованных решеток, сдвинутых друг относительно друга на четверть постоянной решетки. Кристаллическое вещество представляет собой сплошную упорядоченную структуру (монокристалл) либо состоит из большого числа мелких монокри- сталлов, различно ориентированных в пространстве (поликристалл). С упорядоченной кристаллической структурой связано такое свойство, как аллотропия (нли полиморфизм)—изменение кристаллической структуры при изменении температуры. Структуры различаются аллотропической формой, или модификацией. Модификацию обозначают греческими буквами а, |3, у и т. д. (буквой а обозначают модификацию, существующую при температурах ниже первого аллотропического превращения). Кристаллические вещества анизотропны, т. е. их свойства зависят от кри- сталлографического направления. Для описания закономерностей строения кристаллов необходимо задание направления (прямой). Так как выбор начала координат в бесконечном кристалле произво- лен, параллельные прямые описываются оди- наковыми символами. Поэтому для задания направления в кристалле достаточно задать координаты любого атома, лежащего на пря- мой, проходящей через начало координат и данный атом (узел), тройкой целых чисел, заключенных в квадратные скобки. Напри- мер, ось х обозначают как [100], у—[010], z- [001]. Совокупность симметричных на- правлений одной простой формы кристалла обозначается <100>, <010>, <001 >, Рис. 1.1. Структура решет- ки типа алмаза Для определения кристаллографических координат плоскости используют так называе- 4
Таблица 1.1. Типы кристаллических решеток Сингония Простая Базоцентри- рованная Объемно- центриро- ванная Гранецент- рированная Соотношения между пара- метрами век- торов базиса Триклинная а^Ь^с а^=р#= =#у=/=90° Моноклин- ная а=/=6=/=с а=Р=90° у=Л90° Ромбическая Тригональ- ная (ромбо- эдрическая) Тетраго- нальная Гексаго- нальная Кубическая а=Ь=с а = Р= = у=/=90° а—Ь^с а=р = =у=90‘ а=Ь = с а=Р= =Т=90° а=Ь=£с а=Р=90° у=120° РИмечание. а, Ь. с—модули векторов базиса; а, ₽, у — углы между ними. б
Рис. 1.2. Основные кристаллографические плоскости кубической решетки мые индексы Миллера, которые записываются в круглых скобках (h, k, I). Для нахождения h, k и I необходимо определить точки пересечения рассматривае- мой плоскости с кристаллографическими осями, выразить расстояния от них до начала координат в единицах постоянной решетки, взять обратные величины и привести их к наименьшему общему знаменателю. Числители полученных дробен и являются индексами Миллера (параллельные друг другу плоскости имеют одинаковые индексы Миллера). Если плоскость параллельна какой-либо из координатных осей, то индекс Миллера, соответствующий этой координатной оси, будет равен нулю. Основные кристаллографические плоскости кубической решетки показаны на рнс. 1,2. Поскольку, как уже отмечалось, в реальном кристалле выбор начала си- стемы координат произволен, подход к индексации параллельных плоскостей тот же, что н для направлений (параллельные плоскости имеют одинаковые индексы Миллера). Совокупность симметричных плоскостей одной простой фор- мы кристалла обозначается {/г, k, /}. Если в индексах обозначения направления илн плоскостей необходим знак «минус», он выносится над нужным индексом, например (111). В реальных кристаллах существуют нарушения кристаллической структу- ры — дефекты. К дефектам относятся любые нарушения пространственной ре- шетки: отсутствие регулярного узла, наличие лишнего узла или посторонней примеси, смещение атомарной плоскости илн более сложные нарушения. Де- 0) 6) Рис. 1.3. Дефекты кристаллической решетки: а—посторонний атом в узле решетки; б — пустой узел и собственный атом в междоуз- лии; в — блочное строение кристалла 6
фекты подразделяют на точечные, линейные (дислокации) и сложные. На рис. 1.3 приведены некоторые дефекты кристаллической решетки. Элементарные полупроводники. В периодической таблице Менделеева име- ется 12 элементов, обладающих полупроводниковыми свойствами: в III груп- пе __бор; в IV — углерод, кремний, германий, олово, в V — фосфор, мышьяк, сурьма, в VI — сера, селен, теллур, в VII — йод. Физические характеристики наиболее часто употребляемых элементарных полупроводников приведены ниже. Основные технические характеристики германия Атомный номер......................... Относи тельная атомная масса .... Плотность, г/см3, при 298±0,01 К Плотность расплавленного Ge, г/см3, при 1233 К Температура плавления, К ................... Температура кипения, К ..................... Теплоемкость, Дж/моль, при: 90 К .... . ............................ 300 К................................... Диэлектрическая постоянная ................. Магнитная восприимчивость при 293 К Температура Дебая, К, при: 300 К . ... 80 К.................................... Температурный коэффициент линейного расшире ния, К-1, при: 40 К.................................... 80 К.................................... 100 К................................... 300 К................................... Поверхностное натяжение, Н/м, в атмосфере аргона при температуре затвердевания .............. Эффективные массы в минимумах: mll • • ................ т±...................................... Эффективные массы дырок: тяжелых ............................ легких ................................. Эффективная масса проводимости .... Ширина запрещенной зоны ДЕ, эВ в точке Г при 298 К............................ Температура коэффициента изменения ДЕ в точке Г Концентрация носителей тока в области собственной Эффективная плотность состояний в зоне проводи- мости, см-3 32 72, 59 5,32674 5,571 1209 ± 1 2973 11,1 22 8 16 -1,434+0,004 10~7 «406 «353 + 0,07 I О-8 4-1,05-10-° + 2,20 10 ~8 +5,75-10-® 0,6 1.58т0 0,0815т0 тл = 0,379то т(=0.О42лпо Зтп то* -------- =О,12/«о rnu/rrii 0,898 0,889 0 744 - 3,9/10-" щ= 1,76- 10-18-Т3/гХ Хехр(—0,785 эВ/2Г7) (— 2 = 1,04-10|9Х \ та 1 х ж ) •где М — число миниму- мов в зоне проводимо- сти, в валентной зоне Аг=4,82.101573/2= _ I mdh \ т0 ) 7
=6,1-10]8 Подвижность носителей тока при рассеянии на ко- лебаниях решетки: электронов в зоне проводимости, см2/В-с дырок в валентной зоне, см2/В с Коэффициент диффузии носителей тока, см2/с, прн 300 К электронов дырок ................... Дрейфовая подвижность, см2/(В с), при 300 К: электронов . . дырок . . . Атомный объем . ............. Число атомов в единице объема, см-3 Постоянная решетки п29зк, нм , . . . U„=3800 Пр=1800 93 44 3800 1800 13,5 4,42-1022 0 565748 Основные физические характеристики кремния Атомный номер .... . . Относительная атомная масса..................... Электронная структура ...... Кристаллическая структура ....... Параметр решетки, нм, при 298 К Диэлектрическая проницаемость Плотность, г/см3: .... твердого (при 298 К) жидкого..................... Коэффициент линейного расширения, 288...323 К 423 К....................... Теплоемкость, Дж/(моль-К)> при: 90 К............................ 300 К ... Температура плавления, К Температура кипения, К . Поверхностное натяжение, Н/м: к-1, прн: в аргоне в гелии в водороде ............................ Излучательная способность при: 1000 К . .... 1688 К ................. Удельное сопротивление, Ом-см-Ю4 Ширина запрещенной зоны при 300 К в точке Г Коэффициент диффузии, см2/с, при 300 К: эВ электронов дырок ... Коэффициент преломления прн длине волны X, мкм 1,05.................................. 2,6 . . ..................... 2..10 .... Отражательная способность прн длине волны бо лее 1,5 мкм . ..................... Твердость по Моосу............................ Т 300 / ' т -1.66 ,300' -2,33 14 28,08 Is^s^Ss^p2 Структура алмаза, про- странственная симметрия O\(Fd3m) 0,543072+0,000001 12 2,3283 2,2 2,4 10-в з.о-ю-’ 5,2 19 1685 + 2 2773 0,72 0.73 0,73 0.64 0,46 23. 24 1,153 35 13,1 3,56 3,44 3,50 0,3 7 8
Концентрация носителей тока, см-3, в Si с собст- венной проводимостью при 300 К .... . Подвижность, см2/(В с), электронов прн: 300 К ......................................... 300 ..400 К.................................... дырок при: 300 к............................................. 150...400 К....................................... 1,5-1010 1350+100 4- 10в7'-2 6 480+15 2,5-1087+2-3 Основные физические характеристики теллура Атомный номер • - Относительная атомная масса..................... Атомный объем ............................... -Плотность, г/см3 . ...................... Число атомов в 1 см3............................ Температура плавления, К ....................... Температура кипения, К ......................... Температура Дебая, К........................ Диэлектрическая проницаемость................... Папаметры решетки тригонального Те (£>34, £>36), нм: а.................. ... • с • - • Валентный угол а, град.......................... Коэффициент теплового расширения, К-1, при 293 К: параллельно оси с ... перпендикулярно оси с . . ... Удельная теплопроводность, Вт/(м-к) Ширина запрещенной зоны Л£, эВ, в точке Г Коэффициент преломления: параллельно осн с при Л=3,6 мкм .... перпендикулярно оси с прн А=8 мкм Коэффициент, определяющий угол поворота плоскости по ляризации при прохождении света сквозь пластину тол щиной 1 мм, град/мм, при А = 4 мкм.............. Удельное сопротивление, Ом см, прн 303 К: II оси с ............ JL оси с ...... Подвижность носителей тока, см2/(В-с), прн 204 К: электронов ............. ... дырок . ...................... Термо-ЭД С, мкВ/K, прн: 300 К . . . 623 К и VT || с . . . . 623 К и IT 1 с................................ Эффективная масса: "I X /т0....................................... 725 1663 129 23 0,446 5,93 102,6 -1,0-10-° 27,2-10-® 5,86 0,33...0,37 0,34 4,85 100 0,307 0,528 1800 700 300...500 320 135 т || ]т0 ............. 0,25±0,01 Основные физические характеристики селена Атомный номер 34 Относительная атомная масса.................78,96 Валентность 6 4_2 Атомный радиус, нм...........................' 34 Электронная структура ..............' . 3d104s24// Удельная магнитная восприимчивость..........— 3,1-10-7 Контактная разность потенциалов (по отношению к Au), эВ 5,13 Диэлектрическая проницаемость (аморфного Se) . . 6,6±0,4 9
Параметры кристаллической решетки (гексагонально- го Se), нм: а, b .............................................0 436 „с.....................................................0,496 Минимальное расстояние между двумя атомами, нм: в одной цепочке . ... 0,236 в соседних цепочках................................о’,345 Параметры кристаллической решетки а и (i модификаций моноклинного Se: а с Ч 9,05 9,07 11,61 ₽ 12,85 8.07 9,31 В моноклинном Se минимальное расстояние между двумя атомами, нм в одной цепочке ........ в соседних цепочках , .............. Температура плавления, К......................... Температура кипения, К......................... Плотность Se, г/см3: стекловидного ................................... моноклинного .................................... гексагонального ................................. Модуль Юнга, Н/м2 (гексагональная модификация) Сжимаемость . , . , Коэффициент Пуассона Коэффициент поверхностного натяжения, Н/м, при 493 К Коэффициент линейного расширения: стекловидного Se при: 303 К .......................................... 273 К........................................... 223 К........................................... 173 К........................................... 123 К........................................... гексагонального Se прн: 473 К........................................... 423 К........................................... 373 К........................................... 323 К........................................... 273 К........................................... монокристаллического Se при: 288...328 К ( II оси) ................... 293...333 К (-L оси) .... ... Коэффициент преломления в инфракрасной области Теплоемкость, Дж/(моль-К), при 298 К................. Удельное сопротивление, Ом см: металлического Se при: 291 К........................................... 473 К........................................... аморфного Se при 291 К........................... Удельная электропроводность, Омсм-1, жидкого Se прн 753 К............................................ Ширина запрещенной зоны, эВ (по фотоэлектрическим измерениям) ......................................... Концентрация дырок, см~3, при 300 К.................. Подвижность дырок, см2/(В-с), при 300 К Анизотропия электропроводности прн 300 К Температурный коэффициент измерения ширины запрещен- ной зоны, эВ/К....................................... Угол 90°46 93°8' 0,234 0,380 492+1 958 4,28 4,47 4,80 5,8 Юг 12-10—» 0,45 9,25 10-3 53 1О-0 47 10-° 40 10-в 35-10-» 32Ю-« 5010-е 40 10-° 33 10-в 27 IO-0 22-10"» —18-10-в + 47-10-» 2,5 25,36 12 1,2-10-» 1О,2...1О13 1,2-10-» 1,79 ±0.01 1014 1 2...10 -9-10-» 10
Полупроводниковые химические соединения. К ним относятся соединения „„мрнтов различных групп периодической системы, соответствующие общим ллпмулам AinBv (JnSb, JnAs, JnP, GaSb, GaAs, GaP, AlSb); ATIBV (CdSb, 7nSb) AnBVI (ZnS, ZnSe, ZnTe, CdS, CdSe, CdTe, HgSe, HgTe, HgS), Atvgvi (Pb$. PbSe, PbTe, SnTe, SnS, SnSe, GeS, GeSe), а также некоторые окислы свинца, олова, германия и кремния. Соединения металлов II группы е серой, селеном, теллуром носят названия соответственно сульфидов, селенидов, теллуридов. Соединения AnlBv применяются в основном в изделиях электронной тех- ники, работающих на сверхвысоких частотах. Соединения AIJBV используются в качестве эффективных люминофоров в видимой области светодиодов, датчи- ков Холла, модуляторов и др Соединения AIIIBV, AnBVI н A1VBVI идут на изготовление источников и приемников света, индикаторов и модуляторов из- лучений. Окисные полупроводниковые соединения применяются для изготовления фотоэлементов, выпрямителей и сердечников высокочастотных индуктивностей. Физические свойства некоторых двухэлементных полупроводников приведены в табл. 1.2—1.5. Таблица 1.2. Физические свойства соединений типа AIUBV Параметр AJSb GaSb InSb AlAs GaAs in As- Темпера- тура плав- ления, К 1333 998 798 1873 1553 1218 Постоян- ная решет- ки, нм 6,1 6,09 6,48 5,62 5,63 6,06 Ширина за- прещенной зоны, эВ 0,52 0,7 0,18 2,2 1,32 0,35 Диэлектри- ческая про- ницаемость Подвиж- ность, см2/(В с): 8,4 14,0 15,9 электро- нов 50 5000 60 000 — 4000 3000 дырок 150 1000 4000 400 200 Показа- тель пре- ломления света 3,0 3,7 4,1 — 3,2 3,2 Темпера- турный ко- эффициент линейного расшире- ния, Д-1 6,9 IO-6 5,5 IO'6 5,7-10-6 5,3-10-6 На основе большинства приведенных бинарных соединений можно получить твердые растворы- (CdTe)»(HgTe),-х; (HgTe)x(HgSe)1_»; (PbTe)x(SnTe)j-»; UJbbe)x(SnSe)1_x н многие другие. Диапазон применения твердых растворов для нзготовлення большинства по- лупроводниковых приборов и устройств практически неограничен, однако до Настоящего времени этому препятствует отсутствие воспроизводимой технологии получения самих материалов и р — «-переходов на их основе. 11
Таблица 1.3. Физические свойства соединений типа AnBVI Параметр CdS CdSe CdTe PbS PbSe PbTe Относительная молеку- лярная масса 72,0 95,2 120,5 120,0 143,0 168,0 Температура плавле- ния, к 1748 1523 1313 1383 1338 1177 Теплопроводность, Вт/(м-К) — — — 2,94 1,7 1,7 Ширина запрещенной зоны, эВ Подвижность, см2/ (В с): 2,4 1.8 1.5 0,6 0,55 0,6 электронов 200 200 600 600 900 300 дырок 20 — 50 400 500 300 Отношение эффективной массы электрона к мас- се свободного электрона 0,36 0,34 0,15 0,30 Таблица 1.4. Физические свойства карбида кремния Параметр Числовые значения Относительная молекулярная масса Состав (SiC:C) Постоянные кристаллической решет- ки, нм: кубической 40,1 70 : 30 а=0,4357 гексагональной а=0,3095 с =1,009 тригональной а=0,357 с= 1,754 Твердость по Моосу Плотность, г/см3, при 298 К Температура разложения, К Удельная теплопроводность, Вт/(м-К) Диэлектрическая проницаемость Ширина запрещенной зоны, эВ Подвижность, см2/(В с): электронов дырок Эффективная масса *: дырок электронов 9,5. .9,7 3,2 2473 0,05 6,7 2,8 100 20 1,2 0.6 * В единицах массы свободного электрона. 12
Таблица 1.5 Физические свойства соединений А” В У П араметр Zn3P2 Cd3P2 ZnsAs3 Cd3As2 — — 1 Температура плавле- ния, К 1453 1012 1288 994 Тип проводимости Р п Р п Энергия ионизации примесей Еи эВ 0,49...0,61 0,3 —- 0,14 Ширина запрещенной зоны, эВ 1,20 0,50 0,93 0,13 Удельное сопротивле- ние, Ом см, приЗООК 1О3 *...1О5 3,5 Ю-з 10 2-10—1 Термо-ЭДС прн 300 К, мВ/К Подвижность элект- ронов и дырок, см2/(В-с), прн 300 К 1,2... 1,8 —0,15 0,2 —0,06 10 1500 17 10 000 Эффективная масса носителей * — 0,12 — — Концентрация носите- лей, см-3, при 300 К — (3...6) 1017 7,3-1017 2-10'« • В единицах массы свободного электрона. Материалы для легирования и создания р—«-переходов в полупроводниках методами вплавления. При заданной температуре и времени выдержки в полу- проводнике растворяется определенное количество примеси (рис 1.4, 1.5) Обыч- но донорные и акцепторные элементы в чистом виде не могут быть вплавлены в полупроводниковые кристаллы. Для этой цели применяют сплавы, содержа- щие легирующие элементы в определенной концентрации. Основой сплавов яв- ляются металлы: свинец, олово, индий, золото, серебро, алюминий и некоторые другие. Такие электродные сплавы используют для получения р — « переходов, омических контактов и соединений. Ацепторные элементы Алюминий (А1) в расплавленном состоянии активен, вступает в реакцию с металлами. При температуре выше 1273 К алю- миний образует сплавы с графитом и кварцем, а выше 850 К — с кремнием (рнс. 1.4,6). Температурные зависнмостн отношения глубины вплавления х алю- миния в кремний к толщине кремния t, а также ширины рекристаллизованного слоя показаны на рис. 1.6 и 1.7. Галлий (Ga) используют как легирующую примесь для увеличения актив- ности эмиттера и создания омических контактов. Склонен к переохлаждению н кристаллизуется с увеличением объема в виде ромбической решетки. Бор (В) известен двух разновидностей — аморфный (порошок бурого цве- та) и кристаллический (кристаллы белого цвета). В германии н кремнии бор является акцепторной примесью с высокой предельной растворимостью. В жид- ких германии и кремнии бор хорошо растворяется, его в небольших количествах вводят в сослав электродных сплавов Прн легировании кремния и электродных сплавов часто используют промежуточные соединения бора (борный ангидрид В2О3, галогениды бора), которые служат источником бора. Индий (In) и сплавы на его основе служат основными материалами для чолучення р — «-переходов на германии электронного типа проводимости н не- выпрямляющих контактов на германии дырочного типа проводимости. С крем- нием сплава на основе индия не образуется. Донорные элементы. Сурьма (Sb) используется для легирования полупроводниковых материалов, а также в качестве легирующей добавки к электродным сплавам для кремния и германия. В твердом германии сурьма 13
Рис. 1.4, Зависимость растворимости примесных элементов от температуры в германии (а) и кремнии (6) Рис. 1.5. Зависимость максимальной растворимости элементов Хмпх от коэффициента К в твердых германии (о) и кремнии (•) 14
из втззтзкпзтзтз т,к Рис. 1.6. Температурная зави- симость отношения глубины вплавления х алюминия в кремний к толщине алюми- ния t Рис. 1.7. Температурные зави- симости ширины рекристалли- зованной области Zip растворима до 1,2-1018 см-3, в твердом кремнии — до 5-Ю19 см 3. Легирование осуществляют чистой сурьмой либо ее сплавом. Со многими металлами сурьма образует сплавы, однако с увеличением ее содержания хрупкость сплава резко возрастает. Прн иагреве до температуры плавления сурьма окисляется слабо, при плав- ке в открытой атмосфере образуется летучая окись, появление которой можно предотвратить, применив защитную атмосферу. Заметная летучесть сурьмы на- блюдается при ее нагреве до 923...973 К в вакууме. Фосфор (Р), соединяясь с германием и кремнием, может образовывать их фосфиды GeP и SiP. Фосфор и его соединения используют для легирования кремния при получении слитков н создания р — «-переходов. Из-за высокой ле- тучести фосфор не вводят непосредственно в расплавы полупроводников, а до- бавляют в виде сплавов или лнгатур. Фосфор имеет несколько аллотропических видов, из которых применяют белый (кристаллы) и красный (порошок) фосфор. Белый фосфор хранят под водой и по возможности в темноте. В отличие от красного белый фосфор ядовит. Белый фосфор легче вступает в реакции с различными элементами, при низких температурах медленно окисляется на воз- духе и воспламеняется при температуре 313 К- Красный фосфор на воздухе почти не окисляется и воспламеняется лишь при температуре 513 К- Наиболее характерный окисел фосфора — фосфорный ангидрид Р2О5 энер- гично поглощает влагу, применяют его в качестве осушителя газов. Взаимодей- ствие фосфорного ангидрида с водой приводит к образованию метафосфорной кислоты HPOs, пирофосфорной кислоты Н4Р2О7 и ортофосфорной (фосфорной) КИСЛОТЫ Н3РО4. Красный фосфор возгоняется без плавления при температуре около 693 К. Понижение температуры во время процесса синтеза ниже 553 К приводит к появлению жидкого белого фосфора, который имеет более высокую упругость паров и в красную исходную модификацию переходит очень медленно. Преры- вание начатых процессов плавки крайне нежелательно. Если произошло охлаж- дение ампулы, содержащей свободный фосфор, последующий нагрев необходимо производить очень медленно для предотвращения взрыва. Мышьяк (As) — элемент с преобладанием неметаллических свойств, при нагревании на воздухе образует трехокись мышьяка As2O3. При нагревании вы- ше 883 К при давлении 1 МПа мышьяк возгоняется не плавясь. При быстрой конденсации на поверхности, охлаждаемой жидким воздухом, пары образуют Желтый мышьяк — мягкие, как воск, кристаллы, которые легко окисляются на воздухе, а под действием света и нагрева переходят в серый мышьяк. 15
Элементарный мышьяк не ядовит, однако многие его соединения, особенно трехвалеитного мышьяка, являются сильнейшими ядами. При проведении терми- ческих процессов необходимо обеспечить надежную защиту от окислившегося мышьяка. Висмут (Bi) является донором со слабой растворимостью. Добавка его в электродные сплавы улучшает их смачиваемость. Висмут обладает хрупкостью и обработке давлением не поддается. Для него характерна низкая окисляемость даже при повышенных температурах, что позволяет проводить его плавку с другими элементами на воздухе. Материалы для электродных сплавов. Олово (Sn)—основной составной материал большинства мягких (низкотемпературных) припоев, электродных сплавов в качестве носителя донорных элементов. С германием олово легко сплавляется. Олово с добавлением сурьмы служит стандартным электродным материалом для получения невыпрямляющих контактов на электронном герма- нии. Является практически единственным носителем фосфора. Свинец (РЬ) используют как составную часть различных припоев: ПОС-40 (60% свинца, 40% олова), ПОС-60 (60% олова, 40% свинца) и др. С кремнием свинец не образует сплавов. Прн нагревании на воздухе и прн длительном нагреве выше температуры плавления свинец полностью окисляется. Промыш- ленные сорта свинца содержат от 0,008 до 0,5% примесей. Примеси в свинце увеличивают его твердость и снижают пластичность. Золото (Au) — основной компонент многих электродных сплавов, особенно для кремния. Золото с добавкой сурьмы применяют для получения невыпрям- ляющих контактов к кремнию электронного типа проводимости. Легко паяется мягкими припоями и хорошо сплавляется с кремнием и германием. Серебро (Ag) используют как основную часть электродных сплавов для германия и кремния, а также как основу большой группы твердых (высокотем- пературных) припоев для пайки металлов, так называемых серебряных припоев. Окись серебра Ag2O разлагается при нагревании выше 723 К, поэтому серебро можно плавить в открытой атмосфере без опасности окисления. Сплавы для р—«-переходов. Состоят из основы, определяющей геометри- ческие параметры р — «-перехода, активных компонентов, изменяющих электро- физические свойства рекристаллизованного слоя, и легирующих добавок, которые создают р — «-переход. Сплавы для германия. Внх основу входят олово, индий и свинец. Для температур вплавлення с 573 до 723 К основой является олово, с 723 до 873 К —индий, а с 973 до 1023 К — свинец. Часто используют сплавы РЬ—1п, РЬ — Sn, РЬ — In — Sn, обеспечивающие требуемую температуру вплавления. Для улучшения смачивания германия н равномерного вплавлення в электродные сплавы на основе индня вводят цннк (1...3%) нли благородные металлы — зо- лото (1...3%), серебро (0,1...1%). Требуемый тип проводимости электродного сплава задают введением в ос- нову сплава донорных или акцепторных элементов. Акцепторные элементы вво- дятся в электродный сплав прн создании р — «-перехода на германии п-тнпа проводимости. Если в основе электродного сплава лежит индий, он сам является акцепторной примесью с максимальной растворимостью в германии 1010 см-3. При создании коллекторных р — « переходов на германии используют сплавы In — Zn (до 1% Zn), In — Au (до 3% Au) и РЬ — In (20...50% In). В качестве эмиттерных сплавов применяют In — Ga (0,5% Ga), In — Au—Ga (1% Au, 0,5% Ga), Pb —Ag —Ga (30% Ag, 1% Ga), Pb—In —Ga (30% In, 1% Ga). Донорные элементы входят в электродный сплав в случае получения р — «-перехода на германии p-типа проводимости. В качестве донорных эле- ментов используют сурьму илн мышьяк, которые легко вводятся в основу элект- родного сплава или свинца. Распространение получили электродные сплавы, легированные сурьмой РЬ — Sb (1...3% Sb), Sn —Pb — Sb (2% Sb), а также мышьяком Pb — As (до 3% As) н Sn — As (до 4% As). Сплавы для кремния. Для создания р — «переходов на кремнии «-типа проводимости применяют алюминий, в который вводят акцепторные прн- месн — бор или галлнй. Для создания р — «-переходов в кремнии р-тнпа про- водимости в качестве основы электродных сплавов используют золото н олово Гб
и сплав золото — серебро. Легирующими донорными элементами являются фос- фор, мышьяк и сурьма. Основой сплавов может быть свинец с добавками ни- келя (1,..3%) и серебра (до 15%). Существует несколько разновидностей таких сплавов: РЬ — Ag — Sb (15% Ag, 1% Sb), Pb — Ni— In (5% In, 3% Ni) и др. Сплавы для соединений типа AUIBV. Для соединений типа AlnBv элементы II группы периодической системы являются акцепторами, а элементы VI группы — донорами. На материалах p-типа проводимости для об- разования р — «-переходов применяют сплавы свинца и олова с добавками тел- лура и селена, а на материалах n-типа проводимости — сплавы цинка и кадмия. Сплавы для омических контактов. Наиболее часто омические контакты име- ют структуру л — л+- илн р— р+-типа, где л- и p-области соответствуют исход- ным полупроводниковым материалам, а л+- и р'-области образованы дополни- тельным легированием исходных материалов вплавлением в них соответствую- щих электродных сплавов для омических контактов. Для создания структур л — л+-типа в сплавы добавляют донорные, а для структур р — р+-типа — ак- цепторные элементы. Для получения омических контактов к полупроводниковым приборам используют чистые металлы и сплавы. Температуру плавления спла- вов для омических контактов выбирают несколько ниже температуры плавле- ния сплавов для получения р—п-переходов. Сплавы для омических контактов с германием. Для оми- ческих контактов с германием p-типа применяют сплавы РЬ — In с содержанием индия 10...60%. Сплавы с более низким содержанием индия применяют для высокоомного германия. Для германия /г-типа используют сплавы РЬ — Sn (3,..5% Sb) и РЬ—As (0,5...3% As). Прн температурах вплавлення порядка 573 К используют сплавы на основе олова (например, Sn — Bi, Sn — Pb) с ле- гирующими присадками сурьмы и мышьяка (для германия n-типа проводимости) и индия (для германия p-типа проводимости). При температурах вплавлення 773..963 К используют сплавы Си—-Ag — Sb (27% Ag, 3% Sb). Сплавы для омических контактов с кремнием. Для омиче- ских контактов на кремнии n-тнпа проводимости используют сплавы Au — Sb (до 1% Sb), а на кремнии p-типа проводимости сплавы Au — Ga (до 1% Ga). Иногда для частичной замены золота в них добавляют серебро и применяют, например, сплав Ag —Au — Ga (30...70% Ag, до 20...60% Au, до 10% Ga). Для омических контактов на кремнии применяют также сплавы на основе свинца. На кремннн р-тнпа проводимости используют сплавы Pb —Ag (15% Ag) н Pb—Ag — Ga (3% Ag, 1% Ga), а на кремнии n-тнпа проводимости—сплав Pb — Ag — Sb (15% Ag, 1% Sb). Вместо серебра в этн сплавы можно вводить никель: для кремния n-тнпа проводимости применяют сплавы РЬ — Ni — Sb (3% Ni, 1% Sb) н Pb — Ni — As (3% Ni, 1% As), а для кремния p-типа про- водимости— сплав Pb — In—Ni (3% Ni, 5% In). 1.2. Методы направленной кристаллизации из расплавов Кристаллизация расплава, при которой тепло отводится от фронта кристал- лизации преимущественно в одном направлении, называется направленной. Си- стема с одной фазовой границей — фронтом кристаллизации — носит название неконсервативной направленной крнсталлнзацнн (в дальнейшем просто направ- ленной кристаллизации). Консервативная направленная кристаллизация — зон- ная плавка илн зонная перекристаллизация — характеризуется наличием в си- стеме двух фазовых границ: фронта плавления и фронта кристаллизации (рис. 1.8). Кристаллизация чистого расплава. Для поддержания фронта кристаллиза- ции на расстоянии х от начала растущего кристалла (рис. 1.9) необходимо равенство тепловых потоков в кристалле и его расплаве (кривая / на рис. 1.9, а). Это соответствует равенству температуры на фронте кристаллизации T$.K тем- пературе плавления Тп„ кристаллизуемого вещества. Для перемещения фронта кристаллизации необходимо, чтобы кристаллические зародыши росли, что реа- лизуется при наличии переохлаждения ДГ в жидкой фазе (кривая 2 иа рис. 1.9, а). Наклон кривой температурного градиента в жидкости определяет величину переохлажденного слоя Дх. 2 Заказ № 1270
а/ Растущий кристалл или перекристаллизованный материал Твердый подпитывающий материал Жидкий подпитывающий материал Рабочий расплав Vp Рис. 1.8. Методы направленной кристаллизации расплава: а—д— консервативные (А=0, up=const); е—о — некоисервативные (А=#0, ир=#const); а — ординарная зонная плавка (вертикальный вариант не показан); б — гарннсажный вариант метода Чохральского; в — метод вытягивания с пьедестала; г — метод плавающего тигля; д — метод подпитки кристаллизуемого расплава твердой фазой (по Д. А. Петрову); е — направленная кристаллизация (вертикальный вариант не показан); ж—метод Чохраль- ского; з — метод подпитки кристаллического расплава твердой фазой (А<1); и — то же, что и позиция з (А<0); к — метод двойного тигля (А<1); л—зонная плавка с увеличи- вающимся объемом расплава в зоне (штриховой линией показана конечная длина рас- плавленной зоны, А<0); м — то же, что и позиция л, с уменьшающимся объемом рас- плава (А<1); н — зонная плавка слитка переменного сечения (А<0); о — то же, что и позиция н (А<1); А — параметр подпитки Рис. 1.9. Влияние распределения температуры в расплаве кристаллизации при положительном (а) и отрицательном пературы в расплаве: Л 2 — при неподвижном и движущемся фронтах кристаллизации; щаяся в процессе кристаллизации на характер фронта (б) градиентах тем- Q — теплота, выдел я ю- 1»
Рис. 1.10. Участки диаграммы состояния системы чистое вещество А — при- месь В при малой концентрации примеси: а-—В понижает точку плавления А б— В повышает точку плавления А (Д.>1), Ж — жидкая фаза; Тв — твердая фаза Возможен рост кристалла из расплава при отводе тепла в его переохлаж- денную область. Градиент температуры при этом отрицателен (рис. 1.9, б). Если же на поверхности фронта кристаллизации образуется небольшой выступ то его вершина попадает в переохлажденную область и скорость роста вершины возрастает По мере продвижения в глубь расплава выступ будет превращаться в иглу, первичная ветвь которой служит основой для возникновения следующих ветвей. Образующаяся таким образом структура называется дендритной. Кристаллизация расплава, содержащего примесь. Добавление примеси к чи- стому веществу повышает илн понижает его температуру плавления. Зависи- мость температуры плавления вещества от концентрации прнмеси приведена иа рис. 1.10, где /—4 (рис. 1.10, а)—линия ликвидуса, а 2—3 — линия солидуса системы чистое вещество А — примесь В. В общем случае линии ликвидуса и солидуса криволинейны. Однако в области малых концентраций (массовая доля примеси менее 1-10“3%) онн линейны. При описании лнннй лнквндуса и солидуса прямыми соотношение концен- траций примеси в твердой (Nx) и жидкой (Сх) фазах при любых температурах будет постоянной величиной, которая называется равновесным коэффициентом распределения (сегрегации) k0: k0=Nx/Cx. (1.1) Если введение в чистое вещество А примеси В понижает его температуру плавления, то значения £0<1 (рис. 1.10,а). Возможен и обратный случай — *о>1 (рис. 1.10,6). При йс<1 выпадающая твердая фаза содержит меньше прнмеси, чем ис- ходный расплав, н избыток примеси накапливается перед движущимся фронтом кристаллизации, создавая диффузионный слой, из которого примесь переходит в объем расплава. Толщина диффузионного слоя 6 зависит от интенсивности перемешивания расплава, его вязкости и коэффициента диффузии D примеси в расплаве В ре- зультате реальный эффективный коэффициент распределения при конечной ско- рости движения фронта кристаллизации f отличается от равновесного kB (при f»0) (рис. 1.11). Связь между эффективным k и равновесным k0 коэффициентами распреде- ления примеси выражается уравнением Бартона — Прима — Слихтера &о4- (1—&о)ехр(—fB/Dw) где f — скорость кристаллизации, см/с; 6 — толщина диффузионного слоя, см; DM — коэффициент диффузии примесн в расплаве, см2/с. 2* 19
Рис. 1.11. Распределение примеси перед фронтом кристаллизации, движущимся с пренебрежительно малой (с) и конечной (б) скоростями, в результате чего перед фронтом кристаллизации возникает пе- реохлажденная область (е), ограниченная линиями ликвидуса и градиентом температуры Т в расплаве (7’1>7'2>Гз) Эффективный коэффициент распределения учиты- вает взаимодействие расплава с внешней средой. Оценкой такого взаимодействия является коэффици- ент взаимодействия а (см/с), характеризующий из- менение концентрации примеси в расплаве за еди- ницу времени с единицы поверхности расплава при единичной разности (между равновесной и текущей) концентрацией. Время насыщения т расплава примесью задан- ной концентрации определяется уравнением _ 2,3Vlg(Cp-C)/(Cp-C0) Т aF ' ( ' где V — объем расплава, см3; Со, С — начальная и текущая концентрации примеси в расплаве, см-3; Ср — равновесная концентрация примеси в распла- ве, см-8; F — поверхность расплава, см2. С учетом коэффициента взаимодействия а изме- нение концентрации примеси в расплаве в ходе кристаллизационного процесса выражается единым коэффициентом К K.=k+kn, (1.4) носящим название обобщенного коэффициента распределения и являющимся суммой двух коэффициентов: k — эффективного коэффициента распределения примеси между кристаллом и расплавом (1.1) и kn — эффективного коэффици- ента распределения примеси между расплавом и паровой фазой, определяемо- го как *п=(а//)р, (1.5) где а — коэффициент взаимодействия, см/с; f — скорость кристаллизации рас- плава, см/с; у — геометрический фактор, выражающий соотношение поверхности кристаллизуемого расплава и сечения выращиваемого кристалла. Каждый из этих коэффициентов изменяется в пределах: при k<l при k>\ k0>k^K; при [=0 0</гп^оо. Для нелетучей примеси kn=0 и тогда K=k. Основными методами неконсервативной направленной кристаллизации яв- ляются методы, приведенные на рис. 1.8 и 1.12. Вертикальный метод Бриджмена. Метод Бриджмена аналогичен методу Стокбаргера, однако в отличие от последнего в нем применяются контейнеры специальной формы (метод иногда называют методом Бриджмена — Стокбарге- ра). Полупроводниковый материал (соединение) помещают в кварцевую или стеклянную запаянную ампулу (тигель), нижний конец которой чаще всего оканчивается сужением — капилляром. Подвешенную ампулу (рис. 1.13, а) соединяют с механизмом, который обеспечивает медленное и равномерное ее опускание в трубчатой печи. Вдоль печи, на одном из ее концов, должен су- ществовать градиент температур. С этой целью часто используют охлажденную диафрагму. Вначале расплавляют весь исходный материал, затем медленно опускают ампулу. Вещество, находящееся в капилляре, кристаллизуется в первую очередь. Поскольку объем капилляра невелик, в ием зарождается небольшое число кри- сталлических зародышей. Один из них получает наиболее эффективные условия ориентации роста и превращается в центр кристаллизации, приглушая рост ос- 20
Рис. 1.12. Схемы неконсервативных (а—г) и консервативных (д—ж) процессов направленной кристаллизации расплавов: а, г—вертикальный и горизонтальный методы Бриджмена; б — обычный метод Чохраль- ского (из простого тигля); в — метод жидкостной герметизации Чохральского; д — метод зонной плавки или перекристаллизации, вертикальный, бестигельный; е — то же, что и позиция д, горизонтальный, контейнерный; ж — гарниссажный метод Чохральского (с электронно-лучевым нагревом); / — нагреватель (резистивный, высокочастотный, элек- тронно-лучевой); 2— контейнер (тигель, ампула, лодочка); 3 — расплав; 4 — кристалл; 5 — исходная загрузка; 6 — герметизирующая жидкость (флюс); Уо — объем закристалли- зованной части расплава; V — объем расплава Рис. 1.13. Вариация формы сосудов и ее влияние на кристаллизацию при вы- ращивании монокристаллов методом Бриджмена тальных зародышей. Различные формулы ампул, которые применяются при выра- щивании монокристаллов данным методом, приведены на рис. 1.13, а — е. Скорость рекристаллизации в данном методе равна скорости движения тигля или нагревателя. Горизонтальный метод Бриджмена (рис. 1.12,г). Используется в основном для синтеза поликристаллических соединений (в том числе сложных), служащих исходным материалом для выращивания другими методами. На примере GaAs метод осуществляют в вакуумированных и запаянных кварцевых ампулах, в одном конце которых помещают кварцевую лодочку с мышьяком, а в другом — 2)
T 1 2 3 it 5 6 7 8 3 tO 0) 1523.1533К / - " "* \ 1323.3493К МЗ..Л96К Рис. 1.14. Схема установки для синтеза и выращивания высокочистых моно- кристаллов арсенида галлия горизонтальным методом Бриджмена (с) и рас- пределение температуры по длине установки перед началом кристаллизации расплава (б): 1 — многосекционный печной блок; 2 — кварцевая труба; 3 — запаянная кварцевая ампу- ла; 4 — кварцевая лодочка; 5— смотровое окно; 6— монокристаллическая затравка; 7— кварцевая перегородка с капиллярной трубкой (диффузионный барьер); 8 — источник Ga2O (смесь Ga + GajOg); 9— кварцевая лодочка с мышьяком: 10 — термопара с галлием (рис. 1.14). Для получения GaAs стехиометрического состава давле- ние паров мышьяка в ампуле поддерживают с точностью ± (0,1...0,2) К. Абсо- лютное значение температуры конденсированной фазы составляет 883.898 К. Для снижения вибрации расплава движение фронта кристаллизации осущест- вляется путем перемещения печного блока относительно неподвижной ампулы. Метод обеспечивает создание монокристаллов с плотностью дислокаций около 5 103 см-2. Метод Чохральского. В расплавленное нагревателем 1 вещество 3, которое находится в тигле 2 и имеет температуру, близкую к температуре плавления, опускают монокристаллическую затравку 4 того же состава, что и расплав (рис. 1.12,6). Далее приводят в действие подъемный механизм затравки, прн этом затравка смачивается расплавом и увлекает его вверх, вследстие чего расплав на затравке нарастает в виде кристаллической фазы. Метод обеспечи- вает получение полупроводниковых материалов в форме совершенных моно- кристаллов с определенной кристаллографической ориентацией и с минимальным числом дефектов, малоугловых границ, двойников и т. д. Возникновение струк- турных дефектов происходит на различных стадиях роста, основными из кото- рых являются следующие. Затравливание. Для незначительного количества дислокаций в вы- ращиваемом монокристалле необходимо минимальное содержание их в затравке. Для этого поверхностные повреждения удаляют полированием и химическим травлением. Сечение затравки должно быть минимальным. В момент контакта холодной затравки с поверхностью расплава в ней происходит размножение дислокаций. Поэтому затравку перед погружением в расплав постепенно про- гревают над ним до высоких температур. После погружения конца затравки в расплав и оплавления ее приступают к операции выращивания «шейки»—тонкого и длинного монокристалла. Диаметр «шейки» не должен превышать линейного размера поперечного сечения затравки, а длина «шейки»— нескольких ее диаметров. Выращивание «шейки» проводят с большой линейной скоростью для вытеснения дислокаций вблизи фронта кристаллизации. Выход на диаметр. Выход монокристалла на диаметр необходим с малым углом разращивания, что снижает термические напряжения, а тем са- мым и плотность дислокаций. При величине угла разращивания монокристалла кремния 60® плотность дислокаций в нем составляет около 106 см~2 а при 10°-103 см-2 Рост цилиндрической части монокристалла. На этой ста- дии роста основными являются тепловые условия процесса. Радиальный градиент температуры (Gr, град/см) определяется разностью температур в сечении кристалла на его поверхности Тв и в центре Ти: Сг=ЛТг/&г=(Тц-Та)/т, (1.6) где г — радиус кристалла. 22
Осевой градиент температуры в кристалле (Gx, град/см) определяется раз- ностью температур по его длине; Сх=ДГх/*=(Г1-Г!!)/*. <17) где х — расстояние по длине кристалла, см. Радиальный градиент температуры зависит в основном от тепловых потерь с поверхности кристалла, его экранировки. Вследствие неравномерного распределения температуры по длине и попереч- ному сечеиию монокристалла его охлаждение протекает неравномерно. В ре- зультате термических напряжений возникают дислокации. Под действием на- пряжений и градиентов температуры дислокации перемещаются по плоскостям скольжения. Такими плоскостями в решетках алмаза или сфалерита являются (111). При увеличении угла между направлением роста кристалла и плоскостя- ми (Ш) возникает вероятность удаления дислокаций. Для их выхода иа по- верхность монокристалла необходимы большие осевые градиенты температуры и максимально допустимые скорости кристаллизации. Ряды дислокаций, распо- ложенные по границам отдельных блоков монокристалла, взаимно разориенти рованных на угол менее 10° (малоугловые границы), характерны для полупро- водников более чем для 50% всех монокристаллов. Выращивание монокристаллов в направлениях, отличных от направления [111], приводит к возникновению двойников (рис. 1.15). Причинами их образо- вания являются термические напряжения, внезапные изменения диаметра моио кристалла и температуры расплава, попадание на фронт кристаллизации по- сторонних включений. Наиболее склонным к двойникованию направлением роста является [100]. Создание «обратного конуса». Перед отрывом выращенного монокристалла от расплава диаметр его плавно уменьшают, создавая обратный коиус для предотвращения теплового удара, вызывающего размножение дисло- каций при отрыве от поверхности расплава в тигле. С целью уменьшения термических напряжений монокристалл после отрыва от расплава поднимают иад ним на небольшое расстояние и медленно понижают температуру нагревателя. Установки для выращивания монокристаллов методом Чохральского. По характеру атмосферы в рабочей камере установки для осуществления метода Чохральского разделяют на вакуумные и газовые. Газовые обычно рас- считаны на работу при нормальном (до 0,7-105 Па) давлении, однако для по- лучения разлагающихся полупроводников применяют установки с высоким (Ю7 Па и более) давлением инертного газа. В установках полунепрерывного выращивания производится непрерывная или периодическая загрузка материала без охлаждения печи (рис. 1.16). Уста- новки для получения кристаллов методом Чохральского состоят из трех основ- ных блоков: питания (силовой блок), пульта управления и печного агрегата В комплект установки могут входить устройства для очистки газа и воды и ее термостатирования. Оптимизацию процесса выращивания монокристалла осуществляют микро- процессорами, входящими в систему автоматического управления установкой. Рис. 1.15. Схемы расположения двойников в монокристаллах кремния, выра- щенных в направлении (111), вызванных попаданием постороннего включения на грань (а) и иа поверхность между гранями (б), изменением диаметра (в) и температуры расплава (г) 23
Рис. 1.16 Схемы способов выращивания с непрерывной подпиткой из второго тигля за счет создания разности уровней расплава в тиглях (а) и расплавле- ния питающего поликристалла (б) Их задачей является согласование температурных и скоростных параметров процесса роста монокристалла для обеспечения постоянства его диаметра. Сигнал об изменении диаметра растущего монокристалла поступает в си- стему автоматического управления установкой от системы автоматического ре- гулирования диаметра (САРД). Она может работать либо по оптическому прин- ципу, либо по весовому (рис. 1.17). Первый, используемый при выращивании монокристалла из открытого расплава, основан на изменении направления из- лучения от мениска столбика расплава. При изменении диаметра растущего монокристалла луч света от мениска отклоняется, что фиксируется чувствитель- ным фотоэлектрическим датчиком (рис. 1.17,а). Второй принцип применяют, когда поверхность расплава покрыта слоем флюса. В нем растущий монокри- сталл непрерывно взвешивают с помощью чувствительных тензодатчиков, соеди- ненных с затравкодержателем (рис. 1.17,6). В другом варианте такой САРД вместо взвешивания растущего монокристалла взвешивают тигель с остатком расплава. Применяемые САРД обеспечивают стабилизацию диаметра моно- кристалла длиной до 2000 мм с точностью ±(1...1,5) мм в зависимости от диа- метра. Вакуумно-газовая система создает в рабочей камере печного агрегата уста- новки требуемые вакуум или давление инертного газа либо проток его с задан- ной скоростью. Последнее особенно важно для современной технологии кремния, в которой монокристалл выращивают при пониженном остаточном давлении проточного инертного газа. Это обеспечивает удаление из зоны кристаллизации 61 Рис. 1.17. Схемы системы ав- томатического регулирования диаметра монокристалла полу- проводника, выращиваемого методом Чохральского из рас- плава, имеющего открытую (с) и закрытую флюсом (6) поверхности: 1 — тигель с расплавом; 2— теп- ловой узел; 3 — флюс; 4 — рабочая камера установки; 5 — растущий монокристалл; 6 — затравкодержа- тель; 7 — шток; 8 — гибкая под- веска; 9 — весоизмерительная го- ловка с динамометрическим дат- чиком; 10 — оптическая головка с фотоэлектрическим датчиком;// — направление луча света от мени- ска столбика расплава 24
ксида кремния, попадание которого в расплав мешает росту монокристалла ° ухудшает его структуру. Основная технологическая часть установки — печной агрегат. Он имеет ра- на которой смонтированы водоохлаждаемая камера, содержащая тепловой ^ел, а также приводы подъема и вращения затравкодержателя с растущим Монокристаллом и тигель с расплавом (рис. 1.18). Водоохлаждаемые рабочие камеры установок для выращивания монокри- сталлов полупроводников обычно имеют цилиндрическую форму. У мощных установок для выращивания монокристаллов кремния основную рабочую ка- меру дополняют шлюзовой камерой 6. С ее помощью, не выключая нагрева тигля, можно извлекать из рабочей камеры выращенный монокристалл и про- изводить догрузку в тигель новых порций исходного поликристаллического кремния без нарушения герметичности пространства камеры и охлаждения тигля с* остатком расплава. Однако шлюзовое устройство позволяет загружать в ти- гель материал только в форме стержней. позволяет загружать в ти- Для выращивания монокристаллов крем- ния из небольшой массы расплава, подпиты- ваемого жидким кремнием, используют конст- рукцию, представленную иа рис. 1.19 В ее состав входят отдельные камеры: рабочая, в которой выращивают монокристалл, и пла- вильная, в которой приготовляют подпиты- вающий расплав, подаваемый в рабочий ти- гель сифоном, представляющим кварцевую трубу, обогреваемую по всей длине электро- нагревателем с удельной мощностью 20 Вт/см. Помимо САРД, работающей по оптиче- скому принципу, рабочая камера снабжена лазерной системой контроля уровня расплава. Излучаемый гелий-неоновым лазером луч све- та отражается от поверхности расплава и че- рез снабженную светофильтром оптическую систему поступает к детектору. Сигнал от не- го подается к микропроцессору, по командам которого приводятся в действие автоматиче- ские вентили, регулирующие давление инерт- ного газа в плавильной камере, под действи- ем которого подпитывающий расплав кремния передавливается в рабочий тигель. Объемная скорость подачи подпитывающего расплава при выращивании монокристаллов диаметром 125... 150 мм составляет 0,2...0,3 см8/с, а точ- ность поддерживания уровня расплава в ра- бочем тигле ±0,5 мм. Установка полунепрерывного действия (рис. 1.19) позволяет выращивать из рабоче- го тигля диаметром 400 мм, содержащего 8 кг Рис. 1.18. Печной агрегат установки для вы- ращивания монокристаллов кремния методом Чохральского: / — механизм вращения монокристалла; 2 — меха- низм подъема монокристалла; 3 — гибкая подвеска; 4 — шток; 5 — затравкодержатель; 6 — шлюзовая камера; 7— вакуумный шибер; 8— смотровое окно; 9 — верхняя подвижная часть рабочей камеры; 10— зажим; 11 — нижняя неподвижная часть рабочей камеры; 12 — графитовая подставка кварцевого тиг- ля с расплавом кремния; 13 — графитовый рези- стивный нагреватель; 14— экранировка теплового узла; 15 — токоподвод; 16 — поддон; 17 — рама ус- тановки; 18 — привод вращения и подъема тигля 25
Рис. 1.19. Схема установки полунепрерывного действия для выращивания крупных моно- кристаллов кремния из рас- плава небольшой массы: 1 — шток подъема и вращения рас- тущего монокристалла 5; 2 — ге- лий-неоновый лазер: 3 — оптиче- ская головка САРД; 4 — камера ростовой части установки; 6 — кварцевый экран; 7 — расплав; 8 — подставка тигля; 9 — нагреватель; 10 — шток вращения графитовой подставки с кварцевым тиглем; 11 — детектор лазерной системы стабилизации уровня расплава; 12 — автоматический клапан для подачи газа в камеру правой части установки 14 или стравли- вания газа из нее; 13 — обогрева- емый сифон Рис. 1.20. Схемы наиболее распространенных тепловых узлов с резисторным нагревом, применяемых в установках для выращивания монокристаллов полу- проводников методом Чохральского: а и в—пассивные (полузакрытая и закрытая); б — активная; г — открытая, подвижная; 1 — верхний боковой экран; 2 — нагреватель кристалла; 4 — графитовая подставка с квар- цевым тиглем, содержащим расплав; 5 — нагреватель тигля; 6 — боковые экраны; 7 — потолочный экран; 8 — вращающийся боковой экран; 9 — донные экраны расплава, монокристалл кремния диаметром до 150 мм и массой более 100 кг. Рост монокристалла с подпиткой обеспечивает практически линейное распреде- ление удельного сопротивления по длине монокристалла. Малый объем рабочего расплава способствует также большей однородности удельного сопротивления в поперечном сечении монокристалла. Вследствие уменьшения площади контакта расплава с кварцем тигля содержание кислорода в монокристаллах кремния, выращенных из малого объема расплава, почти вдвое меньше, чем выращен- ных из большого. Тепловой узел, размещенный в камере печного блока, состоит из подстав- ки, тигля, нагревателя и системы экранов (рис. 1.20). Подставку и нагреватель изготовляют из графита плотных марок (МПГ-6, МПГ-8 и др.). Материал экранов зависит от характера атмосферы теплового 26
1.21. Формы изотерм (штрихо- Ри£ линии) и потоков тепла (стрел- вЫ^ в расплаве при использовании Рокового («) и дойно-бокового (б) способов подвода тепла к расплаву. _ крИсталл; 2 — тигель; 8 расплав; 4 — нагреватель полированных у3па. В вакууме экраны изготовляют из листов жаропрочного Металла (например, молибдена), в газовой среде — из графита или непрозрач- ного (спеченного) кварца. Толщина дна и стенок подставки существенно влияет на градиенты температуры в расплаве и монокристалле. Нагреватели обеспечивают боковой (рис. 121, а) и донно-боковой (рис 1-21,6) подводы тепла к расплаву в тигле. В первом случае создается плавный радиальный и резкий осевой градиент температуры по расплаву, во втором реализуется обратная задача. Существующие системы экранировки тигля с расплавом можно разделить на закрытые (рис. 1.20, в) с малыми осевыми и радиальными градиентами темпера- туры и открытые (рис. 1.20, г). Влияние градиентов температуры в расплаве на диа- метр растущего монокристалла. Область переохлажденного распла- ва возникает в центре находящегося в тигле расплава. Оптимальным соотноше- нием внутреннего диаметра тигля D и высоты расплава Н в нем является Н/Д~0,5..Л. Для кремния и германия оптимальное отношение диаметра тиг- ля D к диаметру выращиваемого из него монокристалла d 2,5 ...3,5. Форма дна тигля также влияет на характер градиентов температуры в расплаве. В тиглях с плоским дном конвекпия в расплаве по всему объему несовершенная, поэтому используют тигли со сферическим дном. Размер переохлажденной области в расплаве (рис. 1 22) определяет диаметр растущего монокристалла. Если в процессе роста градиенты температуры по расплаву сохраняются постоянными, то диаметр растущего монокристалла по- стоянен. При изменении градиентов температуры в расплаве изменяются высота и форма мениска столбика расплава под кристаллом (рис. 1.23). Высота столбика (обычно 3. .5 мм) прямо пропорциональна поверхностному натяжению расплава и обратно пропорциональна его плотности и радиусу мо- Рис. 1.22. Влияние осевого г0 и ра- диального г,, градиентов температу- ры в расплаве на размеры переох- лажденной области в расплаве (штриховые линии), определяющей оптимальный размер растущего мо- нокристалла- 1'Ооппи°₽ДИВа1а высоты расплава; 7? — Т~5„,ната Радиуса расплава в тигле; и глтлПе₽аТура Расплава; г, h — радиус уоина переохлажденной области в расплаве соответственно Рис. 1.23. Влияние изменения темпе- ратуры расплава Т, скоростей кри- сталлизации и вращения кристалла, выращиваемого методом Чохраль- ского, на изменение его диаметра: а) 71, fl «I, До*. D|—const б) 72>Г1, h>fu a-jOiii, V>hc'. 7>2<fi, в) 78<7,. /,</., <0з><1>1, Нц3>h^1, D$>Di 27
Рис. 1.24. Расположение кристаллических плоскостей (111) в цилиндрическом монокристалле полупровод- ника (а), имеющем алма- зоподобную структуру, и их влияние на огранку мо- нокристалла псевдограня- ми; поперечное сечение монокристалла (б) нокристалла. Изменение высоты и формы мениска столбика расплава под кристаллом влияет на диаметр растущего монокристалла. Размер диаметра монокристалла сильно зависит от изменения числа обо- ротов тигля с расплавом. Увеличение их приводит к уменьшению размеров переохлажденной области в расплаве (рис. 1.23) и уменьшению диаметра мо- нокристалла. Рассмотрим особенности морфологии поверхности монокристалла с алмазо- подобной структурой, выращиваемого из расплава в наиболее распространен- ном кристаллографическом направлении [111] (рис. 1.24, а). При росте цилинд- рической части монокристалла с расплавом контактируют базовая грань ADF и три сходящиеся к направлению роста боковые грани CDF, EDA и BFA. Вы- ходы боковых граней на цилиндрическую поверхность монокристалла образуют на ней три плоские псевдограни, расположенные под углом 120° друг к другу, т. е. в форме равностороннего треугольника (рис. 1.24,6). У монокристаллов, выращенных в кристаллографическом направлении [100], такие пссвдограни располагаются в форме квадрата, а в направлении [ПО]—в форме ромба (рис. 124,6). Эти фигуры являются проекциями октаэдра, размещенного соот- ветствующим образом к кристаллографическому направлению роста монокри- сталла, на плоскость его роста. Пересечение растущих граней (111) октаэдра образует на цилиндрической поверхности монокристалла так называемые псевдоребра. Они имеют форму небольших выступов, проходящих параллельно осн роста монокристалла и рас- положенных между псевдогранями. Число и расположение псевдоребер соот- ветствуют расположению углов фигуры, являющейся проекцией октаэдра на плоскость роста монокристалла,— треугольника, квадрата или ромба (рис. 1.24,6). На практике форма монокристалла определяется двумя противоположными процессами: одпн из них — развитие плотноупакованных граней (111)—стремит- ся придать растущему монокристаллу равновесную форму октаэдра, другой — действие сил поверхностного натяжения расплава — способствует получению круглой формы у растущего монокристалла. В результате монокристаллы по- лупроводников, расплавы которых обладают большим поверхностным натяже- нием, например кремния, имеют форму правильного цилиндра с едва заметными псевдоребрами. Оособенно резко это проявляется при увеличении диаметра мо- нокристалла. Монокристаллы полупроводников, обладающих малым поверхност- ным натяжением расплава, например германия, хорошо ограняются псевдогра- нями, особенно при небольшом диаметре растущего монокристалла. Стремление монокристалла к огранке возрастает не только с уменьшением 28
диаметра, когда силы поверхностного натяжения малы, но и при малых еГ<пиальных градиентах температуры в расплаве. Этому способствует также ₽ личение скорости вращения монокристалла. Оно уменьшает радиальный УвелПент температуры в расплаве за счет его перемешивания и уравновешивает Гействие сил поверхностного натяжения центробежными силами. д Периодический характер кинетики гетерогенного процесса образования но- ой фазы, которому подчиняется и процесс кристаллизации расплава, проявля- йся в возникновении на цилиндрической поверхности монокристалла периодиче- ской мелкой нарезки, представляющей собой торцы монокристаллических слоев микроскопического размера, растущих на плоскостях, ограняющих фронт кри- сталлизации. Метод Киропулоса. Данным методом выращивают щелочно-галоидные кристаллы из расплава (рис. 1.25). Он отличается от метода Чохральского тем, что фронт кристаллизации расположен под «зеркалом» расплава. Исходная кристаллическая соль 2 расплавляется в цилиндрическом тигле 4 и нагревается до температуры, которая превышает температуру плавления на 100..120 К- На поверхность перегретого расплава опускают закрепленный на охлаждаемом стержне 6 затравочный кристалл и начинают медленно снижать температуру расплава. На границе раздела кристалл — расплав за счет отвода тепла через стержень создается переохлаждение, и на затравке начинает расти монокристалл 1. Кристалл растет в радиальном направлении от затравки к стенкам тигля, не распространяясь в глубину. Когда диаметр кристалла достигает размеров, близких к внутреннему диа- метру тигля, стержень с растущим кристаллом начинают медленно поднимать, следя за тем, чтобы фронт кристаллизации находился под поверхностью рас- плава. На стенках тигля обычно образуются наросты паразитных кристаллов. При выращивании крупных кристаллов необходимость подъема выращивае- мого кристалла отпадает, так как уровень расплава опускается за счет сокраще- ния его объема при кристаллизации (для NaCl, КС1 на 15...17%). Для предот- вращения испарения и образования окислов над поверхностью расплава внутри герметичного кварцевого сосуда 5 поддерживают почти атмосферное давление инертного газа. Заготовка или расплав вращаются с частотой 2 мин’-1. В тече- ние суток вырастает кристалл, например КС1, массой 2 кг. При работе по методу Киропулоса необходимо тщательно выдерживать температурный режим расплава, нагреватели для уменьшения градиента тем- пературы закрывают выравнивающим экраном 3 (рис. 1.25). Рис. 1.26 Выращивание кристаллов методом Вернейля с 1.25. Схема установки для вы- Р Шивания кристаллов щелочно-гало- идных солей 29
• Метод Вернейля. Применяют для выращивания кристаллов с температурой плавления 117...2773 К — корундов, титанатов бария, стронция, сурика и др. На рис. 1.26 приведена схема установки для получения кристаллов рубина Химически чистый оксид алюминия (А12О3) с добавкой оксида хрома (Сг2О3) в виде порошков с частицами размером от 1 до 20 мкм загружаете? в бункер 2. Под действием встряхивающего устройства 1 порошок через сет чатое дно бункера попадает в струю водородно-кислородного пламени горел ки 3. Снизу через муфель 6 вводится ориентированный затравочный кристалл 5 Благодаря малым размерам частицы шихты расплавляются на лету и, попадд иа затравочный кристалл, образуют расплавленный слой 4. Затравка, медлен» вращаясь, опускается, и по мере охлаждения расплава происходит кристалл! зация и рост кристалла. Полученные кристаллы отжигаются во избежание о( разования трещин и внутренних натяжений. Для получения качественных кристаллов по методу Вернейля необходим точно согласовать расход шихты, подачу водорода, кислорода и скорость спуск; ния затравки. Метод жидкостной герметизации. Монокристаллы разлагающихся полупр< водниковых соединений (арсенида и фосфида галлия и др.) выращивают мето дом Чохральского из расплава, находящегося под слоем герметизирующей жид- кости. Давление инертного газа над жидкостью (рис. 1.13, в) должно в 1,5....2,5 раза превышать равновесное давление пара летучего компонента в точ- ке плавления соединения Обычно давление составляет (1,5...2,0) 106 и (6 .8) -106 Па для фосфида галлия. В качестве герметизирующей жидкости используют расплав борного ангид- рида В2О3. После «разращивания» кристалла до заданного диаметра (рис. 1.27, а) выделяющаяся на фронте кристаллизации скрытая теплота плавления изоли- руется слоем В2О3 и не может рассеяться. В результате область переохлажден- ного расплава в центре тигля (рис. 1.27,6) уменьшается, и монокристалл «под резается». После выхода начальной части монокристалла из-под слоя флюса отвод тепла от фронта роста монокристалла возрастает, и ои начинает «раз- Рис. 1.27. Изменение диаметра монокристалла разлагающегося полупроводни- кового соединения, выращиваемого из расплава, находящегося под слоем флю- са при постоянной мощности, подводимой к нагревателю, на различных ста- диях его роста Рис. 1.28. Схема реактора высокого давления для выращивания монокристаллов полупроводников из расплава, находящегося под слоем флюса, методом Чох- ральского: 1 — кристалл; 2— флюс; 3— расплав; 4— кварцевый тигель; 5 — графитовый тигель; 5 — термопара, 7 — пьедестал 30
аться» (рис. 1.27, в). После установления теплового равновесия повторя- раши®дменеиие диаметра растущего монокристалла. Оптимальная толщина флю- еЗС%" 15 мм. са Жидкостная герметизация обеспечивает теплоизоляцию поверхности, сводя нимуму конвективные потоки в газовой фазе и создавая большую тепло- к МИ стабильность поверхности расплава. Метод позволяет использовать бо- иУ10 надежные механические устройства для подъема затравки и вращения, Лве этом слой флюса в некоторой степени демпфирует вибрации поверхности П₽гплава. Принципиальным техническим преимуществом является возможность ддержания стенок ростовой камеры при комнатной температуре. П0ДСхема камеры с ВЧ-иагревом, предназначенной для выращивания кристал- методом Чохральского из-под слоя флюса, показана на рис. 1 28. В тигель из кварпа или стеклоуглерода загружают исходный материал и сверху помеща- ют флюс. Камеру уплотняют, вакуумируют и затем заполняют инертным газом, причем его рабочее давление должно превышать давление паров летучего ком- понента соединения в точке плавления. Камера охлаждается водой и может выдерживать давление до 2-107 Па. Для улучшения визуального контроля растущего кристалла используют телевизионную систему, которая позволяет на- блюдать кристалл увеличенным в 6...8 раз. В такой камере можно выращивать кристаллы соединений, имеющих высокое давление диссоциации, в частности фосфиды индия и галлия. При выборе материала герметизирующего флюса следует учитывать, что он должен обладать плотностью, меиьшей плотности расплава полупроводнико- вого соединения, относительной химической инертностью к расплаву полупро- водника и материалу тигля, оптической прозрачностью, низкой точкой плавле- ния малым давлением пара и высокой степенью чистоты. Для выращивания полупроводниковых соединений группы AIIJBV и их твер- дых растворов из кварцевых тиглей наиболее подходящим флюсом (кроме слу- чая получения материала, легированного кремнием) является борный ангидрид, который имеет плотность 1,8 г/см3, температуру плавления 723 К, давление пара 133 Па при 1513 К, слабо реагирует с SiO2, GaAs и GaP и взаимодейству- ет с водой. Борный ангидрид растворяет оксиды на поверхности расплава и вследствие большой вязкости создает защитную пленку на выращиваемом кри- сталле, предотвращая испарения летучего компонента. Пленка легко смывается с поверхности кристалла горячей водой. Оксид бора смачивает кварцевый тигель и образует тонкий слой между тиглем и расплавом, создавая таким образом жидкую оболочку. Для герметизации расплавов арсенида галлия и арсенида индия применяют также хлористый барий, хлористый кальций и смесь хлористого бария и хлори- стого калия. При выращивании арсенида индия из-под слоя флюса состава ВаС12:1/С1= 1 : 1 почти на порядок увеличивается концентрация электронов по сравнению с исходным материалом. Использование в качестве флюса бората бария, а также солей щелочных или щелочно-земельных элементов обычно вы- зывает сильное разрушение кварцевого тигля. В зависимости от условий выра- щивания рекомендуются различные составы герметизирующих жидкостей, одна- ко до настоящего времени в промышленной технологии выращивания кристал- лов с жидкостной герметизацией используется только борный ангидрид. Толщина слоя инертной жидкости влияет на характер фронта кристаллиэа- йии При увеличении толщины слоя флюса фронт кристаллизации становится сначала плоским, а затем выпуклым. Наиболее благоприятным с точки зрения совершенства структуры кристаллов является плоский фронт кристаллизации, поэтому необходимо оптимизировать толщину слоя флюса. При выращивании рсенида иидия оптимальной считается толщина слоя В2О3 5 мм, для фосфида р£.Ия ~ около 5...8 мм, для арсенида галлия и фосфида галлия — 8...10 мм, для „ мм. При меньшей толщине флюса происходят потери летучего иомпо- ита, при большей — затрудняется контроль диаметра растущего кристалла. кпи Ф''"ос из В20з оказывает сильное теплоизолирующее влияние на растущий к иСТаЛЛ- ПРИ фиксируемой мощности, подаваемой к тиглю с расплавом, ДобСТаЛЛ имеет переменный по длине диаметр. Варьированием мощности мржно иться стабильности диаметра слитка. 31
Вследствие больших осевых (240 град/см в области флюса) и радиальных температурных градиентов для кристаллов характерны значительные внутрен- г ние напряжения, высокая плотность дислокаций и неравномерное их распреде- ление Термические напряжения, возникающие при выращивании полупроводни- ков с высоким давлением паров летучего компонента, могут вызвать растрески-1 ванне монокристалла. Для уменьшения плотности дислокаций и повышения од- нородности температуры на поверхности кристалла используют дополнительные нагреватели и теплоизолирующие экраны. В методе Чохральского с жидкостной герметизацией важно поддерживать границу раздела флюс — расплав полупро- водника на одном уровне. Несмотря на указанные многочисленные преимущества, метод жидкостной, герметизации имеет ряд недостатков, одним из которых является загрязнение растущего кристалла примесями из флюса. Жидкостная герметизация расплава разлагающихся соединений эффективно применяется также при выращивании полупроводниковых соединений другими методами Для устранения напряжений, возникающих в кристаллах из-за боль- ших температурных градиентов, при выращивании соединений GaP, 1пР и GaSe используют вертикальный градиент температур, при котором температура в ниж- ней части расплава на несколько градусов превышает температуру плавления соединения и повышается к верхней части (рис. 1.29). При медленном пони- жении температуры (0,0167 град/с) расплав затвердевает снизу вверх. Основными методами консервативной направленной кристаллизации явля- ются следующие. Метод зонной плавки (перекристаллизации). В некоторых случаях в техно- логии полупроводниковых материалов выращивают монокристаллы методом зонной плавки. Достоинством метода является совмещение процесса глубокой очистки полупроводника с последующим выращиванием его монокристалла! В технологии разлагающихся полупроводниковых соединений применение этого метода позволяет совместить в одном технологическом цикле сразу три опера-1, ции: синтез, очистку синтезированного соединения и выращивание его моно- кристалла. Проведение операций в герметичных или квазигерметичных реакторах дает возможность путем регулирования давления пара летучего компонента над расплавом получать монокристаллы стехиометриче- ского состава или с любым отклонением от пего. В связи с различной растворимостью примесей в твердой и жидкой фазах зонная плавка является] одним из наиболее эффективных и производитель-1 ных методов глубокой очистки монокристаллов. При его реализации перед началом кристаллизации рас- плавляется не вся твердая фаза кристалла 4, а только узкая расплавленная зона 3, которую пере- мещают вдоль кристалла (рис. 1,13, а). Различают' вертикальную (ВЗП) и горизонтальную (ГЗП) зон- ные плавки (рис. 1,13,д, е). Осуществление ВЗП возможно и в бестигельном варианте (рис. 1.13, д). Большинство примесей обладает хорошей рас- творимостью в жидкой фазе по сравнению с твер- дой (£<1, табл. 1.6), поэтому по мере продвиже-1 ния зона плавления все больше насыщается приме- сями, которые скапливаются на конце слитка. Обыч- ] но процесс зонной плавки повторяют несколько раз, по окончании очистки загрязненный конец слитка Рис. 1 29. Схема реактора высокого давления для выращивания полупроводников методом жидкостной герметизации расплава с использованием вертикаль- ного градиента температур: 1— термопара; 2— изоляция 3 — графитовый цилиндр, на- греваемый токами высокой частоты; 4 — тигель из нитри- да бора; 5 — графитовая вставка; 6 — термопара 32
лица 1.6. Равновесный k0 п эффективный k коэффициенты распределения примесей в наиболее распространенных полупроводниках С 1 г- 3 Заказ № 1270 33
Окончание табл. 1.6 J3 о <у S W □ £ < < С GJ С ХЗ « « m n <_> о g z о —« —. „ <U <D Л: Ф О (/) н О < u, 34
Р [ 30 Схема установки для очист- ки германия зонной плавкой нагреватели; 2 - слиток германия; ' лодочка; 4 -кварцевая трубка; 5- шлиф С патрубком для выхода газов 'aj отрезают Для ускорения процесса очистки вдоль контейнера ставят несколько индукторов для образования ряда зон плавления (рис. 1.30). Для материалов с £>1 очистка материалов зонной плавкой практически невозможна, и метод используется весьма ограниченно—для получения кристаллов из расплава. Теоретически многократная зонная плавка позволяет очень глубоко очистить исходный материал. Так, при £=0,1 можно получить 50% очищаемого слитка с концентрацией остаточной примеси менее 10 6. Однако на практике такого результата достичь невозможно, так как одновременно с очисткой и увеличе- нием числа проходов расплав загрязняется примесями контейнера и окружаю- щей среды. Кривые распределения примеси с различными значениями по длине кристалла при одном проходе зоны приведены на рис. 1.31. Метод бестительной зонной плавки (БЗП). Применяется в ос- новном для получения монокристаллов кремния. Из-за отсутствия тигля при выращивании кристалла одновременно происходит и его очистка, так как при- меси с коэффициентом сегрегации меньше единицы оттесняются в конец кри сталла, благодаря чему кристаллы становятся значительно чище выращиваемых методом Чохральского. Для повышения степени очистки используют неоднократ- ное перемещение зоны. Скорость выращивания кристалла методом БЗП вдвое больше, чем по методу Чохральского, и в отличие от него затравка подводится снизу. Растущий кристалл «висит» на исходном поликристалле, откуда ои вытягивается вниз от зоны расплава (рис. 1.32). Для обеспечения начального роста бездислокацион ного участка кристалла также проводится вытягивание «тонкой шейки» диамет- ^ис 1 31 Теоретическое распределение относительной концентрации примеси при различных значениях коэффициента распределения k по длине Кристал- ов постоянного сечения, получаемых в ходе одного процесса направленной рристаллизации (с) и зонной плавки (6), проводимой при х//=10, где х и длины кристалла и расплавленной зоны соответственно; g=W0, V — объем кристаллизованной части расплава, см3, Уо — объем всего расплава, см3
6 Рис. 1.32. Схема выращивания кри- сталлов методом бестигельной зон- ной плавки: I — поликристаллический стержень; 2 — индуктор в форме игольного ушка; 3 — расплавленная зона; 4 — бездислокацион- иый монокристалл; 5—«тонкая шейка»; 6 — затравка Рис. 1.33. Схема установки для бес- тигельной зонной плавки кремния: 1 — подача аргона; 2 — металлический держатель; 3 — кварцевый стержень; 4 — высокочастотный индуктор; 5 — кварце- вый цилиндр; 6 — выход аргона ром 2...3 мм и длиной 10...20 мм и более, после чего кристалл доращивают до требуемого диаметра через коническую часть. Причины возникновения структурных дефектов и меры борьбы с ними при получении монокристаллов данным методом почти полностью совпадают с изло- женными для метода Чохральского. Сравнение этих методов можно провести с помощью табл. 1.7. Современная технология БЗП кремния (схема установки приведена на рис 1.33) позволяет выращивать монокристаллы диаметром до 125 мм благо- даря использованию индуктора, диаметр которого меньше диаметра проплав- ляемого стержня (рис. 1.34). Рис. 1.34. Этапы процесса бестигельной зонной плавки кремния с высокочастот- ным индуктором, диаметр которого меньше диаметра расплавляемого стержня (fi и {2 — скорости движения стержня и затравки соответствеино): а — разогрев торца кремниевого стержня; б — образование висячей капли расплавленного кремния; в — момент затравливания; г — начальная стадия образования расплавленной эоны; д—проведение процесса в установившемся режиме; 1 — стержень; 2 — разогретая зона стержня; 3 — высокочастотный индуктор; 4 — монокристаллическая затравка; 5 —’ капля расплава; 6 — расплавленная зона 36
Таблица 1.7. Типичные параметры бездислокапионных монокристаллов кремния, выращиваемых методами Чохральского и бестигельной зонной плавки — Параметр Метод выращивания Чохральского бестигельной зонной плавки — Максимальный диаметр, мм Удельное сопротивление, Ом-см. р-тип -тип Ориентация Время жизни неосновных но- сителей заряда, мкс Содержание, атом/см3: кислорода углерода 150 100 0.005..50 0,1 ...3000 0 005..50 0.1...800 [in], [по], [юо] [ш], [юо] 10.50 100...3000 1О16...1О18 <10‘в 1017 <1017 Основное условие успешного проведения БЗП — создание и поддержание стабильной расплавленной зоны. Для этой цели используют многовитковые ин- дукторы 4 с расположением витков в одной плоскости (рис. 1.35). Для полу- чения кристаллов большого диаметра в современных установках применяют одновитковую катушку типа «игольчатого ушка» 2 (рис. 1.32). Во всех совре- менных системах зонной плавки используется стационарное положение катушки, а поликристаллический стержень и растущий кристалл перемещаются. Следует, однако, отметить, что очистка кремния от примесей бора, влия- ние которого иа свойства кремния велико, методом БЗП малоэффективна. Гарниссажный метод Чохральского. Перспективным вариантом БЗП является гарниссажный метод Чохральского (см. рис. 1.13, ж), позволяю- щий получать монокристаллы кремния с параметрами, аналогичными для метода БЗП (табл. 1.6). Выращивание монокристаллов осуществляют в глубоком ва- кууме с использованием электронно-лучевого нагрева и проводят по варианту вытягивания кристалла с подпиткой из твердой фазы «вытягивание с пьедеста- ла» (рис. 1.36,6). Соотношение диаметра пьедестала к диаметру монокристалла около 2,5. Схема установки для выращивания монокристаллов кремния приве- дена на рис. 1.37. Тепловые условия метода примерно те же, что и для метода БЗП. Фронт плавления и кристаллизации является практически плоским. Плотность дисло- каций в монокристаллах кремния составляет (3...5) • 104 см-2. Рис. 1.35. Устройство для подогрева исходного поликристаллического стержня и выращиваемого монокри- сталла кремния при бестигельной зонной плавке с применением индук- тора диаметром меньше диаметра проплавляемого стержня, ось кото- рого смешена относительно оси мо- нокристалла : / — исходный поликристаллический стер- жень; 2— дополнительный высокочастот- ный индуктор для подогрева стержня; 3 — расплавленная зона; 4 — основной вы- сокочастотный индуктор; 5 — монокри- сталл; 6 — электроспнраль для подогрева монокристалла; f — эксцентриситет; ~ (0.1...0.3Щ. где d — диаметр кристалла 37
Рис. 1.37. Схема установки для выращивания монокристаллов кремния гарниссажным методом Чохральского «с пьедестала» при электронно-лучевом нагреве: 1 — анод; 2 — отражатель катодных лучей; 3—нить нак-ала (катод); 4— монокристалл; 5 — направление линий магиигного силового поля; 6—на- правление потока электронов; 7 — расплав; 8 — пьедестал (стержень по- ликрнсталлического кремния); 9 — маг- нитные катушкн Рис. 1.36. Методы подпитки расплава твердой (а, б), жидкой (в) и паровой (<?, е) фазами, применяемые для получения равномерно легированных по дли- не кристаллов полупроводников: «—механическая подпитка расплава твердой фазой; б—выращивание кристалла с пье- дестала; в — капиллярный плавящий тигель; г—капиллярный двойной тигель; д — по- ложительная (поглощение) или отрицательная (испарение) подпитка расплава паровой фазой в процессе Чохральского; е — то же. в процессе бестигельной зонной плавки; I — подпитывающий кристалл; 2 — вспомогательный нагреватель; 3 — простой тигель; 4 — выращиваемый кристалл; 5 — расплав; 6 — основной на1реватель (резистивный или вы- сокочастотный); 7—плавающий тигель; 8—капиллярный канал; 9—рабочая часть тигля Выращивание профилированных кристаллов. Метод Степанова. Про- филированные полупроводниковые материалы (чаще всего германий и кремний) выращивают как без формообразующих устройств, так и с ними. Первый ва- риант находит применение для изготовления кремниевых труб, используемых в термических печах производства полупроводниковых приборов. Такие трубы выращивают с использованием затравки, поперечное сечение которой соответ- ствует поперечному сечению трубы. Трубы с наружным диаметром до 130 и длиной до 1800 мм получают из тигля диаметром 200 мм, содержащего 6 кг расплавленного кремния, со скоростью роста 3...4 мм/мин. Разброс толщины стенки полученных в таких условиях труб составляет ±(1 .1,3) мм с толщиной стенки около 5 мм. Текстура поперечного сечения трубы изменяется от мелкозернистой (0.5...2 мм) у затравки до среднезериистой (1 .10 мм), крупноблочной (60...80 мм) и, наконец, столбчатой по мере опуска- ния фронта кристаллизации в глубь тигля в область повышенных температур. 38
Рис 1.38. Схемы тепловых узлов, применяемых для выращивания поликристал- лических стержней (а, б) и лент кремния (в) вытягиванием из расплава (а) и «обратным» методом Степанова (б, в): I - вытягиваемый стержень; 2 — металлическая пластина с отверстиями — короткозамкну- тыми витками; «?—высокочастотный индуктор; 4 — расплав; 5 — подпитывающий стер- жепЬ. —кварцевый формообразователь; 7 — опорная плита; 8— устройство для подо- грева вытягиваемой ленты 9 Образование крупноблочной структуры приводит к отклонению формы попереч- ного сечения трубы от круглой к эллиптической Наибольшее применение выращивание профилированных кристаллов полу- проводников нашло в изготовлении кремниевых основ (стержней и лент) для реакторов водородного восстановления хлорсиланов и термического разложения моносилана. Поликристаллические стержни кремния диаметром 4...6 и длиной до 2000 мм выращивают без применения формообразователя методом, анало- гичным выращиванию монокристаллов «с пьедестала». Роль формообразователя выполняет электромагнитное поле, создаваемое индуктором, питаемым током частотой 1,76 МГц, и короткозамкнутым витком. Электромагнитное поле ока- зывает электродинамическое воздействие на столбик расплава (рис. 1.38, а). Ис- пользование такого устройства позволяет выращивать в вакууме порядка 2,6-10~3 Па одновременно семь стержней. Скорость вытягивания 15...18 мм/мии. Обратный метод Степанова. Кремниевые стержни и ленты для основ с низким удельным сопротивлением могут быть выращены в атмосфере кислорода обратным методом Степанова (рис. 1.38,6, в). Вытягивание кристал- ла из расплава вниз через формообразователь позволяет в 2,5—3 раза повысить скорость его роста и обеспечивает получение профилей с минимальным откло- нением размеров сечения от заданного и с гладкой поверхностью. Кремниевые стержни диаметром 5...6 мм выращивают с использованием теп- лового узла, показанного на рис. 1.38,6, а ленты шириной 30 и толщиной 0,3...1 мм — с использованием узла, представленного на рис. 1.38, в. Расплав нагревают высокочастотным индуктором, питаемым током частотой 1,76 МГц. Формообразователь представляет собой фильеру, изготовленную из кварцевой пластины. Выращивание профилей проводят на воздухе со скоростями до 75 мм/мин. Профили термообрабатывают на воздухе при температуре около 773 К для образования в них термодоноров, снижающих удельное сопротивление кремния, с последующим охлаждением на воздухе. Полученные в таких условиях стержни и ленты имеют удельное сопротив- ление 0,08..0,12 Ом см и предел прочности на изгиб 300...320 МПа. При ско- ростях вытягивания около 20 мм/мин они имеют поликрнсталлическую структу- ру с зернами длиной в несколько миллиметров в направлении вытягивания. В структуре наблюдаются также случайно расположенные двойниковые гра- Выращиваиие из раствора. Возможно получение кристаллов веществ из пе- ресыщенных растворов. В качестве растворителей применяют воду, органические вещества (ацетон, толуол и др.), а также щелочные растворы. Условия перенасыщения раствора создаются за счет испарения растворителя, понижения температуры или создания температурного градиента. Для выращи- вания обычно используют затравочные кристаллы. В процессе роста кристалла Раствор перемешивают. Способ этот прост, не требует сложного оборудования, Но для выращивания оптических кристаллов применяется редко. 39
Рис. 139. Установка для гидротермальной кристалли- зации кварца Гидротермальный синтез является разновидностью метода, его применяют для выращивания синтетиче- ских кристаллов кварца (рис. 1.39). В автоклав 1 засыпают размельченный поликри- сталлический природный кварц 4, заполняют автоклав до определенного уровня растворителем (щелочным раствором) и помещают внутрь рамку 2 с затравочны- ми кристаллами После этого автоклав закрывают и устанавливают в электрическую печь, где раствор на- гревается до 673 К. Под действием температуры внут- ри автоклава создается давление порядка (2..3)-108Па В этих условиях кварц интенсивно растворяется в щелочи, образуя насыщенный раствор. Температуру в верхней части автоклава поддерживают на 20 К ниже, чем в нижней, поэтому там образуется зона кристаллизации. Благодаря градиенту температуры и перфорационной решетке 3 создаются конвекционные потоки раствора, обеспечивающие его перемешивание и подачу свежего перенасыщен- ного раствора в верхнюю кристаллизационную зону автоклава. Выращенные монокристаллы массой до 800 г имеют совершенную геомет- рическую форму. Скорость роста монокристалла достигает 1 мм/сут Рост кристаллов из паровой фазы. Размеры выращиваемых кристаллов ограничиваются малыми транспортными возможностями газа как средства, под- водящего вещество и отводящего теплоту кристаллизации, а также легкостью возникновения турбулентных потоков вблизи растущего кристалла. С помощью этого метода выращиваются кристаллы теллура и селена. Кристаллы сульфида кадмия выращиваются из газовой фазы методами: газодинамического потока, в котором пары Cd увлекаются сероводородом или парами серы и на холодных частях сосуда осаждается CdS; квазиравновесным, при котором CdS кристал- лизуется из пара CdS в холодных частях сосуда в условиях, близких к равно- весным. В первом случае под фарфоровой лодочкой с Cd, расположенной в квар- цевой трубке, пропускался при 1073... 1273 К водород в смеси с сероводородом. Кадмий реагировал с сероводородом, образовавшийся CdS осаждался в холод- ных частях трубки в виде лентообразных кристаллов 3x5x0,2 мм При про- пускании смеси водорода и селена росли кристаллы CdSe размером 1...2 мм. Кристаллы CdS, ZnS также могут быть выращены за счет переноса пара азота или аргона. Формы кристаллов CdS при выращивании из газовой фазы пока- заны на рис. 1.40. Растущие крвсталлы могут быть легированы примесями (например, Cl, Ga, Zn), массовая доля которых 0,01...0,1% Наличие примесей влияет на формы роста. Присутствие хлора обусловливает рост в толщину. Лентообразные кри- Рис. 1.40 Формы кристаллов CdS при выращивании из газовой фазы: а — пластинчатая; б — игольчатая; в — тип I с прямоугольными штрихами; г —тип I с косыми штрихами; д — двойники; ф—30, 45 или 60° 40
ОВмотка из P\.l10°/>Rh ai Рис. 1.41. Схема способа получения CdS методом сублимации (а) и распреде- ление температуры вдоль печи (б): 1 — печь; 2— шахта; 3—кристалл; 4 — муллитовая труба; 5 — ампула сталлы образуются при содержании Ga в концентрации менее 1019 см-3. При Ng»>10’s см~3 растут гексагональные призмы длиной до 30 мм и диаметром 0 1...1 мм. На форму кристаллов оказывает влияние также метод выращивания. При сублимации CdS наиболее часто встречаются кристаллы игольчатой формы. Возможно получение кристаллов CdS методом сублимации; устройство, в ко- тором используется принцип перемещения сублимационной зоны через уплотнен- ную поликристаллическую засыпку, помешенную в кварцевую ампулу, представ- лено на рис. 1.41, а. Получение материалов на основе аморфных веществ. К данной группе ве- ществ относятся оптические ситаллы, кварцевые и бескислородные стекла и не- которые другие материалы. Оптические ситаллы имеют основу из стекол системы Li2O—А1гО3—SiO2. Кристаллизация в стекле вызывается введением в состав катализатора оксида титана ТЮ2 и последующей термической обработкой в твердой фазе. Термообработку выполняют в электрических печах по режиму, предусмат- ривающему две ступени выдержки (рис. 1.42). Первая выдержка при темпера- туре 7; = 1073 К обеспечивает условия для равномерного образования много- численных центров кристаллизации по всему объему материала; вторая выдерж- ка при температуре Т2—1153 К — интенсивный рост кристаллов до заданных размеров. Оптические ситаллы выпускают в заготовках, имеющих форму дисков или прямоугольных пластин с размерами до 1,5 м в плане. Оптическое кварцевое стекло варят из природного горного кварца, подвер- гающегося тщательной сортировке, комплексному обогащению и помолу иа крупку размером 0,1.„О,5 мм. Содержание посторонних примесей в сырье до- пускается в ничтожно малых количествах, например, допустимое содержание железа в стеклах марок КУ и КВ не должно превышать 3-10-4%. Одним из основных методов варки прозрачного кварцевого стекла является вакуум-компрессионный Кварцевую крупку загружают в тигель вакуум-ком- прессиониой печн и нагревают под вакуумом до температуры 2073...2173 К до t.4 Рис. 1.42. График термообра- ботки ситаллов (t — время) Рис. 1.43. Установка наплавки кварцевого стекла 41
расплавления. После этого в печь под давлением подается газ: азот, аргон или углекислый газ. Вакуумные межкусковые пустоты стекла под давлением газа распрсссовываются, и стекло после охлаждения не имеет пузырьков воздуха Особо чистое оптическое кварцевое стекло получают в факеле пламени во- дорода Н2 н кислорода О2 (рис. 1.43). Шихту — кварцевую крупку — подают через электрический муфель 4 в камеру 3, где частички шнхты подхватываются пламенем горелки 5 н, расплавляясь на лету, попадают на разогретую подлож- ку 1. Подложка, вращаясь медленно, отводится по мере наплавки внутрь му- феля 2. Бескислородные стекла варят из материалов, не имеющих в своем составе кислорода. Основным компонентом их является аурипигмент — минерал с содер- жанием мышьяка As2S3 30...50%. Для удаления химически связанного кисло- рода аурипигмент подвергается неоднократной очистке и возгонке в вакууме. Бескислородное стекло варят в запаянных кварцевых ампулах, из которых откачивается воздух под давлением 0,1 Па. Ампулы помещают в электрические печи н нагревают до температуры 573...873 К- В процессе варки печи с ампула- ми для размешивания стекломассы совершают качательные движения. Сваренная стекломасса отжигается непосредственно в ампулах. Остывшие ампулы разбивают и извлекают из них стекло. Бескислородные стекла имеют низкую температуру размягчения (473...673 К) н хорошо формируются в за- готовки. 1.3. Методы получения равномерно легированных монокристаллов полупроводников Оценка однородности полупроводников. Проводится прямыми н косвенными методами. К прямым относятся химический, спектральный, масс-спектральный, рентге- носпектральный, активационный и некоторые другие, к косвенным — физические (изменение удельного сопротивления, постоянной Холла, термо-ЭДС), структур- ные (рентгенография, электронография и др.). В производственных условиях используют методы измерения электрических параметров полупроводниковых материалов. Одним из основных иеразрушающих методов контроля является измерение удельного сопротивления. Мерой неоднородности измеряемого параметра у (концентрации примесн, удельного сопротивления н др.) служит разброс A==i (l/tnax—l/mln/l/max + У rain) 100, (1.8) где i/max, ymin — соответственно максимальное и минимальное значения измеряе- мого параметра. Для процессов направленной кристаллизации (Бриджмена или Чохральско- го) расчетный выход материалов с заданным разбросом контролируемых па- раметров по длине слитка 6д={1-[1±Д]'/№-»} 100, (1.9) где знак «плюс» соответствует й<1, знак «минус» — А>1, а для процессов зонной плавки 1+1п(~л Сд= 100, (110) где L, I — длина зоны и слитка соответственно. При расчете доли расплава q, закристаллизованной направленно в виде монокристалла с заданным распределением нелетучей примеси по длине кри- сталла, используют номограмму типа рис. 1.44. Определение тянущей концентрации примеси в кристалле. Изменение кон- центрации примеси в расплаве, кристаллизуемом методом Чохральского. вы- ражается уравнением C/Co=(l-g)',+a/aJ’-'s_’)_1. (I ll а) где Со и С — исходная и текущая концентрации, см-3; F — свободная поверх- ность расплава, см2; S—сечение выращиваемого кристалла, см2; k — эффектив- 42
Рис. 1.44. Номограмма для определения приблизительно однородной доли кри- сталла, выращиваемого из расплава методом направленной кристаллизации (Чохральского или Бриджмена) a) 6/ В) г) Рис. 1.45. Формы фронта кристалли- зации при выращивании кристаллов методом Чохральского (а—е) и бе- стигельной зонной плавки (г): а — выпуклая; б — вогнутая; в — плоская; г — S-образная ный коэффициент распределения првмеси; §=У/У0; V, Vo — объемы закристал- лизовавшейся части и всего расплава соответственно, см3. Геометрический фактор для метода Чохральского выразится как y=F[S—1. Для вертикального метода Бриджмена, где F=S, геометрический фактор р=1 и изменение концентрации примесн в расплаве в ходе кристаллизации будет С/С0=(1-§)*+«Д->. (1.116) При легировании нелетучей примесью, т. е. при а=0, уравнения (1.11а) и (1.116) упрощаются: C/C0=(l-g)'-1. (1.11b) Для определения текущей концентрации примеси в кристалле в зависимо- сти от метода его получения значение текущей концентрации примеси в распла- ве, определенное по одному из уравнений (1.11), умножают на значение дейст- вующего коэффициента распределения k. Выращивание монокристаллов с равномерным распределением примеси по поперечному сечению. Неравномерность распределения примесн по поперечному сечению кристаллов определяется неравномерностью распределенвя потоков жид- кости в расплаве, омывающем фронт кристаллизации (рис. 1.45). На неоднородность в поперечном сечении кристалла в методе Чохральского оказывают влияние потоки жидкости. Отсутствие вращения кристалла и тигля с расплавом приводят к конвективным потокам жидкости, направленным от более горячих стенок тигля к более холодной центральной части расплава Рис. 1.46. Твпичный характер гидродинамических потоков в расплаве и их влияние на форму диффузионного слоя на фронте кристаллизации (отмечено штриховой линией) монокристалла, выращиваемого методом Чохральского: "без вращения; б—с частотой вращения тигля 25 мин—’; е — с частотой вращения кристалла 100 мин—1 43
Рис. 1. 47. Наклон фронта кристаллизации в резуль- тате радиальной асимметрии теплового поля вокруг растущего кристалла (рис. 1.46), и к увеличению примеси в центре сечения кристалла. Асимметрия теплового поля вокруг растущего кристалла приводит к наклону фронта кри- сталлизации относительно поверхности расплава на угол 6 (рис. 1.47). При этом участки фронта кристаллизации вращающегося кристалла перио- дически проходят через области расплава в тигле с высокой и низкой темпе- ратурами, что приводит к ускорению н замедлению роста кристалла. Выросшая часть кристалла частично оплавляется, проходя через область высокой темпе- ратуры. Микрообъемы с одинаковым содержанием примеси в кристалле располага- ются внутри его по винтовой поверхности, шаг которой (1.12) где f — линейная скорость роста кристалла, см/с; со — угловая частота враще- ния кристалла, рад с-1. Возможны и другие виды локальных неоднородностей, возникающих в силь- иолегированных полупроводниках,— примесные субструктуры, которые получа- ются в результате скопления примеси по границам ячеек. Эффективной мерой борьбы с ними является применение в процессе выращивания монокристалла больших градиентов температуры на фронте кристаллизации, больших скоро- стей роста кристалла и интенсивного перемешивания расплава. Выращивание монокристаллов с равномерным распределением примеси по длине (подпитка легирующей примесью). Для повышения выхода материала с равномерным распределением примеси по длине используют подпитку расплава твердой, жидкой или паровой фазой, которая содержит легирующую примесь. Легирование кремния или германия элементами осуществляется введением в расплав соответствующей примеси илн лигатуры с большим содержанием соответствующей примеси Последнее определяется растворимостью (рис. 1.48— 1.62) и коэффициентом диффузии примеси в монокристаллическом полу провод- Рис. 1.48. Диаграмма состояния Ge:Al Рис. 1.49. Диаграмма состояния Ge: Ga 44
Массовая боля Ge % О 18,65 23,66 63,63 71,68 ICO Рис. 1.50. Диаграмма состояния Ge : In Рис. 1.51. Диаграмма состояния Ge: Sb Рис. 1.52. Диаграмма состояния Ge : Sn Рис. 1.53. Диаграмма состояния Ge: РЬ массовая ВоляСл? % 0 10 20 30 60 50 60 70 80 90 100 Рис. 1.54. Диаграмма состояния Ge : Ag 45
Таблица 1.8. Коэффициенты диффузии D примесных элементов в германии и кремнии Примсс- ный элемент Ковалентный радиус иона г.10е, см D, см2/с в герма- нии в кремнии в германии в кремнии Акцепторные примеси Ан 1,5 1,5 510-10 1,3-ю-г Zn 1,56 1,31 1,0-10-»2 4,0-Ю-12 В 0,88 0,88 6,0 1 о-13 1,0-10-“ А1 — 1,26 — 2,2-10-“ Ga 1,58 1,26 1,0- 10-‘з 9,0-10-12 In 1,64 1,44 2,6-10-13 3,8-10-’2 Ni — .— 4,4-10-5 — Си — — 2,8-10-5 — Те — — — 2,6 10-«= Fe — — 1,2-10» 7,0-10-6 Донорные примеси Р 0,77 1,1 6,5-10-12 4,0-10~13 As 0,96 1,18 2,0-10-“ 1,9 10-12 Sb 1,19 1,38 2,0 10-“ 1,9 10-12 Таблица 1.9. Зависимость времени жизни носителей заряда от длины свободного пробега электрона в кремнии и германии п- и p-типа электропроводности Длина свобод- ного про- бега, мм Время жизни, мкс Длина свобод- ного про- бега, мм Время жизни, мкс в германии в кремнии в германии в кремнии p-типа п-типа р-типа л-типа р-типа л-типа р- ти- па л-ти- па 0,1 0,2 0,3 0,4 0,5 0,6 0,8 0,9 1,0 1,0 2,3 3,3 8,3 4,3 9,1 14 33 10 20 30 75 17 36 53 133 27 57 83 208 39 82 103 293 70 146 183 521 88 184 254 658 109 227 268 813 1,1 1,2 1 3 1,4 1,5 2,0 3,0 3,5 132 275 345 984 157 327 411 1155 188 382 483 1347 213 445 560 1595 245 511 643 1831 434 920 1143 3253 978 2210 2575 7317 1362 2780 3500 9950 нике (табл 1.8). Если в кристалле одновременно присутствуют примеси донора и акцептора, то они электрически компенсируются, снижая время жизни носи- телей заряда (табл. 1.9). Подпитка кристаллизуемого расплава твердой фазой наиболее широко применяется в методах однопроходной зонной плавки. В горизонтальном кон- тейнерном методе зонной плавки, в первую зону которого помешают расчетное количество легирующей примеси (метод зонного выравнивания), количество при- меси рассчитывают по уравнениям (1.11) 46
Температура, К "о Температура массовая доля Ge. % 1.55. Диаграмма состояния Ge : Au Рис. 1.57. Диаграмма состояния Ge : As Массовая воля Ge,% Рис. 1.56. Диаграмма состояния Ge: Bi Массовая доля Si.% Рис. 1.58. Диаграмма состояния Si: Al 47
Рис. 1.59. Диаграмма состояния Ga : In Рис. 1.60. Диаграмма состояния Si: Bi Рис. 1.61. Диаграмма состояния Si:Ag Рнс. 1.62. Диаграмма состояния Si: Au Распределение примеси после одного прохода расплавленной зоны подчи- няется закону GA=»feC1exp(—fex/Z), (1.13) где С1—концентрация примеси в 1-й расплавленной зоне. Расчетный выход материала G при заданном разбросе контролируемого Д определяют для данного метода, приближенного из равенства Од«(Д//йб)-100. (1.14) Для L/l=M) выход монокристалла, выращенного методом зоииого вырав- нивания с Д = ±10%, составляет при k, равном 0,001; 0,01 и 0,1, соответственно 90; 50 и 5%. Метод зонного выравнивания наиболее эффективен для равномерного ле- гирования примесями с малыми (менее 0,01) значениями k. Подпитка кристаллизуемого расплава паровой фазой может носить как по- ложительный, так и отрицательный характер. Для расчета условий подпитки 48
лава из паровой фазы из равенства (1.3) вычисляют время насыщения РаСП„ава примесью до заданной концентрации, затем находят постоянную ско- РаС”' кристаллизации. Постоянную скорость движения расплавленной зо- Р°с7 (см/с) определяют как «ы ' 1 ' f=a’(Cv—C)/N, (1.15) q С—равновесная и текущая концентрации примеси в расплаве, см-3; Л?—концентрация примеси в кристалле, см-3; а'— приведенный коэффициент взаимодействия, см/с. Его определяют из равенства a'=aF/S, (1-16) где а — коэффициент взаимодействия, см/с; F — свободная поверхность испаре- ния расплава, см2; S — сечение выращиваемого кристалла, см2. В методе Чохральского постоянную скорость кристаллизации определяют из paBeHC™ f=a'[A/(*-l)][(CP+C)//V]. (1.17) При проведении процесса в вакууме, когда Ср=0, уравнение (1.17) при- нимает вид а при fe<l f~a'- (1.17а) (1.17 6) В методе вертикальной бестигельной зонной плавки в качестве исходной заготовки используют стержень с равномерным распределением примеси по длине. Равновесную скорость движения расплавленной зоны для обеспечения равномерного распределения примеси по длине монокристалла рассчитывают графическим методом. С этой целью определяют значение fk для данных условий процесса: fk=4aNl!d(N3-N), (1.18) где f— скорость движения расплавленной зоны, см/с; k — эффективный коэф- фициент распределения примеси; a — коэффициент испарения примеси, см/с; Д' и Л'я — концентрация летучей примеси в выращиваемом кристалле и исходной заготовке; / — длина расплавленной зоны, см, d — диаметр выращиваемого монокристалла, см. Определяя из уравнения (1.18) значение fk, по графику рис. 1.63 вычисля- ют искомые значения f и k. Перед началом движения расплавленной зоны с равновесной скоростью осуществляют выдержку расплавленной зоны в течение некоторого времени, необходимого для понижения концентрации летучей примеси в ней до расчет- ной C=N jk<N3 за счет испарения. Время выдержки т(с) определяют по формуле T=0,575d lg(C//V3)/a. (1.19) Если рассчитанное значение C>/V3, необходимо провести перекристаллиза- цию начальной части заготовки с повышенной произвольно выбранной скоро- стью в течение времени, определяемого по уравнению где Т---------2,3 lg[(^2—Т11С)/(Л2—^i/Vs)], A\=(4al+kfd) Ца\ A2=fN3/l. (1.20) Рис. 1.63. Зависимость эффективного коэффициента распределения k примеси фосфора в кремнии (/) и Произведения f k (2) от скорости кристаллизации 4 Заказ № 1270 49
Приведенные уравнения действительны для кристалла цилиндрической фор. мы. На практике в начальной части кристалла образуется конус, длина которого примерно равна 2/. В результате концентрации примеси в расплавленной зоне сначала резко уменьшается, а затем постепенно возрастает, приближаясь к рав- новесному значению. Поэтому скорость прохождения расплавленной зоной ко- нусной части кристалла должна примерно вдвое превышать равновесную, рас- считанную по (1.18). Рассмотренная подпитка является отрицательной. Положительная подпитка чаще всего используется в технологии бестигельной зонной плавки. Подпитка кристаллизуемого расплава жидкой фазой в методе Чохральского осуществляется с помощью капиллярного двойного тигля (рис. 1.36, г), где ра- бочая часть, из которой выращивают монокристалл, соединена с подпитывающей частью — капиллярным каналом. Геометрические размеры капиллярного канала должны удовлетворять условию fS/s^>D№/l, (1.21) где f — скорость выращивания монокристалла, имеющего сечение S, см/с; s — се- чение капиллярного канала, см2; D№ — коэффициент диффузии легирующей при- меси в кристаллизуемом расплаве, см2/с; Z — длина капиллярного канала, см. При легировании кристаллизуемого расплава нелетучей примесью расчет геометрических параметров капиллярного двойного тигля цилиндрической формы производится по уравнению 1/£Го=*. (1-22) где k — эффективный коэффициент распределения; g0 — геометрический фактор, £o=Vo/Vp; Vo н УР — общий объем расплава в капиллярном двойном тигле и в его рабочей части соответственно, см3. Па практике g0=2,5, поэтому при подпитке чистым расплавом можно легировать выращиваемые из него моно- кристаллы примесями с /?=0,2...0,5. Условия выращивания однородного легиро- ванного по длине монокристалла при этом выражаются соотношением Cn/Cp=(g0A-l)/(g0-l), (123) где Сп и Ср — концентрации примеси в подпитывающей и рабочей частях ка- | пиллярного двойного тигля. Уравнение (1.23) действительно только для условия g0^>l. При Л=0 оно переходит в уравнение (1.22), так как Сп=0. Подпитка рабочей части капиллярного двойного тигля чистым расплавом позволяет использовать примеси только с k<l, подпитка легированным — с fe<l и А>1. В последнем случае СП>СР. При легировании расплава в рабочей части тигля летучей примесью геомет- I рические параметры капиллярного двойного тигля определяются как 1/go-K, (124) где К — обобщенный коэффициент распределения. При легировании расплава летучей примесью в обеих частях тигля при условии испарения ее только из рабочей части расчетное уравнение имеет вид Cp/Cn=(goA-l)/(go-l). (1.25) Если в результате расчета go^>l, то подпитывающий расплав должен со- держать примесь. При А=1 концентрации примеси в обеих частях тигля должны быть равны, т. е. СП = СР. И если g0^=l, то уравнение (1.25) переходит в (1.22), подпитку рабочей части тигля следует вести нелегированным расплавом. Для практического определения условий выращивания монокристаллов из капиллярного двойного тигля удобно пользоваться номограммой, представлен- ной на рис 1.64. Поликристаллическую лигатуру, используемую в производстве полупровод- ников, часто подготавливают в виде так называемых мерных загрузок. Они представляют собой кубики или параллелепипеды, нарезанные из толстых пла- стин полупроводника. Для германия поликристаллическая лигатура имеет вид гранул, получаемых гранулированием расплава с помощью дозатора (рис. 1.65). Масса мерных загрузок лигатуры должна соблюдаться с точностью ±1%, а их состав—с точностью ±3%, что ие выходит за 50
1 64. Номограмма для расчета условий из капиллярно- летучей приме- тигля отсутст- г"„ащивания монокристаллов п двойного тигля (испарение Г° из подпитывающей части си вует) епелы точности измерения электрических параметров полупроводников. Имея гранули- рованную лигатуру с различным содержа- нием легирующей примеси (в миллиграммах) в одной грануле, можно осуществить на- бор любой требуемой массы легирующей примеси. Важным параметром легирования расплава полупроводников твердым ве- ществом является чистота этого вещества. Она должна быть высокой у при- месей, имеющих минимальный эффективный коэффициент распределения, которые вводятся в расплав в больших количествах. В этом случае даже небольшое количество в легирующем веществе посторонних примесей может привести к существенному загрязнению кристалла этими неконтролируемыми примесями. Однако окончательный выбор легирующей прнмеси определяется характером термообработки, которой будет подвергаться подложка из легированного по- лупроводника с нанесенным на нее эпитаксиальным слоем. При длительной термообработке при повышенных температурах предпочтение отдается примесям, имеющим минимальный коэффициент диффузии в твердом состоянии, что обес- печивает минимальный переход легирующей примеси из подложки в эпитак- сиальный слой. Поэтому олово, у которого коэффициент диффузии на порядок меньше, чем у серы и теллура (1,5-Ю-*1, 2,Ы0~10 и 1,1-10~'с см2/с при 1173К соответственно), наиболее широко применяется в качестве донорной примеси в арсениде галлия. Помимо первичного (еще не употреблявшегося) поликристаллического ма- териала значительную долю в сырье, используемом в массовом производстве Рис. 1.65. Схема механического дозато- ра для получения поликристаллических гранул лигатуры германий — примесь: мешалка; 2 — корпус дозатора; 3 — ти- ль с расплавом сильнслегироваиного нолу- Р°водника; 4 — нагреватель электросоиро- ивлеиия; 5, 7 — шестерни; 6 — вращающнй- ” Диск дозатора с калиброванными отвер- Иями; g _ вал; 9 — охлаждающий стол; ,и — сбрасыватель гранул; 11 — приемник Рис. 1.66. Распределение продуктов обработки монокристалла полупро- водника по его длине: q — готовая продукция; а — отходы от механической обработки (резки, кали- бровки и др.); б — конечная часть моно- кристалла; о — обороты 4* 51
монокристаллов полупроводников, составляют так называемые обороты (рис. 1.66). В их число входят брак монокристаллов по геометрическим разме- рам, электрическим и структурным параметрам, а также остатки расплава в тиг- ле или последней зоне. По сравнению с первичным материалом обороты содержат повышенное ко- личество неконтролируемых примесей, попадающих в материал в процессах его переработки. По мере увеличения числа циклов переработки полупроводнико- вого материала п количество неконтролируемых примесей в нем непрерывно возрастает. Однако часть их удаляется из производства вместе с готовой про- дукцией q и отходами а (рис. 1.66). В число последних входят все виды от- ходов и потерь, которые не могут быть возвращены в полупроводниковое про- изводство без дополнительной переработки, а также безвозвратные потери. Это шлифпорошки и отходы от резки, обдирки и калибровки монокристаллов, потери от окисления (угар), травления и др. В ходе кристаллизационного процесса основная часть как легирующих, так и неконтролируемых примесей, имеющих эффективный коэффициент распределе- ния меньше единицы, концентрируется в конечной части монокристалла, кристал- лизующейся последней, или в остатке расплава в тигле — лепешке 6 (см. рис. 1.66). Поэтому после вывода ее в отвал или иа извлечение ценных компо- нентов обороты могут содержать неконтролируемых примесей меньше, чем первичный материал, использованный для выращивания монокристаллов, при раскрое которого они образовались. 1.4. Программирование кристаллизационного процесса (изменение условий роста монокристаллов) Обеспечение постоянной скорости захвата примеси растущим монокристал- лом можно осуществлять н изменением условий роста монокристаллов (т. е. программированием кристаллизационного процесса). Выход монокристалла G с равномерным распределением нелетучей примеси по длине в условиях программированного роста методами направленной кристаллизации определя- ется следующими уравнениями: G= ,100 (1 26) 1 Я mln для примесей с /г<С1 уравнение (1.26) принимает вид G= (1—йт|п//гтах) • 100, (1.26 а) где йтш, femax — минимальный и максимальный эффективные коэффициенты распределения, соответствующие минимальной fmin и максимальной fmax CKO* ростям роста монокристалла, определяемым по графикам рис. 1.67, для примеси „ ^max/^min—1 „ G=—------------ -100. (1.27) ^rnax 1 Выход однородного монокристалла, выращиваемого методом зонного вырав- нивания, определяется как G=(l/femln-l/femax)-100. (1.28) Уравнение (1.28) используют и для программирования процессов выращива- ния Монокристалла из плавающего тигля, подпитываемого чистым расплавом. Однако в отличие от метода Чохральского, где O^g^l, в методах зонного выравнивания и плавающего тигля g>l. При этом для метода зонного вырав- нивания g=L/l, где L и I — длина слитка и зоны соответственно, а для метода плавающего тигля g=Vn/Vo, где Уп и Уо — объем расплава в плавающем и основном тиглях соответственно. 52
Рис. 1.67. Зависимости наиболее рас- пространенных акцепторных и до- норных примесей в монокристаллах германия (а) и кремния (б), выра- щенных методом Чохральского, от скорости кристаллизации f при ча- стоте вращения кристалла со 40 (а) и 55 мин-1 (б) Рис. 1.68 Зависимость эффективного коэффициента распределения приме- си сурьмы в мермании от скорости кристаллизации f при различных ча- стотах вращения кристалла со Для случая поглощения примеси (подпитки) расплавом из газовой фазы расчет доли первого участка (gj производится по уравнению „ Р И/h- 2Ц,(Ср~СИ ™ Sl ( kt kj/[ )’ где /гя и fei — эффективные коэффициенты рспрсделення примеси для начала и конца первого участка; а' — приведенный коэффициент взаимодействия, см/с; Ср — равновесная концентрация примеси в расплаве для имеющейся упругости пара этой же прнмеси в граничащей с расплавом атмосфере, см-3; С — текущая концентрация примеси в расплаве, см-3; Л' — заданная концентрация примеси в выращиваемом кристалле, см~3; /п и — скорости выращивания монокристал- ла, соответствующие началу и концу первого участка. Текущую концентрацию примеси в расплаве определяют по уравнению C = N/[(ki + kB)/2]. (1.29 а) Расчет программы выращивания монокристалла (изменения скорости роста и числа оборотов кристалла), легированного нелетучей примесью в методе Чохральского, производят на основании данных, получаемых из графиков за- висимости эффективного коэффициента распределения для различных скоростей выращивания кристалла (рис. 1.68). Для этого на графике выбирают допустимые значения скоростей роста ’“’ах И /mjn и ЧИСТОТЫ ВраЩСНИЯ кристалла tOruai и (Итш, которым соответствуют определенные значения эффективных коэффициентов распределения Лтах и Ащщ. ° пределах установленной таким образом области программирования АБВГ Иа рис. 1.68 можно задавать любые варианты программ. Для облегчения оценки расчетного выхода при выбранных условиях (f и to) выращивания монокристал- ла шкала g приведена на рис. 1.68 рядом со шкалой д. Расчет программы выращивания монокристалла, однородно легированного летучей примесью, в методе Чохральского производят по схеме, аналогичной для 53
зонного выравнивания, т. е. процесс ведут с уменьшением скорости роста, на. чиная от начала слитка с максимальной скорости. Расчет программы прн по- глощении расплавом примесн из паровой фазы производят по уравнению gi= fe, 1— 7---- (1—£о)—giA «шах 2а'(Сг—С) 1 A(fmax—fl) J I 2«'(Cp-C) 1 ‘ L J (130) Для первого участка gv принимают равным нулю. На практике чаще всего выращивание монокристаллов методом Чохральско- го проводят в вакууме (Ср=0). Тогда для примеси с fe<l fel Г 1— 7----- (1— go)— g<A 1 «шах L ________ 4а'________ (feшах + м (fшах +fl) Г 4а' 1—fej 1~Т----------------—Г" Г (йщах+Й1) (fmax+fl) (1.31) В этом уравнении go — доля предыдущего участка (для первого участка go=O), т. е. g,—go; Ag£=g2=g! и т. д. Время кристаллизации (мин) каждой доли расплава определяют по урав- нению 2,66(g!-gD)M P«d2(fo—ft) (1.32) где М — масса расплава, г; рк — плотность монокристалла, г/см3; d — диаметр выращиваемого монокристалла, см; fc и ft—скорости выращивания монокри- сталла, см/мин, соответствующие началу go и концу gi данного участка. 1.5. Маркировка и основные свойства поликристаллических и монокристаллических полупроводников Германий маркируют буквенно-цифровыми индексами. Большими буквами обозначают наименование полупроводника (Г — германий), способ получения (Б — бестигельная зонная очистка), тип проводимости (Э — электронный, Д— дырочный) и легирующая примесь (А—алюминий, Б — бор, С — сурьма, Г — галлий, М — мышьяк, Ф — фосфор). Цифры указывают номинал удельного со- противления и значение диффузионной длины или времени жизни носителей заряда. Например, марка германия ГЭСО.З/О2; Г — германий; Э — электрон- ный; С — сурьма; 0,3 — удельное сопротивление (0,24...0,36) • 10~2 Ом м; 0,2 — диффузионная длина 0,3-10-3 м. Плотность дислокаций и геометрические раз- меры монокристаллов соответствуют значениям табл. 1.10. Диффузионная дли- на и подвижность носителей заряда в монокристаллах германия в зависимости от удельного электрического сопротивления и типа проводимости соответству- ют значениям в табл. 1.11. Таблица 1.10. Плотность дислокаций и размеры выпускаемого германия Подгруппа плотности дислокаций Плотность дислокаций, см-2, не более Диаметр монокристалла, мм 1 50 28..40 2 2 -К)4 28. .40 3 8-Юз 28...40 54
Поликристаллический германий изготовляют марок ГПЗ-1, ГПЗ-2 и ГПЗ-З. Удельное электрическое сопротивление поликристаллического германия при- е^1^'кпеглний маркируется подобно германию: КЭФО,3/0,1; КЭФ1/0.15; КЭФ7 5/0.5; КЭ 15,0/0,5; КЭ50/03 и др. Таблица 1.11. Диффузионная длина и подвижность носителей зарядов выпускаемых марок германия Удельное электрическое сопротивление, Ом-см Длина диффузии, мм, не менее Подвижность при 294 К, см2/(В*с), не менее л-тип р-тип электронов дырок 0,10 .0,23 1800 1300 0,24.0,49 0,8 0,3 2300 1400 0,50. ..0,89 0,4 0.5 2600 1500 0,90..2,4 0,5 0,6 3100 1600 2,5...5,9 0,8 0,8 3300 1760 6,0... 15,9 1,0 1,0 3400 1760 16.0...45 1,2 1,2 3600 1760 Таблица 1.12. Удельное сопротивление поликристаллического германия Марка Удельное электрическое сопротивление, Ом-см, при температуре, К 291 292 293 294 295 296 297 298 299 ГПЗ 1 52 51 50 49 48 47 46 45 44 ГПЗ-2 52 51 50 49 48 47 46 45 44 ГПЗ-З 49 48 47 46 45 44 43 42 41 Таблица 1.13. Характеристики поликристаллического кремния Марка кремния Уровень чистоты по бору, Ом-см Удельное элек- трическое сопро- тивление после одного прохода при бестигельной зонной плавке, Ом-см, не менее Размер слитка, мм диаметр длина КП-1 2000 10 33...50 400 Kii-lc 2000 15 20...32 400 КП-2 1000 20 33..50 400 КП-2с 1000 25 20..32 400 КП 3 500 30 25 100 Кп-Зс 1000 30 25 400 КП-4 1000 85 .130 33...50 400 К11-4с — 1000 85... 130 20...32 400 55
Таблица 1.14. Характеристики монокристаллического кремния, полученного методом Чохральского Удельное электриче- ское сопро- тивление, Ом-см Допустимый разброс удельного сопротив- ления, %, не более Плотность дислока- ций на нижнем тор- не слитка, см-2 Норма по малоугло- вым границам (МУГ) Длина слитка, мм, не менее Длина диффузии неосновных носи- телей заряда, мм, не менее Интервал предель- ных диаметров слитка, мм от номинала по объему по каждому торчу слитка 1 ..25 + 20 - +10 10 Более 1,5 мм 40 0,1 27. 40 25...45 + 20 - +12 10 Не регла- 40 0,1 27.40 ментирует- ся 25. 45 + 15 + 25 +20 5 Ю4 То же 30 0,12 27 ...35 45...75 — + 20 +15 10 1,5 мм 40 0,12 27 .40 45. .110 +30 - +15 10 1/6 днамет- 60 0,1 27.„40 ра слитка 50.. 140 — +25 +15 5-Ю4 — 40 0,12 27 .40 80... 180 — - +10 10 — 40 0,3 54. .56 20 50 — + 25 - ю4 Без МУГ 40 0,1 27..40 длиной бо- лее 1,5 мм 5. 55 + 10 — +10 10 Без МУГ 40 0,2 29,5; 37,5; 46,5; 56,5 5.55 + 10 — +10 10 То же 40 0,2 29,5; 37,5; 46,5; 56.5 Б3 Таблица 1.15. Атомная доля примесей, %, в германии и кремнии особой чистоты 5= ё а» Cxs Г ерманнй Кремний Допустимая примесь Предел обнаружения Допустимая примесь Предел обнаружения Al 110-’ 5-10-6 (1...10) 10-7 5 10-5 В 110-» 2 !0~5 1 • ю-7 5-10-= Н 1 10~4 — 1-Ю-3 — Ga (1...10) Ю~7 2 10-6 — — Fe 1 ю-7 5-10-» 1 • ю-7 5 10-5 О 1 10 3 — 11 о-7 1 10~6 Mg 5-10-6 5-10-6 1 ю-7 1 10-5 Мп 110-7 — 1-ю-7 1 ю-5 Си 1 10 8 5 10-6 110-е 5 10-6 Na 1 ю-7 — — — Pb 1 ю-7 5 10-6 1 ю-7 5-10-6 Ag 1-10~7 1 • ю-6 1 -10~7 1 ю-5 Р 5-10—6 5 Ю~6 (1...10) • ю-8 110-4 Zn 1 ю-7 510-5 1 • 10-7 — 56
Таблица 1.16. Характеристики монокристаллического кремния, полученного методом БЗП Марка \ Удельное электри- 1 ческое сопротивле- 1 ние, Ом-см 1 Допустимый разброс удельного сопротив- ления, %, не более Плотность дислока- ций на нижнем тор- це слитка, см“2 Норма по МУГ Длина слитка, мм, не менее Длина диффузии неосновных носи- телей заряда, мм, не менее Интервал предель- ных диаметров слит- ка, мм, ие более Допустимый разброс диаметра слитка от заказного номинала, мм, не более от номинала по объему по каждому торцу слитка БА 12.25 ±20 — ±12 5-10’ Без МУГ более 1/6 диамет- ра слитка 40 0,1 25 30 ±1,5 ББ 25.45 ±20 — ±12 5-10’ То же 40 0,1 25.30 ±15 БВ 45..75 — ±20 ±12 5-10’ То же 40 0,1 25.30 ±15 БГ 50.. 140 — ±25 ±15 5-10’ » 40 0,1 25 .30 ±15 бг4 50.140 — + 30 + 15 5-10’ » 30 0,1 25.30 ±15 БД 80... 140 — — + 10 10 Не регла- ментирует- ся 30 0,25 33.37 — БД, 80... 150 — — + 15 10 То же 30 0,25 33..37 — БЕ 140.260 — + 20 + 15 5-10’ — 40 0,2 43..47 — БЕ, 140.260 + 20 + 15 5-10’ Без МУГ длиной бо- лее 1/6 диа- метра слит- ка 40 0,2 33 37 бе2 190.260 — — + 15 10 То же 30 0,3 43.. 47 — БЖ 180. 300 — — + 15 5-10’ — 30 0,35 43 .47 —— БЖ, 180. .320 + 25 + 15 5-10’ Без МУГ длиной бо- лее 1/6 диа- метра слит- ка 30 0,2 43..47 БИ 250.500 — + 15 + 20 5-10’ То же 40 0,2 33...37 — БИ1 200 .500 ±25 — + 15 5-10’ » 40 0,3 33.. 37 — БЕ* 5.50 15 — 10 10 —• 45 0,16 40...50 ±1,5 ЬЕ‘, 5..50 15 — 10 10 — 45 0,16 50 60 ±2 * В интервалах удельных сопротивлений 50... 100 и 100...600 Ом-см длина диффузии неосновных носителей заряда не менее 25 н 35 мм соответственно. Марки и параметры поликристаллического кремния, изготавливаемого про- мышленностью, приведены в табл. 1.13. Монокристаллический кремний в основном получают методом Чохральского в виде слитков. Основные требования к нему приведены в табл. 1.14. Содержание примесей в германии и кремнии особой чистоты приведено в табл. 1.15. Монокристаллический кремний изготовляется в вакууме или газовой среде по методу Чохральского (марки А, Б,, Б2, Б3, Б4, . Гь табл. 1.14), по методу бестигельной зонной плавки (марки БА, ББ, ЬВ-„ БГ, БД, БЕ БЕь БЖ, БЖ], БИ, БИ,, БЕ3, БЕ4, табл. 1.16) ориента- ций (111) или (100). Слитки легируются в основном бором или фосфором, их параметры соответствуют требованиям, указанным в табл. 1.14, 1.16, 1.17. БД ^ЛЯ СИЛОВО1"1 полупроводниковой техники выпускаются марки А-15, Б-30, БД, 57
Таблица 1.17. Характеристики монокристаллического кремния общего применения Марка Труп- па Удельное электрическое сопротивление — Плотность дислокаций, см""2, не более Диапазон номиналов, Ом-см Предельное отклонение от номинала, % КДБ 1А 1 15 ±15 (2...50)10з 1Б 1 20 ±20 (2...50) -103 2Е 500. .2000 ±35 5 104 ЗА 0,005...1 ±30; ±35 5 103 КДА 4А 0,02 .0,2 ±20; ±25 5 104 КЭФ 1А 1. 15 ±15 (2 ..50) • 103 1Б 1. 20 ±20 (2...50) -103 2А 15 25 ±15; ±20 (1 50) 103 2Б 25 45 ±15; ±25 (2.. .5) 104 2В 40 ..75 ±25 5 104 2Г 50. 140 ±25; ±30 (2...5) • 104 2Д 100..250 ±30 5 104 ЗА 0,005 .1 ±10; ±15; ±20 (5...50) Юз ЗБ 0,008. .1 ±7 5 104 ЗВ 0,005 .0,1 ±25 5-Ю3 кэс ЗВ 0,005..0,1 ±10, ±15 (2...50)102 КЭФЗ 5А 3...18 ±30 (2 ..50) - Юз 5Б 20..40 ±30 (2.. .50) 103 5В 40... 120 ±40 (2...50) - IO3 Таблица 1.18. Характеристики монокристаллического арсенида галлия Марка Допустимый предел концентрации, см—3 Подвиж- ность но- сителей зарядов, сма (В*с) Кристаллографиче- ская ориентация ЭАГЭТЮ-18 6-10” 1400 (111) ЭАГЭТ5-18 2 1018 1600 (100), (111) ЭАГЭТ14.5 17 (7...20) 1017 2800 (100), (111) ЭАГЭТ5.5 17 (4.7) 1017 3200 (100), (111) ЭАГЭТЗ-17 (1 .5) -1017 3600 (100), (111) ЭАГЭТЗ-17 (1 .5) -1017 3400 (100), (111) ЭАГЭО1-17 (8. .20) !0‘в 3600 (111) ЭАГЭО4-16 (3...8)1016 3800 (111) ЭАГЭО2-16 (1...3) • 1016 4300 (111) ЭАГЭО1-16 1 -1016 4500 (111) ЭАГЭО14.5-17 (7 20) Ю17 1800 (100), (111) Арсенид галлия имеет следующие марки: АГЭ-15, АГЭ4-16, АГЭТЗ-17 АГЭТ4-18, АГД-23-18, АГДЦ2-19, АГДЦ4-19 и др., где буквы А и Г означаю! арсенид галлия, Э и Д — электронную и дырочную проводимость, Т и Ц — ле гирующие материалы (теллур и цинк); цифры показывают концентрацию основ- ных носителей заряда (вторая цифра — показатель степени десятичного по рядка). 58-
Наибольшее применение нашли следующие марки антимонида индия: ИСЭ-2, 3 ИСДГ-4, ИСДЦ 5. В марке буквы ИС означают полупроводниковый нал (индий, сурьма); Э и Д — тип проводимости, Т, Г и Ц — легирующую Ма]месь (теллур, галлий, цинк). ПРИ Параметры и характеристики материалов, часто применяемых в электрон- fl технике, приведены в табл. 1.18—1.22. Таблица 1.19. Характеристики монокристаллического арсенида индия Марка Концентрация носи- телей заряда, см Подвижность носителей заряда, см2 (В-с) П лотность дислокаций, Диаметр слитка, мм ,— имэо 5 1016 310й IO8 20...25 ймэ-1 3-Ю16 4-104 3-103 20 30 5 102 20. .30 7 Юз 20 33 1-Ю4 20..38 ИМЭ-2 5 1016 ЗЮ4 5 Юз 20...25 7 Юз 20..33 МО4 20 .38 ИМЭТ-1 (1 10) 1017 ю4 5 103 20 .30 ИМЭТ-2 (9...20) 1017 5 IO8 20..38 ИМЭТ-3 (1 .5) 1018 ю4 5 IO3 20 .30 ИМЭТ-4 5 1018 5-104 IO3 20 .30 ИМЭО-1 (10.50) 1017 104 7 103 20..33 ИМЭО-2 (9..20) -1017 104 5 IO8 20...38 ИМЭО 3 (1.5) IO*8 104 5 IO3 20..30 ИМЭО 4 5-10" 5 104 10э 20.30 ИМДЦ-1 (1. 3) -1016 Ю4 7-Ю3 20...30 ИМДЦ2 (1 10) - 10,в I04 7-Ю3 20..30 имдц-з (1 100)-1017 104 1 104 20..38 ИМДЦ-4 (1...10) J018 104 1 104 20...38 Таблица 1.20. Характеристики монокристаллического антимонида индия Марка Концентрация носителей заряда, Подвижность носителей заряда, см2/(В-с) Удельное сопротивление, Омсм Плотность дислокаций, см-2 Электронный тип проводимости ИСЭ-1 (1...2) 1014 2 !05 50 1 IO3 ИСЭ-2 (3.9)10“ 2 10s 50 1 103 ИСЭТ-3 (1 ...1000) 10’8 4 10s 50 5 IO3 Дырочный тип проводимости исдг-1 (1 .50) 1012 3-103 50 500 1-Юз ИСДГ-2 (3.50) 10'2 4-Юз 50...300 1 IO3 исдг-з (5...30) Ю12 5 103 50..250 1-Юз ИСДГ-4 (5.. 100) IO13 1 IO3 50 5-Ю3 Ис Д г-5 (1...1000) 10'5 1 IO® 50 5-Юз 59
Таблица 1.21 Характеристики монокристаллического антимонида галлия Марка Легирующий элемент Концентрация основных носи- телей заряда, см 5 Подвижность основных но- сителей заря- да, см2/(В-с) Плотность дислокаций, см 2 Электронный тип проводимости ГСЭ(Т)-1 Теллур (2...10) • 1017 2500 2 Юз ГСЭ(Т)2-2 » 1.41018 2000 2 Юз Дырочный тип проводимости ГСД-1 — (8 .50) • 1016 600 103 ГСД-2 — (1,2.27) 10'7 700 103 ГСДГ(Ц) 1 Германий, (5...50) • 10'7 200...300 2 IO3 ЦИНК ГСДГ(Ц) 2 То же (5...50) 10'8 150...200 2 103 ГСДГ(Ц) 3 » (5. .8) 10'9 140... 150 5 103 Таблица 1.22 Характеристики монокристаллического фосфида галлия Марка Концентрация носителей заряда, см~3 Подвижность носителей заряда, см2.(В-с) Плотность дислокаций» см—2 Электронный тип проводимости ФГЭТЧ-5,5-17 (2...Э) 1017 80 Ю5 ФГЭТЧ-2-17 (1...3-10'7 120 5 - Ю4 ФГЭТЧ-7,5-17 (5...1) 1018 60 5 10* ФГЭЧ-1-17 (1...2)-1017 120 5 10* ФГЭТЧ-1,25-17 (0,5.2) • 1017 ПО 5 10* ФГЭСЧ-3-17 (1 ...5) 1017 100 5 10* ФГЭТЧ-3-17 (1...5) • 1017 100 5 10* ФГЭСЧ-6-17 (3. .9) -10'7 80 105 ФГЭТЧ-4-18 (1.. 7) • 1018 60 ю5 ФГЭТ-2-17 2-Ю17 80 5 10* ФГЭС-3-17 ЗЮ'7 80 5-Ю4 ФГЭ-5-16 5-Ю'6 60 105 ФГЭТ-3-17 ЗЮ'7 80 5 10* ФГЭТ-4-18 4 10'8 100 5 10* Ф ГЭС-2-17 2-Ю'7 80 105 ФГЭС-6-17 6 1017 80 Ю5 ФГЭС-4-18 4-Ю'8 100 5 10* Дырочный тип проводимости ФГДЦ-2-19 2-Ю'9 100 5 104 ФГДЦЧ-4-18 (1...7) 10'8 20 Ю5 60
Глава 2 Механическая обработка кристаллов полупроводниковых материалов 2.1. Подготовка монокристаллов к резке на пластины Калибровка монокристаллов полупроводниковых материалов. Обеспечивает «панне им строго цилиндрической формы и заданного диаметра. Калибровку онокристаллов полупроводников проводят чаще всего методом круглого шли- фования на универсальных круглошлифовальных станках, снабженных алмаз- ным шлифовальным кругом с зернистостью, обозначенной 50/40 (основная фрак- ция 40 мкм, а количество крупной, размером 50 мкм, не более 15%) Перед операцией калибровки к торнам монокристалла наклеенной мастикой приклеива- ют металлические конуса («центры») таким образом, чтобы их ось совпадала с продольной осью монокристалла. Режимы калибровки: частота вращения шли- фовального круга 2500±500 мии~'; частота вращения монокристалла 350+50 мин-1; скорость продольной подачи шлифовального круга 2.4 м/мин, а поперечной 5-10~3...2-10-2 мм за один двойной ход. После калибровки иа поверхности монокристалла образуется нарушенный слой глубиной 50...250 мкм в зависимости от скорости продольной подачи. При- сутствие его на периферии подложек может вызывать появление сколов, а при последующей высокотемпературной обработке приводить к генерации структур- ных дефектов, распространяющихся в центральные области подложки Для сня- тия нарушенного слоя прошедшие операции калибровки монокристаллы полу- проводников подвергают операции химического травления. Кристаллографическая ориентация монокристаллических слитков. В процес- се роста монокристаллов наблюдается иесоответсгвие оси слитка кристаллогра- фической оси. Для получения пластин, ориентированных в заданной плоскости, до резки производят ориентацию слитков Способы ориентации кристаллов опре- деляются их природой, типом детали и ее функциональным назначением Опти- чески изотропные диэлектрики ориентируют для учета влияния технологических свойств кристалла на точность параметров детали. У анизотропных диэлектри- ков положение преломляющих и отражающих поверхностей детали зависит от требуемого преобразования светового потока. Ориентация полупроводников пре- дусматривает определения кристаллографической плоскости, в которой материал имеет заданные электрические свойства. Ориентацию оптически изотропных диэлектриков (КС], NaCl, KBr, NaF, LiF, CaF2 и др.) выполняют по отношению к оси роста кристалла либо по направлениям плоскостей спайности. Ориентацию одноосных диэлектриков (SiO2, СаСО?, А12О3, CaWO4, КН2РО, и др.) выполняют с помощью поляризационного микроскопа или коноскопа, наблюдая картины интерференции. Метод основан на том, что эти картины в сходящемся пучке поляризованного света можно видеть только в направлении оптической оси кристалла. В зависимости от распределения раз- ности хода и направления колебаний в различных пучках вид картин при про- хождении света через пластинку, вырезанную перпендикулярно и параллельно оптической оси, неодинаков. Если плоскости разреза отклоняются от положения, перпендикулярного или параллельного оптической оси, фигуры смещаются с центра поля зрения. Отклонение определяют по углу, иа который необходимо наклонить пластинку для их совмещения. Ориентация кварца с естественными гранями может быть выполнена по его внешним признакам, так как оптическая ось кристалла проходит от вер- шины пирамиды перпендикулярно плоскости, лежащей вдоль естественного штриха на гранях. Точность такого способа ориентации невысока. Погрешность Достигает 3°. Ориентацию с точностью порядка единицы выполняют с помощью коиоскопа (рис. 2.1). Свет от источника 4 направляется параллельным пуском а поляризатор — полупрозрачное зеркало 3, проходит через объектив 5 контро- лируемую пластинку 6 и попадает на зеркало 7. Отраженный от него свет 6.1
Рис. 2.1. Оптическая схема коно- скопа падает на анализатор 2 и попадает > окуляр 1. Стол, на который устанавли. вают образец, может изменять угол на, клона по отношению к оптической оси прибора. Помимо двойного лучепрело^ ления кварц способен вращать пло< кость поляризации. Различают право- и левовращающий кварц. Направление вращения плоскости поляризации в кри' сталле определяют, вводя между объек тивом 5 и испытуемым образцом конт рольную пластинку из кварца, направ ление вращения плоскости поляризации которого известие. Если вид коиоскопи- ческой картины не меняется, направлю ния вращения плоскости поляризации ис пытуемого кристалла и контрольного об- разца одинаковы; если вместо креста будут видны спирали Эри, то направле- ния неодинаковы. Направление, в кото- ром искривлены концы спирали, соответ- < ствует направлению вращения плоскости поляризации. Ориентация кальцита, так же как и кварца, в начальной стадии! может быть выполнена по внешним при- знакам кристалла — его оптическая ось проходит через вершины ромбоэдра с ту- пыми углами между гранями. Ориентация поверхностей относительно оптической оси проще, чем у кварца, так как кальцит имеет совершенную спайность и посто- янство углов между плоскостями скола и направлением оптической оси. Положе- ние ориентируемых поверхностей контролируют на коноскопе. Ориентацию рубина выполняют иа конометре (рис. 2.2), состоящими из осветителя с поляризатором 1, зрительной трубы с анализатором 2, держа- теля 3, который может перемещаться в горизонтальной плоскости и поворачи- ваться вокруг вертикальной оси. Ориентируемый кристалл 4 устанавливают в держатель, вращением вокруг оси роста находят положение, при котором опти- ческая ось располагается в горизонтальной плоскости (этому положению соот- ветствует наиболее темная окраска кристалла), фиксируют это положение и опускают держатель в кювету 5 с иммерсионной жидкостью, что исключает при наблюдении влияние цилиндрической формы образца. Поворотом держателя вокруг вертикальной оси кристалл устанавливают так, чтобы его оптическая ось совпала с оптической осью прибора. При этом должна наблюдаться коиоско- пическая картина, симметричная относительно центра поля зрения. По лимбу держателя отсчитывают искомый угол. Перемещением кристалла в горизонталь- ном направлении определяют ориентацию оптической оси по его длине. 62-
иентацию полупроводников проводят рентгеновскими или .вескими методами. оптич‘;1-"‘ г е и о в с к и й метод основан иа отражении рентгеновских лучей Р е пХНости полупроводникового материала. Интеисивнось отражения зависит от п0Втности упаковки атомами данной плоскости. Кристаллографической плос- от пло goJ]ee ПЛотно упакованной атомами, соответствует большая интенсив- ности. отражения лучей. Кристаллографические плоскости полупроводниковых Н0СТЬ налов характеризуются определенными углами отражения падающих иа М2херентгеиовских лучей. Величины этих углов для германия и кремния приве- дены в табл. 2.1. Таблица 2.1. Углы отражения дли германия и кремния Полупро1,од,1ИКО" вый материал Углы отражения от плоскости (111) 1 (110) j (100) Германий Кремний 17°14' 17с56' 28с56' 30° 12' 43° 10' 45°23' Рентгеновский дифрактометрический метод осиоваи на измерении угла от- ражения характеристического рентгеновского излучения от идентифицируемой плоскости. Для этого применяют рентгеновские дифрактометры общего назна- чения, например типа ДРОН-1,5, или рентгеновские установки, например типа УРС-50И (М), и другие, снабженные рентгеновскими гониометрами и устройст- вами, обеспечивающими вращение горизонтально располагаемого монокристалла вокруг оси с заданной скоростью. При проведении измерения (рис. 2.3) падающий на торцевой срез моно- кристалла рентгеновский луч направляют под брэгговским углом отраже- ния р, град, рассчитываемым по формуле P=arcsin nX/(2d), где d— межплос- костиое расстояние, им; Z—длина волны характеристического излучения рент- геновской трубки, нм; п — порядок отражения. Счетчик рентгеновских квантов (Гейгера) располагают под углом 20 к па- дающему лучу. Если ориентируемая плоскость, например (111), составляет некоторый угол а с торцевым срезом монокристалла, то отражение от иее мож- но получить, повернув монокристалл на этот же угол. Определение угла отражения проводят относительно двух взаимно перпен- дикулярных осей, одна из которых лежит в плоскости чертежа (рис. 2.3), а 1,с- 2.3. Схема ориентации моно- Ристаллов полупроводников рентге- невским методом: MoZn адаюи*вй рентгеновский луч; 2 — черисталл; 3 — отраженный рентгенов- ский луч; 4— счетчик Гейгера Рис. 2.4. Схема ориентации моно- кристаллов полупроводников опти- ческим методом (в) и световые фи- гуры (б), отражающиеся от плоско- стей, ориентированных различным образом 63
другая — в перпендикулярной ей плоскости. Угол разориеитации измеряемое плоскости у, град, от плоскости (hkl) рассчитывают по формуле Y=arc(tga2+tg₽2)12. (2.1) При аир менее 7° формула (2.1) упрощается: у= (а2+р2)'/2. Оптический метод основан на том, что на протравленной в селектив- ном травителе поверхности полупроводника возникают фигуры травления, коц. фигурация которых определяется ее кристаллографической ориентацией (рис. 2 4, а). На поверхности (111) фигуры травления имеют форму трехгран, ных пирамид, а иа (100)—четырехгранных. При оснащении такой поверхности параллельным пучком света отражающиеся лучи будут образовывать иа экране световые фигуры типа показанных на рис. 2.4, б. В зависимости от того, насколько сильно отклонена плоскость торцевого среза монокристалла от плоскости (hkl), световая фигура, образованная от- раженным пучком света, будет находиться ближе или дальше от центра экра- на. По величине отклонения световой фигуры от нулевого деления экрана определяют угол отклонения а плоскости торца монокристалла от плоскости (hkl). Затем, поворачивая монокристалл на 90°, определяют другой угол р После выполнения ориентации монокристалла на его торце твердосплавным резцом наносят стрелку, направление которой указывает, в какую сторону от торца монокристалла отклонена требуемая плоскость. Точность ориентации мо- нокристаллов полупроводников рентгеновским методом ±(2...6)', а оптическим ±(15.30)'. Затем на монокристалле делают шлифовкой базовый и дополнительные (маркировочные) срезы. Первый необходим для ориентации подложек иа опе- рациях фотолитографии. Его располагают в направлении [НО] или под уг- лом 45° к направлению [112]. Дополнительные срезы делают для идентифика- ции пластин полупроводников различных марок и имеющих различную кристал- лографическую ориентацию. Примеры расположения дополнительных срезов по- казаны на рис. 2.5. Базовый срез шлифуют до получения дорожки шириной 18...22; 20...25; 30...35 мм, а дополнительные срезы до 8...10; 9...11 и 16...20 мм для монокристаллов диаметром 60, 76 и 100 мм соответственно. Базовые и дополнительные срезы на монокристалле делают на плоскошли- I фовальном станке, снабженном алмазным шлифовальным кругом зернистостью I 50/40. Режимы шлифования; частота вращения шлифовального круга 2500+500 мин-1; скорость продольной подачи монокристалла 3...4 мм/мин; скорость вертикальной подачи шлифовального круга 5-10-3...1 10-2 мм/мин; скорость поперечной его подачи 0,1.. 0,2 мм за двойной ход. После шлифовки базовых и дополнительных срезов монокристалл полупроводника подвергают химическому травлению для удаления нарушенного поверхностного слоя. При ориентировании торцевых срезов монокристаллов кремния и германия реитгенодифрактометрическим методом или методом световых фигур определя- ются направление разориеитации и величина угла между плоскостью торцевого среза монокристалла и заданной кристаллографической плоскостью, делается разметка торца монокристалла. Эти данные указываются в сопроводительной документации и затем используются при установке монокристалла в стайке для резки его на пластины, причем угол установки монокристалла обычно прихо- дится корректировать после проверки ориентации поверхности контрольной пла- стины. Использование автоколлимациоиного метода в сочетании с методом свето- вых фигур дает возможность ориентировать монокристаллы непосредственно иа станках для резки. Приборы могут крепиться на ориентируемом монокристалле и на держателе, на котором наклеивается монокристалл. Приборы предназначены для исполь- зования на станках, характеризующихся таким расположением ориентируемого монокристалла и отрезного круга, при котором торцевая поверхность монокри- сталла и поверхность отрезного круга, отражающие пучок лучей, выходящий из объектива автоколлиматора, обращены в одну сторону (станки типа «Ал- маз-4»), Если монокристалл и отрезной круг расположены так, что поверхности, от которых должен отражаться выходящий из объектива автоколлиматора пучок 64
еа обращены в разные стороны, как на стайках «Алмаз-бМ», то с целью ^Леспечеиия возможности ориентирования монокристаллов автоколлимациоиным годом необходимо перед объективом автоколлиматора установить отражаю ОТКЛОняет пучок лучей, объектива и направляет качестве такого элемен- 14ий элемент (светеделительное устройство), который Ш ходящий из объектива, иа 90° по отношению к оси в двух взаимно противоположных направлениях. В а используется прямоугольная отражающая призма. «1 Рис. 2.5. Расположение дополнительных срезов на пластинах кремния различ- ных марок и кристаллографической ориентации: КДБ-10 (1U); б) КЭФ-4,5 (100); в) КЭФ-4,5 (III); е) КДН-10 (100); О) КЭФ-7.5 } D; е) КЭФ-1 (ПО; ж) КЭФ-0,5 (111); з) КЭФ-0.2 (III); и) КЭФ-2 (111); к) КЭС-0.01 '‘И); л, м) кремний п- и p-типа проводимости соответственно, ие вошедший ни в одну группу марок 5 Заказ 1270 65
При ориентапии монокристаллов на станке «Алмаз-бМ» (рис. 2.6) пучок лучей света направляется из осветителя 1 через диафрагму 2 на полупрозрачное зеркало 3, отразившись от которого через объектив 11 падает на призму 10, имеющую отражающее покрытие на катетных гранях. Пучки лучей, отраженные гранями призмы, поочередно с помощью шторки 8 направляются через защитные стекла 7 на торец монокристалла 6 и иа поверхность отрезного круга 9. Отра- женные лучи проходят через полупрозрачное зеркало и наблюдаются на матовом экране с сеткой 4 через окуляр 5. В процессе ориентирования добиваются совме- щения в перекрестии сетки автоколлимациониых бликов от торца монокристалла и поверхности отрезного круга, которое свидетельствует о параллельности за- данной кристаллографической плоскости отрезного круга. Совмещение достига- ется поворотом автоколлиматора вокруг вертикальной и визирной осей и пово- ротом монокристалла вокруг вертикальной и горизонтальной осей. При ориентации полупроводниковых кристаллов, используемых в лазере, допустимое отклонение поверхности обработки от заданной кристаллографической плоскости ограни- чено пределом от 30" до Г, так как от точности их совмещения зависит качество эпитаксиальных пленок и мощность генератора. Такую точность обеспечивает метод, в основу которого положен закон постоянст- ва углов кристаллов. По известному направлению и значению углов между кристаллографическими плос- костями, из которых одна является базовой, можно найти положение любой другой плоскости. Ориента- ция сводится к следующему (рис. 2.7): определив предварительно по фигурам отражения плоскости спайности, скалыванием по (111) наносят площад- ки 1 и II, которые пересекаются с ориентируемой поверхностью А, а между собой составляют угол Рис. 2.7. Ориентация по постоянству соотношения углов кристаллов 66
ло (или 120°) при ориентации по плоскости (111) или у=90° при ориентации скостям (40) и (100). Углы а, и а2 между ориентируемой поверхностью по ПЛ°костями сколов измеряют на гониометре с точностью ±5". В соответствии й ПЛ оиом постоянства углов значения углов fi, и р2, образуемых плоскостями с заК с искомой кристаллографической плоскостью, известны. Разность зиаче- СК0-ЛСмеждУ этими и измеренными углами (а, и а2) характеризует направление НИ11 мого угла отклонения ориентируемой поверхности от искомой кристаллогра- фической плоскости. 2.2- Материалы для наклейки слитков, пластин и кристаллов Для закрепления монокристаллических слитков, пластин и кристаллов в тех- нологическом процессе их обработки (резки, шлифования или полирования) применяют клеящие вещества, которые наряду с обеспечением надежного соеди- нения должны хорошо сниматься с поверхности после завершения обработки. К наиболее распространенным материалам относятся сплавы термопластичных веществ (наклеечные смолы, наклеечпые парафины, наклеечные воски, сплавы металлов с низкой температурой плавления), сублимирующиеся вещества и гипс, водные растворы которого переходят в твердое состояние. Наклеечные смолы. Представляют собой смеси ряда веществ, взятых в опре- деленных весовых соотношениях. Основные компоненты иаклеечиых смол сле- дующие. К а н и ф о л ь — остаточный продукт переработки естественной смолы (жи- вицы) сосны; хрупкая, прозрачная, стекловидная масса желтого цвета. Выпу- скается четырех марок: А, Б, В, Г, в которых содержание влаги изменяется от 0,2 до 0,4%, а механические примеси колеблются от 0,03 до 0,1%. Температура размягчения равна 341, 339, 327 и 346 К соответственно для всех марок Кани- фоль растворима в маслах, ацетоне, эфире, спирте, скипидаре и хуже в бензине. Она имеет высокую клеящую способность и входит в наклеечные смолы всех марок в количестве от 25 до 90%. С увеличением содержания канифоли твердость и температура размягчения смолы повышаются. Пек сосновый — остаточный продукт переработки дегтя, получаемый путем термической обработки древесины с большим содержанием смолы. Тем- пература его размягчения 318...333 К. Пек хорошо растворяется в бензине, аце- тоне и хуже в спирте. Он является компонентом наклеенных смол большинства марок, где играет роль пластификатора, понижая вязкость смолы, придавая ей эластичность и увеличивая клеящую способность. При низких температурах пек течет. Парафин — белый термопластичный материал с крупнокристаллической рыхлой структурой. Температура размягчения и плавления 323...338 К- При на- клейке полупроводниковых пластин к шлифовальным и полировальным план- шайбам иа нагретую до температуры 333 ..343 К металлическую планшайбу на- носят тонкий слой парафина, на который укладывают полупроводниковые пла- стины, а сверху создают равномерную нагрузку. При затвердевании парафин жестко скрепляет контактируемые поверхности. Парафин устойчив к действию Щелочей и кислот. Коэффициент усадки 10... 12%. Битум — продукт, получаемый из тяжелых нефтяных остатков, богатых асфальтосмолистыми веществами. Его основные составляющие: асфальтены, смолы, нефтяные масла. Первые обусловливают твердость, вторые — цемента- цию и эластичность битума, третьи являются средой, разжижающей смолы и асфальтены. Температура размягчения твердого битума 333...363 К В состав смол его вводят в количестве до 2% для повышения упругих свойств Воск — желтый термопластичный материал органического или раститель- ного происхождения. Он имеет кристаллическое строение и представляет собой соединение сложных эфиров, спиртов, кислот. Растворяется в ацетоне, трихлор- этилене, бензине и плохо в спирте. Устойчив к действию кислот. При нагревании До 333 ..343 К размягчается и при дальнейшем повышении температуры расплав- ляется. При охлаждении застывает, образуя твердую пленку, коэффициент усад- ки 4,5%. В состав смол некоторых марок воск вводят в количестве от 3 до ”% для повышения пластичности. 5* 67
Шеллак — смолистое вещество чешуйчатого строения, желто-коричневог нвета, органического происхождения Температура размягчения 353...363 К, плав° ления 383...393К. Плотность 1,04 г/см3. Коэффициент усадки 3,6%, водонепр<й вицаемость не превышает 5%. Растворяется в спирте и щелочах; почти нерас. творим в эфире и бензине. В состав смол некоторых марок его вводят 8 количестве 4О...5О% для повышения механической прочности. В смолах, исподЗ зуемых в больших количествах, дорогостоящий шеллак заменяют тальком или графитом. Используется для наклейки как в чистом виде, так и в виде шеллач- но-бакелитовой мастики, состоящей из перемешанной смеси: 380 г шеллачного и 40 г бакелитового лака, 3 г уротропина, 550 г талька и 350 г литопона. Вве- дением в мастику этилового спирта задают требуемую вязкость. Церезин — термопластичное кристаллическое вещество желтоватого цве- та. Усадка при охлаждении 7.8%. Температура размягчения и плавления на- турального и синтетического церезина 333...353 и 363...373 К соответственно. Номенклатура наклеечиых смол, учитывающая вид операции, конструктив- ные формы, размер и точность деталей, весьма широка. Приготовление наклеечиых смол сводится к подготовке смеси компонентов, взятых в соответствующих весовых количествах, ее расплавлению, гомогенизаций путем перемешивания, расфасовке сваренной смолы. При варке смол с наполни- телем последний нагревают отдельно и вводят в состав основных компонентов после их расплавления. Из наклеечиых смол широко используют следующие. П и ц е и н — термопластичное вещество. Его получают смешиванием 25 ча стей канифоли и 75 частей пчелиного воска. Смесь расплавляют и варят в те- чение нескольких часов, а затем фильтруют. При нагревании до температуры 343...363 К пицеии размягчается. Заливочный состав выпускается двух марок: хрупкий и пластичный. Хрупкий состав состоит из 80% канифоли и 20% церезина, пластичный — из 75% канифоли, 10% озокерита, 5% битума и 10% вазелинового масла. Темпе- ратура размягчения этих составов 338 К- Наклеечиые смолы используют также для пропитывания ткани (ситец, ба- тист, бязь, марля) и последующего изготовления из нее прокладок. Наклеечиые воски. Представляют собой сплавы канифоли с воском. Коли- честв*? канифоли в сплавах различного состава 80...90%, воска 20...10%. Приме- няют наклеечные воски для склеивания заготовок между собой и фиксации их положения на приспособлениях. Вследствие высокой вязкости сплавов толщина клеящего слоя составляет 0,2...0,3 мм. Наклеечные парафины. Представляют собой сплавы парафина с воском: парафин составляет 15. .20%, остальное воск. Сплавы пластичны и текучи, тол- щина клеящего слоя 0,05 ..0,1 мм. В некоторые сплавы для повышения их твер- дости вводят канифоль (до 50%). Сублимационные клеи. Образуются из смеси алкисодержащих фреонов. Име- ют малую вязкость, что позволяет получать толщину клеящего слоя 0,002...0,003 мм. При этом прочность соединения заготовок с приспособлением в 2...3 раза выше приклеивания смолой. Клеи отличаются также тем, что при нагревании они увеличиваются, не оставляя загрязнений иа поверхности загото- вок и приспособления. Недостаток алкисодержащих фреонов — необходимость нагрева заготовок и поиспособления до 333...343 К при их соединении и до 390...403 К при разработке. Для крепления слитков пластин используют нижеприведенные клеящие ма- териалы Клеящие смеси на основе эпоксидных смол. В их состав входят отвердители, пластификаторы, наполнители и разбавители. Например, используют состав, содержащий 36% эпоксидной смолы ЭД-5 или ЭД-6, 53% карбоната кальция, 2% коллоидного кремнезема и 9% алифатических аминов. Процесс склеивания происходит при 293 К в течение 4 ч. Клеящая пленка легко смывается в воде при комнатной температуре. Глифта левый лак — синтетическая алкидная смола, модифицирован- ная канифолью и растительным маслом; прозрачная жидкость обладает высокой клеящей способностью. Температура размягчения 373...383 К. 68
„стирол — порошок белого и желтого цветов, растворяется в то- 11 ° Образованием однородной вязкой жидкости. При температуре 353...358 К Лу-оле с размягчается, а при 413...433 К плавится. При приклеивании слитка полн^Оачывают эмульсионным полистиролом и сушат при 358 К в течение ие- еГ°п?ких часов. С1< К л е й БФ используют с абразивным микропорошком М20 или М14 в соот- к 30% клея и 70% микропорошка М20 или М14 (размер основной фрак- HOUie20 14 и-ии 14...10 мкм соответственно). цИИ д е й а к р и л о в ы й иа основе акрилового связующего с добавкой пласти- агора, наполнителя и растворителя. Предназначен для приклеивания поли- (₽'"'®1>ны’х материалов типа политекс, поливел и им подобных. Этот клей имеет РОВкосп> 75..105 Ст при 293...296 К, высокие адгезионные свойства (прочность ВЯрпления материал в исходном состоянии 11210 мН/см, после щелочения в детворе с рН=12 при 313 К —753 мН/см), устойчив к воздействию водио-ще- лочной среды и механических нагрузок. После отработки полировальный мате- риал снимается без затруднений, остатки клея на полировальнике легко уда- ляются. Мастика пластификатора клеящая иа основе термопластичной полимерной смолы, и растворителя. Эта клеящая композиция предназначена для временного крепления полупроводниковых пластин к металлическому носителю. Она имеет стабильную вязкость 25±5 Ст в интервале рабочих температур 295 ..328 К, устойчива к воздействию водио-щелочиой среды и механических на- грузок (предел прочности при сдвиге стальных пластин составляет 0,8 МПа и снижается до 0,72 МПа после двухчасового щелочения в растворе рН=12 при температуре 328 К). Высокие адгезионные свойства этой мастики обеспечивают возможность использования автоматизированного оборудования в процессе поли- рования, за счет малой и равномерной толщины слоя мастики возможно дости- жение высокой плоскостности полируемых пластин. Сплавы металлов с низкой температурой плавления (333...363К). По ряду технологических свойств имеют преимущества перед смолами: блок может быть разобран погружением в теплую воду, что исключает надобность в сложных установках, сокращается расход органических растворителей для промывки за- готовок, малы потери сплава, возможно его многократное использование. При- мером таких материалов является сплав Вуда: висмут 50%, свинец 25%, оло- во 12,5%, кадмий 12,5%. Предел прочности сплава 0,5 Па, относительное удли- нение 25%. Гипс. Мелкозернистый порошок, получаемый обжигом природного двувод- ного (CaSO4-2HjO) гипса до его превращения в полуводный (2Са8О4-Н2О). Раствор гипса в воде по истечении некоторого времени затвердевает и удержи- вает заготовки действием сил трения и сцепления. Увеличение объема затвердев- шего раствора характеризуют удельным расширением. Расширение нейтрализуют, добавляя в гипс цемент (6...8% от массы гипса), который при затвердевании уменьшается в объеме. С увеличением содержания цемента продолжительность затвердевания раствора сокращается, ио затрудняется разборка блоков и отде- ление заготовок. Продолжительность рабочего состояния раствора (время от засыпки гипса в воду до заливки блока) составляет около 3 мии. Время за- твердевания длится от 4 до 6 ч. 2.3. Резка монокристаллов Резка с помощью дисков. Режущим инструментом являются металлические Диски из тонкой стали с внутренней или внешней режущей кромкой, армиро- ванной искусственными или природными алмазами. Используют высококачест- венную хромоникелевую Сг 16,5.-20,2% (по массе) и Ni 6,4—0,15% (по массе) нержавеющую сталь аустенитного класса, иногда с присадками молибдена, ти- тана и (или) ванадия. Сталь должна обладать высокими временным сопротив- лением, пределом текучести и остаточным удлинением после разрыва. На пе- риферии диска расположены отверстия, служащие для закрепления и равномер- ного натяжения его на барабан (рис. 2.8). Для предотвращения возможного разрыва диска при регулировке его натяжения между патроном и кольцами 69
Рис. 2.8. Барабан для кре„ леи и я отрезного диска J внутренней режущей мазной кромкой: J 1 — барабан; 2 — буртик; з спорный выступ; 4 — регулнр^ ющие прокладки; 5 — зажим." ные кольца; 6—отрезной диск- 7 — винты ai Рис. 2.9. Формы режущей кромки алмазных ди- сков: а — непрерывная; б, г — волнообразная; в — прерыви- стая (вид сбоку): д — однослойная; е — двуслойная; ж — в виде спички (в разрезе) устанавливают прокладки из текстолита. Режущая кромка создается на краю отверстия в центре диска алмазным шлифпорошком, закрепляемым электрохи- мическим осаждением металла-связки. Для этого используют никель, кобальт, их сплавы, хром и другие материалы. Существуют различные формы режущей кромки (рис. 2.9). Толщина металлической основы диска составляет 0,05...0,12 мм, а режущей кромки — 0,25...0,3 мм. Выбор толщины диска определяется с учетом потерь материала при резке, срока службы диска, качества пластины и др. Поскольку зернистость алмаза отрезных кругов определяет шероховатость и глубину нарушенного слоя, то ее выбирают с учетом физико-мехаиических свойств обрабатываемого материала полупроводника. Для резки твердых крем- ния и фосфида галлия, имеющих микротвердость 11,3 и 9,3 ГПа соответственно, применяют алмазы зернистостью 60/40 или 50/40. Для резки более мягких гер- мания и полупроводниковых соединений AH1BV, например арсенида галлия и индия, микротвердость которых 7,4—7,7 и 3,2 ГПа соответственно, используют отрезные круги с алмазами зернистостью 40/28 и 28/20. Для снижения шероховатости поверхности пластин и уменьшения толщи- ны режущей кромки диска, определяющей ширину реза и потери полупровод- никового материала при резке, алмазы оптимального размера закрепляют только на торце режущей кромки. На боковых сторонах ее наносят алмазы в 2...3 раза меньшего размера. При резке тонких пластин хрупких полупровод- никовых материалов лучшие результаты дает применение алмазных отрезных кругов со сплошной режущей кромкой При резке толстых пластин предпочти- тельнее использовать алмазные круги с прерывистой (сегментообразной) ре- жущей кромкой. Она обеспечивает хороший подвод охлаждающей жидкости к зоне реза и вывод из нее алмаза, «засаливающего» режущий алмазный слой полупроводниковой пылью. Важным условием правильной работы алмазного отрезного круга, влияю- щим на точность размеров и качество поверхности пластины, а также на ши- рину среза и связанные с ней потери полупроводникового материала, является 70
Рис. 2.10. Схема сил, действующих на монокристалл полупроводника при рез- ке его диском с внутренней алмазной кромкой (я), и зависимость этих сил и производительности Q от скорости резания fB (б): I — внутренняя режущая кромка диска; 2 — монокристалл правильное натяжение его па барабан. Ойо контролируется электронным при- бором, работа которого основана на изменении частоты колебаний основного тона металлического диска, зависящей от окружного напряжения на его режу- щей кромке. Для алмазных кругов марки 206x83x0,1 (206 — наружный диа- метр диска, 83 — диаметр его внутреннего отверстия и 0,1 —толщина диска, мм), изготовленных из хромоникелевой стали, оптимальная частота основного тона при натяжении составляет 1100...1180 Гц, а для кругов марки 422X152X0,1 — 550...620 Гц Так как в ходе работы алмазного отрезка круга под влиянием сил резания диск растягивается, то его натяжение проверяют периодически, регу- лируя ие реже одного раза в смену. Радиальное и осевое биение барабана, на котором натянут алмазный отрез- ной круг, может привести к раскалыванию отрезаемых пластин и даже к срыву монокристалла с оправки. Радиальное биение режущей кромки относительно оси вращения барабана ие должно превышать 0,01 мм. Режимы резки монокристаллов полупроводников устанавливают эмпириче- ски, исходя из физико-механических свойств материала, диаметра и толщины пластины, требований к точности ее геометрических размеров и шероховатости поверхности. В общем случае с учетом сил, возникающих в монокристалле при резке его алмазным отрезным кругом с внутренней режущей кромкой (рис. 2.10, а), чем больше окружная скорость резания (частота вращения диска), тем меньше осевые Ру и тангенциальные Рг усилия (рис. 2.10,6). Следствием этого является уменьшение местных деформаций диска, что улучшает парал- лельность плоскостей отрезаемых пластин и качество их поверхностей. Однако при увеличении скорости резания свыше 22 м/с (частота вращения диска около 5000 мии-1) резко возрастают вибрации станка для резки и температура в зоне резания. Правильное натяжение алмазного диска и оптимальные режимы резания (например, для кремния окружная скорость 17.. 22 м/с, или 4000 ..5000 мин-1, и скорость подачи монокристалла не более 30...40 мин-1) должны обеспечивать следующие параметры отрезаемых пластин: допуск на толщину Дг/, зависящий от точности механизма подачи моно- кристалла, у пластин, отрезанных на прецизионных автоматических станках, *10 мкм для 90% всех пластин диаметром 76 мм; 71
Рис 2.11. Погрешности формы полу, проводниковой пластины: / — непараллельность плоскостей (клнновид. иость); б — неплоскостность (вогнутость)' в — то же (выпуклость); г — изогнутость’ непараллельность (клиновидностъ) Mi (рис. 2.11, с), характеризующая мак- симальную разность толщин пластины и обусловленная теми же причинами, что и прогиб, менее 20 мкм для 97% пла- стин; прогиб f (рис. 2.11,г), определяе- мый уменьшением натяжения диска и износом его режущей кромки, 15.. 20 мкм для 90% пластин; шероховатость, зависящая в основ- ном от зернистости алмаза и состояния (износа) режущей кромки алмазного круга, 2...3 и 0,25...0,50 мкм при зерни- стости 60/40/?z; глубина нарушенного слоя, как и шероховатость, зависящая от состояния алмазного круга, при резке в оптимальных условиях должна иметь область трещин, распространяющуюся на глубине не более 20 мкм. Перед резкой монокристаллы полупроводников приклеивают наклеечной мастикой торцевой или цилиндрической поверхностью к оправке (рис. 2.12). Оправку с монокристаллом закрепляют в держателе, расположенном на рабочем столе станка для резания Он снабжен поворотной головкой, позволяющей поворачивать монокристалл в вертикальной и горизонтальной плоскостях на за- данный угол, что обеспечивает возможность ориентированной резки пластин по заданной кристаллографической плоскости. Цепа деления головки 6' Резку монокристаллов полупроводников на пластины осуществляю! на ав- томатических высокоточных станках с программным управлением (рис. 2.13). Рис. 2.12. Способы наклеивания монокристалла на оправку торцевой (а) и цилиндрической (б) поверхностей и крепления оправки в держателе: / — монокристалл; 2 — клеящая мастика; 3 —основание оправки: 4 — ее корпус; 5— тек- столитовая подкладка; 6 — шкала; 7 — стопорный винт; 8— стойка держателя; 9—мик- рометрический винт 72
Рис. 2.13. Схема управления автоматического стайка высокоточного резания монокристаллов полупроводников на пластины Станок снабжен автоматической приставкой для снятия отрезанных пла- стин. При подаче монокристалла на один шаг, равный толщине пластины, ва- куумная присоска подается к торцу монокристалла и прикрепляется к нему. После отрезки пластины монокристалл и присоска вместе с пластиной отходят назад от отрезного круга на шаг подачи, вакуум отключают и пластина сбра- сывается на направляющие. С них она попадает иа конвейер, переносящий ее на край станины стайка и сбрасывающий в емкость с водой В процессе изнашивания рабочей поверхности алмазного диска происходит выкрашивание из связки отдельных непрочно закрепленных алмазных зерен, округление их вершин, расщепление и частичное скалывание отдельных зерен по плоскости спайности, а также увеличение механической установки диска. Стойкость алмазных дисков связана с основными технологическими фак- торами соотношением G=GcVaISb, где V — скорость резания; S — скорость по- дачи диска; Gg — коэффициент, характеризующий материал; а, Ь — постоянные величины. Натяжение алмазных дисков также определяет их стойкость. Недостаточ- ное натяжение приводит к искажению формы отрезаемых пластин, их поломке; отклонению от плоскости ориентации пластин и т. п Чрезмерное иатяжеиие Диска увеличивает его износ. Алмазный отрезной круг с внутренней режущей кромкой в качестве инст- румента на операции разрезки монокристалла должен удовлетворять ряду про- тиворечивых требований Так, для обеспечения высокой осевой жесткости круга требуется увеличивать толщину корпуса круга и повышать степень натяжения; 73
Рис. 2.14. Перфорированный алмазцке отрезной круг Ы|1 В на- ОСЛО- круга рези- натя- КОЛЬ- для снижения ширины пропила толщЛ ну основы приходится снижать, а дл’ предотвращения неупругих деформацИл материала корпуса круга—ограничу вать степень натяжения. Однако вся. кое изменение одних параметров ири' водит к однозначному изменению дру. гих. Практически в производственных условиях имеется возможность эффе1-, тивного изменения только одного пара. метра — степени натяжения. Наиболее распространенным сноса, бом натяжения отрезного круга стоящее время является способ, ванный на вдавливании корпуса в кольцевую канавку с помощью новой манжеты, в которую под давлением закачивается масло. Процесс жения сопровождается неупругими деформациями корпуса круга в зоне цевой канавки (об этом можно судить по выпуклости на снятом со станка от- резном круге). Так как материал корпуса круга в процессе прокатки приобре- тает анизотропию механических характеристик, то величина пластических дефор- маций зависит от направления прокатки. Это вызывает неравномерное натяже- ние круга и искажение его плоскости. Величина предварительного натяжения отрезного круга обычно ограничена окружными напряжениями в корпусе отрезного круга в районе режущей кром- ки, которые приближаются к пределу прочности материала. Высокие значения предварительного натяжения приводят к тому, что становится заметной релак- сация напряжений в корпусе круга и в процессе работы отрезной круг прихо дится «подтягивать». В рассмотренной конструкции отрезного круга неупругие деформации кор- пуса имеют место в двух кольцеобразных зонах — возле режущей кромки и возле крепежных отверстий. Осевая жесткость инструмента обусловлена окруж- ными напряжениями (для этого круг и натягивают), поэтому неупругие дефор-1 мации вызывают снижение жесткости инструмента. Внешне это проявляется в увеличении неплоскостности отрезаемых пластин. Разработана конструкция алмазного отрезного круга, позволяющая управлять напряженно-деформирован- ным состоянием инструмента (рис. 2.14). Отрезной круг имеет внутреннее кольцо 1, на котором расположена режу- щая кромка 2, и наружное кольцо 3, на котором имеются отверстия 4 для крепления круга иа режущей головке стайка. Эта же часть служит для натяже- ния отрезного круга. Внутреннее и внешнее кольца соединены перемычками 5, которые представляют собой участки корпуса отрезного круга, расположенные между криволинейными отверстиями. Оси перемычек прямолинейны и образуют сетку из взаимопересекающихся прямых линий, причем угол а между осями перемычек и радиусом круга, проведенным через точку соединения перемычки с внутренним кольцом, может находиться в пределах от 30 до 90°. Расположение перемычек под углом к радиусу дает возможность выполнить отверстия между ними вытянутой в окружном направлении формы, что снижает коэффициент концентрации напряжений и обеспечивает прочность внутреннего кольца при большей степени натяжения, чем в случае круглых или вытянутых в радиальном направлении отверстий. Для растяжения весьма узкого внутреннего кольца, как это следует из решения задачи Ляме, требуется сравнительно небольшая растягивающая ра- диальная распределенная нагрузка р: р—0,5оы(1—п2/Ь2), где оы — напряжение по окружности радиусом а; а, b—внутренний и наружный радиусы кольца. При малых значениях угла а в местах соединения перемычек с внутренним кольцом возникает значительная концентрация напряжений, что приводит к раз- 74
внутреннего кольца при малых степенях натяжения отрезного круга и -ушенн*0 ряжениях в перемычках. Из за этого отрезной круг имеет низкую низки* н р ОТрезанные таким кругом пластины имеют неплоскую поверхность. Зкестк°ст’’чеинем уГЛа а растягивающие напряжения в перемычках можно до- ^ве уровня напряжения вблизи режущей кромки. Оптимальное значение вести Д д* зависит от размеров внутреннего и наружного колец, от числа пе- 5того У их ширинь! При условии равнонапряжениого состояния режущей р*мыЧ и перемычек угол а рассчитывается по формуле a=arccos[n(62—a2)/bcn], кромк ширииа перемычки; п — число перемычек. тДе определение оптимального угла а по приведенной выше формуле позволяет получить максимальное упругое удлинение перемычек, что дает возмож- та* компенсировать иеупругие деформации внутреннего кольца и тем самым "ыотвратить потерю устойчивости режущей кромки. ЯР при малой ширине внутреннего кольца и значении угла а, близком к 90°, яется получить минимальную радиальную жесткость отрезного круга при ^.ранении достаточно высокой осевой жесткости. Такая конфигурация отрезно- го круга перспективна для резки монокристаллических материалов с низкой механической прочностью. При увеличении угла а свыше 90° натянуть отрезной круг не удается, так как деформация растяжения не передается иа внутреннее кольцо с режущей кромкой. Максимальная частота вращения ограничена вибрациеи алмазного диска, температурой зоны резания и сроком его службы. Для сохранения стойкости круга и качества отрезаемых пластин следует добиваться, чтобы радиальное биение режущей кромки не превышало 0,005...0,01 мм. Существует область оптимальных значений радиального биения режущей кромки в пределах 0,05...0,08 мм, когда обеспечивается высокая стойкость кру- гов при хорошем качестве отрезаемых пластин. При малых значениях радиаль- ного биения режущей кромки стойкость кругов невелика. На разрезаемый ма- териал со стороны единичных алмазных зерен практически ие действует нор- мальная нагрузка, способствующая образованию и распространению микротре- щин, ослабляющих разрезаемый материал и облегчающий выкалывание отдель- ных частиц. Значительные же тангенциальные нагрузки, действующие со сторо- ны монолитного материала иа единичные зерна, приводят к интенсивному раз- рушению и вырыву последних из связи. Увеличение радиального биения режу- щей кромки более 0,01 мм приводит к тому, что в круге используется очень малая часть режущей кромки. В результате приложения к работающим участ- кам круга повышенных циклических нагрузок происходит ослабление, пластиче- ское течение локальных участков корпуса круга, и он быстро выходит из строя. Положительно применение интенсифицирующих колебаний различной часто- ты при механической обработке твердых тел. Наложение колебаний может про- изводиться на режущий инструмент, иа обрабатываемую деталь и па смазочно- охлаждающую жидкость (СОЖ), подаваемую в зону резания. Наложение коле- баний позволяет повысить производительность и качество обработки, увеличить стойкость инструмента. Величина нарушенного слоя, остаточные напряжения, микроиеровиости, структурные изменения поверхности и износоустойчивость режущей кромки ал- мазного диска в значительной степени зависят от температурного поля в зоне Резки. Количество тепла, выделяемое в процессе резки, Q—pVA, где р — тан- генциальная составляющая силы резки; V — линейная скорость внутренней ре- жущей кромки алмазного диска; А—тепловой эквивалент работы. На рис. 2.15 приведены типичные графики распределения температуры в слитке полупроводникового материала при резке. Схема резки слитков полупроводникового материала с помощью диска с армироваииой алмазами внутренней частью диска показана иа рис. 2.16. При резке пластин используют набор алмазных дисков с внешней режущей кромкой общей длиной 150...700 мм. Натяжение, необходимое для придания жесткости тонкому диску с круглым вырезом в центре, создается давлением масла и механическим зажимом винтами (рис. 2.17). Жесткость диска и диаметр слитка оказывают существенное влияние иа изгиб отрезаемой пластины. 75
Рис. 2.15. Распределение тем- пературы в слитке при резке Рис. 2.16. Схема резки слитка на пластины алмазным диском с внутренней режущей кром- кой: 1 — металлический диск; 2 — ал- мазная кромка; 3 — слиток; 4 — держатель слитка Рис. 2.17. Методы создания натяжения диска: а — за счет масляного давле- ния; б — за счет механическо- го зажима винтами: 1 — О-об- разное кольцо; подача резки используют 2 — диск; 3 — масла Рис. 2.18. Многолезвийная пила: / — набор лезвий; 2 — слиток кремния многолезвий- ные пилы (рис. 2.18), однако создать одинаковое натяжение лезвий затрудни- тельно. Это приводит к ухудшению па- раллельности пластин, изменению их тол- щины. Резка абразивом с помощью прово- локи. Сходна с резкой многослойной пи- лой и осуществляется иа станках (рис. 2.19, в), в которых скорость пере- мотки проволоки мала. Проволока на- матывается иа ролики механизма 1, со- вер ша ющего возвр а тно- посту п ательное движение, обеспечиваемое шатуном 2. Проволока перематывается через механизм с катушки 3 иа катушку 5 посредством тормозного диска 4 и механизма пода- чи 6. При резке на проволоку непрерывно подается абразивная суспензия. Ско- рость резки определяется свойствами обрабатываемого материала, скоростью движения проволоки (либо кристалла), ее диаметром, количеством и величиной зерен абразива. Резку производят Проволокой из нержавеющей или струнной стали диамет- ром не менее 0,08...0,1 мм, покрытой тонким слоем алмазной крошки с разме- рами зерна 1...20 мкм. Связкой служит эпоксидная смола Для проволоки из магнитного материал в качестве абразивного порошка используется феррит. Резку материалов можно осуществлять также проволокой, движущейся с большой скоростью (рис. 2.19,6). Проволока натянута между двумя роликами с кольцевыми пазами, задающими шаг и тем самым необходимый размер 76
Рис. 2 19. Кинематические схемы станков проволочной резки: малой скоростью перемотки проволоки; 6 — с большой скоростью перемотки про- 0 " с волоки кристаллов. Здесь отсутствует шатунный механизм Резка происходит за счет быстрой перемотки проволоки с ролика 1 на ролик 2, проходя через слиток полупроводникового материала 3 и систему роликов 4. С помощью столика 5 и груза 6 слиток прижимается с определенным давлением к режущей проволоке. Ультразвуковая (УЗ) резка. При УЗ-резке в область резания непрерывно подается абразивная суспензия. Под действием УЗ-колебаний под рабочей по- верхностью режущего инструмента зерна микропорошка внедряются в обраба- тываемый материал и разрушают его. Для УЗ-резки используют станки различ- ных типов, размеров, мощности и назначения: 4772А, 4773, 4773А, МЭ-34, С-15. Режущие инструменты для УЗ-резки изготовляют из малоуглеродистых ие- термообработаиных марок стали СТ20, СТ35. Абразивом служит карбид бора, алмазный порошок, электрокоруид, карбид кремния и др. Концентрация абра- зивного порошка составляет 30...40% по массе к жидкой части суспензии. Полученные после резки монокристаллов пластины полупроводников на- правляют на операцию снятия фаски или скругления краев. Фаска на пластине уменьшает опасность появления сколов и трещин иа ее кромке при транспорти- ровке. Сколы и трещины возникают у 80% пластин большого диаметра после 100 перекладок из одной кассеты в другую. Пластины с фасками можно пере- кладывать несколько тысяч раз. Фаску снимают методом шлифования профильным алмазным кругом зер- нистостью 30/40. Пластину закрепляют на шпинделе, снабженном вакуумным захватом. Частота вращения шпинделя 15...20 мин-1, а алмазного круга диа- метром 50 мм 6000...8000 мин-1 (скорость резания 22 м/с). Глубина нарушен- ного слоя после такой обработки составляет сколо 5,5 мкм. Его удаляют хи- мическим травлением. Радиус фасок иа рабочей и обратной сторонах пластины различен (рис. 2.20), что обусловлено спецификой последующих процессов фотолитогра- фии и эпитаксии. Ширина обрабатываемой зоны кромки около 500 мкм, а средний угол наклона 10... 15°. Толщина иеобработаииой краевой зоны пластины после снятия фаски должна составлять около 20% исходной толщины, что ие- Рис. 2.20. Профиль закругления кромки пластины полупроводника: а, Ь — нижний радиус рабочей и нерабочей сто- рон пластины соответственно («^0,05...0,10 мм, Ь—0,1—0,2 мм); с—ширина краевой зоны (е« =0,5 мм); а — средний угол наклона закруглен- ной рабочей стороны пластины (а-=10... 15°); h — толщина пластины; Ah — необработанная краевая зона, Ah^0,2h; S — съем материала с поверхности пластины при полировании (S = *=25...35 мкм) 77
обходимо для предотвращения образования острой кромки в последующих цессах травления, шлифования и полирования. Контроль фасок обработан'* пластины осуществляют сравнением ее профиля с эталонным на экране ЛаЛг него проектора. 2.4. Материалы для шлифования и полирования монокристаллических материалов После резки слитков иа пластины для получения плоскопараллельной сторон пластин, точного соответствия заданным размерам и уменьшения глуб ’ ны нарушенного слоя проводят шлифование пластин. Для шлифования прим» няют абразивные материалы, алмазные порошки, полировочные пасты. Абразивные материалы. Абразив представляет собой смесь зерен неправиль иой формы разного размера. Зерном абразива называют отдельный кристал, сростки кристаллов или их осколки при отношении их наибольшего размера к наименьшему не более 3: 1 Абразивные материалы характеризуются твердостью, хрупкостью, абразив- ной способностью, механической и химической стойкостью. Твердость — способность материала сопротивляться вдавливанию в него дру. того материала. Твердость абразивных материалов характеризуется по минера- логической шкале Мооса 10 классами, включающей в качестве эталонов, тальк (1), гипс (2), кальцит (3), флюорит (4), апатит (5), ортоклаз (6), кварц (7), топаз (8), корунд (9), алмаз (10). Абразивная способность характеризуется количеством материала, сошлифо- ванного за единицу времени. Механическая стойкость—способность абразивного материала выдерживать механические нагрузки, не разрушаясь при резке, шлифовании и полировании. Оиа характеризуется пределом прочности при сжатии, который определяют, раз- давливая зерно абразивного материала и фиксируя нагрузку в момент его раз- рушения. Предел прочности абразивных материалов при повышении темпера- туры снижается. Химическая стойкость — способность абразивных материалов не изменять своих механических свойств в растворах щелочей, кислот, а также в воде и ор- ганических растворителях. Зерновой состав и зернистость абразивов. Все абразивы в зависимости от размера зерен разделены на группы, а внутри каждой группы по этому же признаку на номера зернистости. Осуществить классификацию так, чтобы по- рошок каждого номера зернистости состоял из зерен одинакового размера, практически невозможно. Содержание его составляют несколько фракций (групп зерен, размеры которых ограничены узким пределом): основная, приня- тая за характеризующую данный номер зернистости, и побочные, которые круп- нее и мельче основной. Количественное содержание фракций определяет зерно- вой состав абразива. Абразивные материалы различаются размером (крупностью) зерен, имеют номера 200, 160, 125, 100, 80, 63, 50, 40, 32, 25. 20, 17, 12, 10, 8, 6, 5, 4, 3, М63, М50. М40, М28, М20, М14, М10, М7 и М5 и подразделяются на че- тыре группы: шлифзерно (от 200 до 16), шлифпорошкн (от 12 до 3), микро- порошки (от М63 до М14) и тонкие микропорошки (от М10 до М5). Корунд, электрокорунд и другие абразивы, кроме алмаза и нитрида бора, в зависимости от способа классификации условно делят иа два класса. К пер- вому относят продукты, получаемые рассеиванием на ситах, ко второму — гид- роклассификацией Продукты рассеивания делят на две группы — шлифзерно и шлифпорошки, а продукты гидроклассификации — ни микропорошкн и тонкие мпкропорошки. Каждая из этих групп делится на порошки разной зернистости, которым присвоены номера, соответствующие размеру зерен основной фракции. Маркировка абразивов групп шлифзерно и шлифпорошок соответствует раз- меру (в сотых долях миллиметра) ячейки того сита, иа котором задерживаются зерна основной фракции абразива данного номера зернистости. Классификацию абразивных материалов по номерам зернистости проводят рассеиванием иа спе- циальных ситах, номер которых характеризует номер зерна. Маркировка микро- 78
тонких микропорошков обозначает размер (мкм) наибольших зерен flopeUlK"B Апакции. Буква М определяет принадлежность порошков к двум по- оСЯОВим группаМ- след””" „ошение номера зернистости и размера зерна абразива приведено табл- 2 2 Таблица 2 2. Соотношение номера зернистости и размера зерна абразива Номер зернистости Размер зерна, мкм М28 28.20 М20 20... 14 М14 14 10 М10 10 .7 М7 7...5 М5 5...3 Абразивные порошки одной и той же зернистости выпускают с различным содержанием основной фракции. В зависимости от этого в обозначение порошка после номера вводят одну из букв: В, П, Н или Д. Буква В характеризует порошок с наибольшим содержанием основной фракции, буква Д — с наимень- шим (табл. 2.3) В зерновой состав порошков кроме основной входят побочные фракции: предельная крупная, комплексная и мелкая. Зерновой состав микро- порошка и тонких микропорошков с наибольшим содержанием основной фрак- ции приведен в табл. 2.4. Таблица 2.3. Содержание основной фракции в абразивных материалах Индекс абразивного материала Содержание основной фракции, %, для зернистостей 200...32 25...16 12...8 6...4 3 М63...М28 М20...М14 М10...М5 в 60 60 55 п 55 55 55 55 — 50 50 45 н 45 43 45 40 40 45 40 40 Д 41 39 41 36 36 42 37 37 В зависимости от содержания каждой фракции роль их в процессе шлифо- вания различна: мелкая, даже в значительных количествах (до 60...65% но массе), не ока- зывает влияния ни на интенсивность абразивного износа, пи на шероховатость Шлифуемой поверхности; основная, принятая за характеризующую абразив данной зернистости, опре- деляет интенсивность износа. Ее количество, необходимое и достаточное для стабильности процесса, составляет 30...40% (по числу зерен); крупная, минимальное содержание которой промышленные способы класси- фикации позволяют довести до 5...8% (по числу зерен), определяет шерохова- тость шлифуемой поверхности; предельная, зерна которой имеют наибольший размер, будет определять ше- роховатость поверхности при. содержании в количестве 0,5...0,6% (по числу зерен). Промышленные способы классификации позволяют довести содержание этой Фракции до 0,05...0,2%. Присутствуя в таком количестве, она не увеличивает ше- роховатости поверхности. Из материалов наиболее используемые электрокорунд, карбид иремния, карбид бора и др. 79
Таблица 2.4. Зерновой состав микропорошков и тонких микропорошкп с содержанием основной фракции не менее 60—65% в Фракция Зерни- стость предельная предельная плюс крупная основная комплексная мелкая Размер зерен, мкм 1 Массовая доля, % । Размер зерен, мкм Массовая доля, % Размер зерен, мкм Массовая доля, % । Размер зерен, мкм Массовая доля, % Л о 03 о ы Q. я s Массовая / ДОЛЯ, ж / М63-В 100...80 М50-В 80...63 М40-В 63...50 М28-В 50...40 М20-В 40...28 М14-В 28...20 М10-В 20...14 М7-В 14...10 М5-В 10...7 100...63 63...50 63...40 40 80...50 50..40 50...28 28 63...40 12 40...28 40..20 85 20 з 50...28 28..20 60 28...14 14 0,5 40...20 15 20...14 20...10 80 10 28...14 14.10 14...7 7 5 20.10 I0...7 10...5 75 5 14...7 20 7-5 55 7...3 3 10...5 5...3 5...3 80 — - Электрокорунд — кристаллическая окись алюминия. Микротвердость злектрокорунда лежит в пределах от (1,8...2,4) 1010 Н/м2. Плотность 4 г/см3 Электрокорунд размягчается при температуре 2023 К, а плавится при 2323 К. Модуль упругости 7,6-10” Н/м2. Твердость 9 по шкале Мооса. Электрокорунд выпускается трех сортов: белый (содержит от 98,5 до 99,5% А12О3), нормаль- ный (содержит от 91 до 98% А)2О3) и черный (содержит от 65 до 75% А12О3). Карбид кремния (SiC)—химическое соединение кремния с углеродом. Хрупкий материал. Плотность карбида кремния 3,16—3,39 г/см3. Твердость 9,2 по шкале Мооса, а микротвердость 3,4-1010 Н/м2. Предел прочности при сжатии 2,5 -109 Н/м2. Абразивная способность почти в два раза выше электрокорунда. Чем меньше размеры его зерен, тем больше их прочность. Выпускается двух разновидностей: зеленый и черный. Черный карбид кремния отличается от зе- леного содержанием и является более хрупким и менее твердым материалом. Карбид бора (В4С)—тугоплавкое соединение. По твердости уступает лишь алмазу. Однако он чрезвычайно хрупок. Плотность 2,5 г/сма. Предел прочности при сжатии 1,9-109 Н/см2. Термостойкость 773...873 К. Температура разложения 2623 К. Промышленность выпускает серию групп карбида бора зер- нистостью от № 16 до № 28 с содержанием 94% основного материала. Зерновой состав микропорошков и тонких микропорошков определяют ме- тодом микроскопического анализа в соответствии с ГОСТ 3647—80. Качество абразивных порошков оценивают по их шлифующей способности и шероховато- сти обработанной поверхности. Шлифующую способность характеризуют массой материала сошлифованного образца стекла в стандартных условиях испытания. Ее относительное значение для некоторых абразивов приведено ниже. Шлифующий абразив Относительная шлифующая спо- собность Алмаз 1,0 Кубический нитрид бора 0,58...0,60 Карбид бора 0,50-0,60 Карбид кремния 0,25.0,45 Монокорунд 0,15-0,25 Электрокорунд . 0,14...0,16 Наждак 0,03-0,08 Кварцевый песок 0,02...0,03 80
Рис. 2.21. Схема классифицирующей установки Классификация микропорошков и тонких микропорошков. Разделение по- рошков по размерам зерен основано на различной скорости оседания в воде. Промышленная установка (рис. 2.21) представляет собой ряд каскадио распо- ложенных классификаторов, которые имеют форму конуса с цилиндром в ос- новании. Площадь сечения цилиндрической части классификаторов последова- тельно увеличивается. Абразивная пульпа, непрерывно поступающая в дозатор /, самотеком проходит последовательно через все классификаторы. В каждом се- чении конической части классификаторов во взвешенном состоянии находятся зерна, скорость оседания которых равна соответствующей этому уровню вер- тикальной составляющей скорости восходящего потока. В цилиндрической части скорость потока постоянна, но неодинакова по абсолютной величине в каждом классификаторе. В классификаторе 2 она равна скорости оседания зерен основ- ной фракции наиболее крупного из выделяемых размеров зернистости. Зерна этой и более мелких фракций выносятся водой и поступают в следующий клас- сификатор 3. Здесь скорость проходящего потока меньше. Зерна основной фракции остаются как определенный номер зернистости, а имеющие меньший размер уходят в классификатор 4 и т. д. Одновременно работают две одина- ковые, параллельно соединенные линии классификаторов. В то время как в одной линии ведут разделение порошков, в другую в это время, перекрыв по- ступление пульпы, подают чистую воду. Происходит очистка порошков от по- бочных фракций. Управление процессом полуавтоматическое Дистанционно пе- реключается дозированная подача суспензии с одного каскада на другой, ав- томатически разгружаются классифицированные порошки. Алмазные шлиф-, микропорошки и пасты. Алмазные порошки представляют собой смеси алмазных зерен, которые имеют правильную кристаллическую Форму. Совокупность зерен определенного размера, преобладающих по количе- ству в составе данного порошка, называют основной фракцией. Кроме основ- ной фракции в состав порошков входят побочные — крупные и мелкая. Размер зерен в каждой фракции определяется размерами сторон ячеек двух контроль- ных сит, из которых через верхнее сито зерна должны проходить, а на нижием задерживаться. Зернистость алмазных шлифпорошков определяется по основной фракции и обозначается дробью, у которой числитель соответствует наибольшему, а зна- менатель— наименьшему размерам зерен основной фракции (табл. 2.5). Шлифпорошки природного и синтетического алмаза разделены на две груп- Пы: шлифпорошки и микропорошки. Шлифпорошки природного алмаза имеют °Дну марку — А, синтетического — пять: АСО, АСР, АСВ, АСК, АСС (АС — ал- маз синтетический; О, Р, В, К, С — индексы типов порошков, различающихся Физнко механическими свойствами: В — высокопрочный, Р — прочный, О — обыч- ный и т. д ). 6 Заказ № 1270 gf
Таблица 2.5. Соотношение зернистости и размера зерен алмазных шлифпорошков Номер зернистости Размер зерна, мкм Номер зернистости Размер зерна мкм АМ 20/14 20 14 АМ 5/3 5 3 AM 14/10 14..10 АМ 3/2 3 2 AM 10/7 10...7 АМ 2/1 2 1 AM 7/5 7..5 АМ 1/0 1-0 Рис. 2.22. Зависимость прочности зерен шлиф- порошков синтетических алмазов от марки и зернистости Алмазные шлифпорошки из синтетических алмазов выпускаются пяти марок: АСО имеет зерна с наиболее развитой ре- жущей поверхностью и повышенной хрупко- стью; АСР имеет зерна с меньшей хрупкостью и большей прочностью по сравнению с порош- ками марки АСО; АСВ и АСК обладают еще более высокой прочностью зерен по сравнению с порошками АСО и АСР; АСС обладает наибольшей прочностью по сравнению с порошками всех марок. Шлнфпорошок каждой марки путем рас- сеивания исходного продукта через сита с по- следовательно уменьшающимся размером ячей- ки разделен на номера зернистости. В поро- • ли-. --- — ---------------------------------- гJiviUVj/u oepunvl Utl И. О HOpU" шок каждой зернистости независимо от марки алмаза входят три фракции: крупная, основная, мелкая. Их содержание, характеризуемое массой, регла- ментирует ГОСТ 9206—80. Размер зерен каждой фракции определяется раз- мером (мкм) сторон в свету ячеек двух контрольных сит. Через верхнее сито зерна должны проходить, на нижнем — задерживаться. Зернистость уста- новлена по основной фракции. Ее обозначают простой неправильной дробью, у которой числитель — размер (мкм) стороны ячейки верхнего сита, знамена- тель — размер (мкм) стороны ячейки нижнего сита. Зерновой состав шлифпо- рошков контролируют методом ситового анализа — просеиванием навески по- рошка данной зернистости через набор контрольных сит. Зерна, оставшиеся на каждом сите, взвешивают и определяют их содержание, выраженное в процен- тах. Шлифпорошки обладают зернистостью двух диапазонов: широкого и уз- кого. Качество порошка характеризуют прочностью зерен. Гарантированные нормы прочности зерен в зависимости от марки и зернистости порошков при- ведены на рис. 2.22. К широкому диапазону зернистости относятся шлифпорошки: А400/260, А250/160, А160/100, А100/63, А63/40, АС0160/100, АСОЮО/63, АСО63/40, АСР160/100, АСР1С0/63, АСВ400/250, АСВ250/100, АСВ160/100, АСВ100/63. Узкий диапазон зернистости охватывает большую группу шлифпорошков: А630/500, А500/400, А400/315, А315/250, А250/200, А200/160, А160/102, А100/80, А80/63, А63/50, А50/40, АСО от 160/125 до 50/40, АСР от 250/200 до 63/50, АСВ от 400/315 до 80/63, АСК от 500/400 до 100/80, АСС от 630/500 до 125/100. Марна алмазного шлифпорошка характеризуется определенной массой зерен каждой фракции. Для широкого и узкого диапазонов зернистости объем зерен 82 жпякпии ие превышает 15%, основной фракции — не ниже 70%, а мел •(РУпИОне<более 3%. кон— ность на сжатие алмазных шлифпорошков зависит от их зернистости ^^г-гяет с увеличением алмазных зерен. Так, для марки алмазного шлиф- и возрас .f-Q эта величина изменяется от 1,5 ГО4 до 2,2 104 Н/м2, для АСР — п°рошк?п4 л0 7-Ю4 Н/м2, для АСВ —от 4,3-104 до 1,1-105 Н/м2, для АСК — °т Р10< до 2,710s Н/м2, для АСС-2104 до 6,6-105 Н/м2 от у. * м а з н’ы е микропорошкн имеют меньшие размеры зерен по ению с алмазными шлифпорошками. Синтетические алмазные микропорош сРав «пускаются марок АСМ и АСН, а природные — AM и АН. Алмазные мик- КЙ ооошки имеют одиннадцать групп зернистости: 60/40, 40/28, 28/20, 20/14, ?4/10 10/7, 7/5, 5/3, 3/2, 2/1 и 1/10. Зерновой состав алмазных микропорош- ,'‘/ ппиведеи в табл. 2.6. Абразивная способность алмазных микропорошков AM и АСМ изменяется от 3,3 до 1,2 с уменьшением зернистости микропорошка . 60/40 до 5/3. Абразивная способность микропорошков АН и АСН несколько выше и изменяется от 4,3 для зернистости 60/40 до 1,9 для зернистости 5/3. Таблица 2.6. Зернистость и зерновой состав алмазных микропорошков АСМ, АСН, АМ и АН Зернистость Размер зерен, мкм, во фракциях крупной не более 5 % основной, не ме- нее 65 % мелкой, не более 30 % 60/40 40/28 28/20 20/14 14/10 10/7 7/5 5/3 3/2 2/1 1/0 80...60 60...40 40...20 60. 40 40...28 28.. 14 40...28 28.20 20...10 28...20 20-14 14-7 20 14 14...10 Ю-5 14...10 Ю-7 7 3 10...7 7...5 5-2 7 5 5.3 3...1 д"з 3-2 До 2 3...2 2...1 До 1 2. .1 >95% - Примечание. Проценты во фракциях взяты от общей массы порошка. Характеристикой качества порошков АСМ и АСН наряду с зерновым соста- вом является гарантированная норма шлифующей способности, которая задается режимом синтеза и режимом технологической обработки порошка. У порошков марки АСН она на 30...40% выше по сравнению с АСМ. Шлифующая способ- ность порошков AM и АН зависит от месторождения алмаза. У порошков мар- ки АМ оиа ниже, чем у АН. На номера зернистости микропорошки разделяют центрифугированием, осаждением в столбце жидкости и другими способами. Числитель и знаменатель дроби, обозначающий зернистость порошка, ха- рактеризуют размер (мкм) соответственно наибольшего и наименьшего зерен основной фракции. Зерновой состав микропорошков определяют методом мик- роскопического анализа. Проводят измерения на 500 шт. зерен в одном пре- парате. Порошок марки АСМ с размером зерен мельче 0,7 мкм служит исходным сырьем для изготовления субмикропорошков, используемых при обработке по- лупроводниковых кристаллов, кварца, рубина и других оптических материалов. На суперцентрифугах получают субмикропорошки, которые классифицируются: АСМ 0,7/0; АСМ 0,5/0; АСМ 0,3/0 (табл. 2.7). Качество этих порошков харак- теризуют шероховатостью поверхности образцов из кремния и интенсивностью ег° износа (мкм/мин). Алмазные пасты состоят из алмазных микропорошков различной зер- иистости и высокомолекулярных поверхностно-активных веществ. Для прнготов- 6* 83
Таблица 2.7. Зерновой состав субмикропорошков из синтетических алмазов Зернистость Фракция крупная основная мелкая Размер зерен, мкм Число зерен, мкм Размер зерен, мкм Число зерен, мкм Размер зерен, мкм Число зерен, мкм 0,7/0 1.0,7 — 0,7...0,3 50 До 0,3 49 0,5/0 0,7...0,5 <1 0,5...0,1 60 До 0,1 39 0,3/0 0,5...0,3 — До 0,3 99 — — леиия паст используют алмазные микропорошки с зернистостью от АМС-40 до АМС-1. Алмазные пасты выпускаются с высокой (В), средней (С), низкой (Н) и пониженной (П) концентрациями алмазного микропорошка. По консистенции пасты разделяют на твердую (Т), густую (Г), мазеобразную (М), и жид- кую (Ж), смазываемые водой (В), органическими растворителями (О), а также водой и органическими растворителями (ВО). Алмазная паста с зернистостью микропорошка АМС-1 высокой концентрации и жидкой консистенции обозна- чается АМС1-В-Ж- В качестве органических растворителей используются керосин, бензин, мало- вязкие минеральные масла, спирт и др. Универсальные пасты (ВО) пригодны для одновременной обработки металлических и неметаллических поверхностей изделий. Алмазные пасты выпускают расфасованными в трубы или шприцы по 5; 10; 20; 40; 80 г. В завимости от зернистости алмазного порошка пасты окрашивают в разные цвета (табл. 2.8). Алмазные пасты нз синтетических алмазов, как н пасты из натуральных алмазов, нашли применение для доводочных операций при изготовлении особо точных деталей с высокими требованиями к чистоте поверхности. Для грубой предварительной доводки рекомендуются пасты с зернистостью от 60/40 до 40/28, для предварительной доводки—от 28/20 до 14/10, для точной доводки и предварительного* полирования — от 10/7 до 5/3 и для окончательной довод- ки— от 3/2 до 1/10. Чистота обработки поверхности при этом соответственно достигает классов 8—9. 9—11, 11—12, 12—14. Таблица 2.8. Характеристики алмазных паст Зернистость алмазного порошка в пасте Концентрация алмазного порошка в пасте, % Цвет пасты и этикетки нормальная повышенная 60/40 10 20 Красный 40/28 7 14 28/20 7 14 Голубой 20/14 5 10 14/10 5 10 10/7 3 6 Зеленый 7/5 3 6 5/3 2 4 3/2 2 4 Желтый 2/1 1 2 1/0 1 2 84
иоовочные материалы. Полировочная паста — масса зеленого Поддержит 62...65% окиси хрома, 10 12% нефтяного парафина, 35...38% цвета, ой кислоты, стеарина, серы, натриевого и калиевого хромпика. Приме- одеинов ПОЛИроваиия изделий иа хлопчатобумажных, фетровых и кожаных цяется Д. п0ЛИр0Вальииках. крУгвкНСЬ хрома (Сг2О3)—порошок зеленого цвета. Изготовляют восста- нием бихромата калия серой либо термическим разложением бихромата и0ВЛнии Первым способом получают порошок с размером зерен 0,8 ..1,2 мкм, аММ ым ' 0,3...0,4 мкм'. Твердость по минералогической шкале близка к 9,0. Вы- ВТ°кается трех марок: ОХМ-1 (металлургическая), ОХП-1 (пигментная) и ОХЧ-1 яУс*; я\ с содержанием чистого продукта в пересчете на проценты не менее or3 99% и влаги не более 0,15%. Применяют при полировании деталей из кри- 9°аллических материалов с малой твердостью. ст Крокус (Fe2O3)—безводная окись железа, тождественная природному гематиту a-модификации. Получают осаждением солей железа (сульфатного, углекислого, щавелекислого) из раствора и их последующим прокаливанием при температуре 973...1073 К. Зерна имеют округлую форму; средний их размер Об 1,0 мкм. Твердость по минералогической шкале 5,0..6,0. Применение крокуса ограничено низкой по сравнению с другими порошками полирующей способно- стью Используют крокус при полировании деталей из стекла, чистота поверх- ности которых должна соответствовать первому классу по ГОСТ 11141—84 Пол ирит — порошок коричневого цвета, содержит 97% окислов редко- земельных металлов (в том числе до 45% окиси церия), применяется для по- лирования стекла и полупроводниковых материалов, полирующие свойства опре- деляет окись церия СеО2 Зерна имеют форму удлиненных пластинок размером до 5 мкм; они легко дробятся, образуя остроугольные осколки. Твердость по минералогической шкале 6,0...7,0. Полирит — основной полирующий абразив, ис- пользуемый при изготовлении деталей из стекла Аэросил представляет собой чистый диоксид кремния, рыхлый голубо- вато-белый порошок. Молекулярная масса 60,08. Выпускается трех марок: А-175, А-300 и А-380, в которых средний размер частиц соответственно равен от 10 до 40, от 5 до 20, от 5 до 15 им. Полировочные составы—смеси аэросила, глицерина, диэтилен- диамина, этиленгликоля и деионизованной воды I состав состоит из 1000 мл деионизованной воды, 120 г аэросила-380, 50..70 мл глицерина и 100...200 мл водного раствора этилендиамина; II состав состоит из 1000 мл деионизованной воды, 100 ..150 г аэросила-300, 50..70 мл этиленгликоля и 50...150 мл днэтиламииа; III состав состоит из 1000 мл деионизованной воды, 40...80 г аэросила-380, 20...40 г аэросила-300, 50...70 мл глицерина, 100...200 мл этилендиамииа и 50. 150 мл 30%-ной перекиси водорода. Алмазные микропорошки АСМ и АСН зернистостей 3/2. .1/0 и субмикропорошки зернистостей 0,7/0...0.3/0 используют при полировании кри- сталлов (рубина, кремния и др.). При определенных условиях, характеризуемых в основном свойствами связки, микропорошки применяют для полирования Деленного размера, из стекла марки Качество порошков оценивают по их полирующей способности и чистоте обработанной поверхности. Полирующую способность характеризуют количест- вом материала, сполированного в заданный промежуток времени с образца опре- Д₽пеш1сгс размера, пз «.текла иарпд К8 в стандартных условиях испытания. Относительное значение полирующей способности для различных порошков близкого зернового состава приведено ниже. Полирующий абразив Окись хрома .... Крокус ................... Полирит................... Окись тория .... Двуокись циркония Относительная полирую щая способность 0,5 1,0 1,5 2,2 1,0...2,0 85
Наличие в порошках царапающих примесей устанавливают, контроЛИ_ ’ чистоту полированной поверхности при помощи лупы с увеличением 6’ в раженном свете. т" Финишное полирование полупроводниковых пластин перед эпитаксиально., наращиванием проводят полировальным порошком «Элплаз», который выпуск* ется трех марок: А, Б и В (табл. 2.9). Крупность частиц не более 0,5 Марку А применяют для высокоскоростной обработки кремниевых пластин марку Б—для их обработки на полировальнике из электростатической замши а’ марку В — для обработки пластин полупроводниковых соединений типа АШг>у и A,IBVI. Таблица 2.9. Основные характеристики порошка «Элплаз» Характеристика Марка порошка А 1 Б ! в Удельный съем при полирова- 3 2 1 НИИ, мкм/ч Содержание связанного хлора, 0,2 0,4 0,6 мае. % Содержание высокотемпературных 75 40...75 15...40 форм, % Содержание рабочей фракции 75 _ — крепкостью 0,05.0,03 мкм, % Содержание микропримесей, %: железа 110-2 4 10-1 4 10-* титана 1•10-2 1 10-* 4 10-* никеля 1-10-2 1 10* 1 10* хрома 1•10-2 1 IO' 1 io-* Материалы для изготовления шлифовальников и полировальников. Для шлифовальников используют чугунные, стальные, медные, стеклянные, деревян- ные, текстолитовые и текстовинитовые диски. На их плоской рабочей поверхно- сти помещают для обработки пластины или кристаллы из полупроводникового материала. Полировальниками являются шлифовальные диски, обтянутые раз- личными тканями или синтетическими пленками (фетр, фетр с пластиковым на- полнителем, кирза, велюр, ворсит, замша, войлок, фланель и др). Чугун — сплав железа с углеродом. Для изготовления шлифовальников используется литейный чугун марок: ХЧ-1—ХЧ-4, ЛХЧ-1—ЛХЧ-6 и ЛД-1— Л Д-З с содержанием кремния от 4% и более, углерода от 2,8 до 3,5%, мар- ганца до 1,5%, фосфора от 0,1 до 1,2%, хрома от 1,6 до 3,8%, и никеля око- ло 1%, содержание серы не должно превышать 0,05%. Шлифовальники также выполняются из углеродистых сталей марок Ст2, СтЗ и Ст4. Сплавы меди с преобладающим содержанием цинка называются лату- нями и маркируются, например, Л-68 (буква Л обозначает латунь, а цифра указывает содержание меди в процентах). Сплавы меди, в которых основным легирующим компонентом является любой металл, кроме цинка, называют бронзами и маркируются, например, БрОФ6,5-0,25 (буквы обозначают Бр — бронза, О — олово, Ф — фосфор, а цифры — процентное содержание олова и фосфора в сплаве). Текстолит — материал, получаемый пропиткой различных тканей горячей смолой и последующим прессованием; обладает высокой стойкостью к истира- нию. Плотность текстолита 1,45 г/см3. Водопоглощение за 24 ч при 293 К не превышает 0,3 г/см3. Текстовинит — хлопчатобумажная ткань с поливинилхлоридным покры- тием двух типов: пористым и непористым Ее поверхность эластична и устой- чива к действию воды, керосина, бензина и различных масел. Термостойкость 343 К- Разрывная нагрузка полоски текстовинита размером 20X100 мм равна 2.8-106 Н/м2.
сИТ___ткань вельветон, на ворсовую сторону которой последователь- В0 Р н0 несколько слоев каучуковой смеси. Разрывная нагрузка полоски но наЛе" 20X100 мм равна 4 16® Н/м2. Удлинение при разрыве 20%. размером 2.5. Шлифование и полирование пластин Шлифование. Пластина монокристалла после резки не обладает требуемыми мерами, формой и глубиной нарушенного слоя. Для исправления имеющихся Радастине дефектов ее подвергают абразивной обработке, являющейся в тех- в огии подложек полупроводников промежуточной операцией. Такой обработке н?дВергают не только рабочую, но и обратную нерабочую сторону пластины Неодинаковая обработка разных сторон пластины вызывает возникновение в ией остаточных механических напряжений и, как следствие, деформацию. Так, одио- ОДроииее шлифование и полирование тонких (100...150 мкм) пластин создает в них прогиб до 100 мкм. В зависимости от характера используемого абразива шлифование подраз- деляется на шлифование связанным (абразивным инструментом) и свободным абразивом (абразивными суспензиями). Шлифование связанным абразивом является высокоточным и производительным процессом, заключающимся в обработке поверхности пла- стин полупроводников алмазными шлифовальными кругами. Пластины крепят к стану шлифовального станка наклеиванием или вакуумным присасыванием. В зону контакта шлифовального круга с пластинами подают охлаждающую жидкость Обычно закрепленные на вращающемся столе пластины обрабатыва- ют последовательно несколькими (обычно тремя) алмазными шлифовальными кругами Это позволяет за один поворот стола провести черновую, получисто- вую и чистовую обработки пластин. По характеру воздействия шлифовального круга на обрабатываемую пла- стину операцию шлифования подразделяют на врезную с принудительной пода- чей инструмента (рис. 2.23, я) и торцевую с гравитационной подачей (рис. 2.23, б). Первая по сравнению с плоским шлифованием обеспечивает большую произво- Рис. 2.23. Схема врезного с принудительной (я) и торцевого с гравитацион- ной (б) подачей инструмента шлифования полупроводниковых пластин: Пл~ алмаз”Ь!й шлифовальный круг; 2 — обрабатываемые пластины; Hi, Н2, Нз— толщина астииы иа разных стадиях шлифования; р — нагрузка на шлифовальный круг; h — фиксированная глубина резания 87
Рис. 2.24. Схема расчет припусков на обработ Л полупроводниковой пласт/ ны: /1 и Б — поверхности пластики после резания и окончательной обработки; Нр — глубины ружного слоя после резани» ^Ц.Ш’ гп.ш» ^T.IU’ гт.Ш» п, гп,п’. ^Т.П’ гт п-глубины иа: решаемого слоя и припуски удаляемые на операциях после‘ предварительного шлифования тонкого шлифования, предва- рительного тонкого гюлирова. ния соответственно; SZ — сум. мерный припуск 1 дительность, не зависящий от направления движения шлифовального круга пр0- гиб, меньшую шероховатость и меньшую глубину нарушенного поверхностного слоя. Благодаря принудительной подаче удается снимать с полупроводниковой пластины слой любой толщины, называемый припуском. При этом возникает возможность снимать заданный припуск в несколько этапов, что повышает ка- чество обрабатываемых пластин. Припуск на обработку определяется глубиной нарушенного поверхностного слоя, образовавшегося на предыдущей операции обработки полупроводниковых пластин. Обычно припуск должен быть на несколько микрометров больше глу- бины нарушенного слоя (рис. 2.24). Припуск должен учитывать также откло- нение формы пластин от заданной (см. рис. 2.10). Припуск снимают чаше всего в два этапа черновой и чистовой обработки, что позволяет получать лучшее ка- чество поверхности и формы пластин и меньшую глубину нарушенного поверх- ностного слоя. Рабочим инструментом при шлифовании полупроводниковых пластин свя- занным абразивом служат шлифовальные круги. Для врезного шлифования они имеют чашечную коническую форму, обозначаемую в наименовании маркиров- ки ЧК, а для торцевого плоского шлифования — плоскую с выточкой, обозна- чаемую ПВ. Зернистость алмазного шлифпорошка кругов марки АЧК следую- щая: для черновой обработки АСО 100/80, для получистовой АСО 80/63 и для чистовой АСМ 40/28. Они обеспечивают получение поверхности пластин со среднеарифметическим отклонением профиля (2..8) -10-2 мкм. Круги марки АПВ для черновой обработки имеют зернистость АСМ 50/40, для получистовой АСМ 28/20 и для чистовой АСМ 7/5. Они обеспечивают получение поверхности со среднеарифметическим отклонением профиля (2...8) 10-г мкм. Корпуса кру- гов изготовляют из стали марок СтЗ, Ст20, Ст25 и СтЗО или алюминиевых сплавов АК6 или Д16, Связка алмазного шлифпорошка пластмассовая, из поли- эфирных смол или металлическая. Иногда применяют круги, изготовленные из прессованной древесины. Число обрабатываемых пластин шлифовальным кругом зависит от зернисто- сти шлифпорошка и составляет, например, для кремниевых пластин АСМ 14/10— 40/28 диаметром 40 мм 3 104. Дальнейшая обработка кругом становится некон- тролируемой. Однако состояние алмазоносного слоя шлифовального круга может быть восстановлено подгоикой и притиркой. Частота вращения алмазного шлифовального круга при врезном шлифова- нии достигает 14000 мин-1. Максимальная скорость съема достигает для кругов зернистостью АСМ 40/28, АСМ 28/20, АСМ 14/10 соответственно 50, 30 и 10 мкм/мнн. Частота вращения стола с пластинами 1...1.5 мин-1. При плоском шлифовании частота вращения алмазных кругов для черновой обработки 480...850, для получистовой 840... 1400 и чистовой 1140..2400 мин-1, а частота вращения стола с пластинами 155 ..750 мин-1. Скорость съема для кругов зер- нистостью АСМ 28/20 и 20/14 на операции черновой обработки составляет 5...10, а иа чистовой алмазным кругом АСМ 7/5...1 мкм/мин. Расход охлаждаю- щей жидкости на операциях черновой обработки от 0,4 до 0,8, а чистовой — от 0,8 до 1 л/мин. 88
- а нарушенного поверхностного слоя Н пластин, обработанных шли- связанным абразивом, зависит от зернистости шлифовального круга, фСВание“ и скорости съема, что подтверждается данными, приведенными в часто "бл 2 Ю. Таблица 2.10. Глубина нарушенного слоя кремниевых пластин после плоского шлифования по различным режимам 3еТсмСТЬ Скорость вращения круга, м/с Скорость съема, мкм мин Н, мкм I Зернистость АСМ Скорость вращения круга, м с Скорость съема, мкм мин Н, мкм 63/50 40/28 28/20 14/10 72 50 28 Ю/7 72 10 8...10 72 50 25 14/10 72 10 10 72 50 21 14/10 28 20 15 72 30 18 1 14/10 24 20 20 Одиако врезное шлифование обеспечивает более высокое качество пластин по сравнению с плоским, о чем свидетельствуют приведенные ниже параметры пластин кремния, обработанных разными методами шлифований связанным аб- разивом одинаковой зернистости 28/20: Разброс по толщине, мкм . . . Непараллельность, мкм . Глубина нарушенного поверхностно- го слоя, мкм....................... Плоское шли- Врезное шли- фование фование ±5 ±3 10 23 15...23 2 Худшее значение непараллельности пластин при врезном шлифовании объяс- няется в данном случае худшей настройкой станка. Однако плоское шлифование дополнительно создает такую погрешность формы пластин, как неплоскост- иость 6 (см. рис. 2.11,6, е). Она представляет наибольшее расстояние от точек реального профиля или реальной поверхности до прилегающей прямой или при- легающей плоскости. Неплоскостиость является результатом поворота плоскости шлифовального круга под действующей на него нормальной силой на некоторый угол относительно плоскости пластины. По существующим нормам неплоскост- ность пластин полупроводников не должна превышать 1...2 мкм. При шлифовании полупроводниковых пластин свободным абразивом усред- ненные параметры обработки (удельное давление па пластины, скорость и на- правление резания, число проходов отдельных зерен па пластине) вследствие неориентированного резания поверхности большим массивом зерен по всей пло- щади пластины примерно одинаковы, что обеспечивает однородную структуру °верхиости. Прошедшие обработку свободным абразивом пластины имеют ров- ную матовую поверхность без следов (рисок) направленной обработки. Шлифование свободным абразивом применяется двух вариан- одностороннее (рис. 2.25, а) и двустороннее (рис. 2.25,6). Предпочтитель- ч Днустороннее, обеспечивающее большую производительность и лучшее ка- в ство пластины. В первую очередь это обусловлено отсутствием необходимости ве*'естКом креплении пластины, например приклеивании, вызывающем возникно- пЛаНе напряжений в пластине и искажение ее формы. Повторное приклеивание в Стины при одностороннем шлифовании для обработки другой стороны также ное ИТ сеРьезные изменения в ее форму. Кроме того, взаимное неориентирован- Раг дв,1Же,,ие пластины и шлифовального круга уменьшает влияние неточности ты механической части станка на качество пластины. 89
Рис. 2.25. Схемы полирующих узлов станков одностороннего (а) и двусто- роннего (б) шлифования пластин полупроводников свободным абразивом: 1 — устройство, дозирующее абразивную суспензию; 2 — грузы; 3 — шлифовальная голов- ка; 4— обрабатываемая пластина; 5 — шлифовальник; 6 — направляющие ролики; 7 — отверстие для подачи абразивной суспензии; 8 — центральный вал с закрепленной на вен шестерней; 9 — шестерня; 10 — зубчатый сепаратор с отверстием для обрабатываемой пластины; 11 — периферийное зубчатое колесо; 12— траектория движения центра пла- стины Шлифовальные круги для шлифования свободным абразивом изготовляют из стали, гомогенизированного и пористого чугуна, стекла, алюминия и его спла- вов, свинца и дерева различной твердости. В качестве шлифующих материалов применяют водные абразивные суспензии с использованием микропорошков кар бида кремния зеленого, обозначаемого КЗМ, зернистостью 63...14 мкм и тонких микропорошков белого электрокорунда (А120з), обозначемого ЭВМ, зернисто- стью 10...5 мкм. Перед приготовлением суспензии абразивные порошки отмачи вают, что улучшает их гранулометрический состав. Соотношение твердых и жид- ких компонентов в таких суспензиях Т : Ж— 1 : (1...5). Для повышения вязкости в суспензии вводят этиленгликоль или глицерин. Основной параметр режима шлифования свободным абразивом — удельное давление шлифовального круга на пластину. В случае одностороннего шлифо ваиия оно составляет 1,0...1,2 МПа при черновой обработке и 0,8 МПа при чи- стовой. Частота вращения шлифовального круга 30...40 мин-1. В таких усло- виях скорость съема кремния абразивами марок КЗМ 14-3, КЗМ 10-1,5 и ЭВМ составляет 5...0,15 мкм/мин. Более мягкие полупроводники обрабатывают при меньших удельных давлениях, например арсенид галлия при 0,35 МПа, что обес- печивает скорость съема материала порошками КЗМ 7 около 3,5 мкм/мин и ЭВМ 5...1 мкм/мин. Удельное давление при двустороннем шлифовании пластин германия и кремния 0,8 .1,8 МПа, а частота вращения зубчатого колеса 10 и шестерен 6 (см. рис. 2.25,6) 300...100 и 25...90 мин-1 соответственно. Полирование. Обеспечивает получение поверхности полупроводников® пластины с минимальными глубиной нарушенного слоя и шероховатостью. ДД” удаления нарушенного слоя н получения поверхности с /?z^2,5-10~2 мкм необ- ходимо снять общий слой полупроводника, в 2...3 раза превышающий глубину нарушенного слоя на предыдущей операции шлифования. Механическое полиро вание алмазной пастой, содержащей микропорошок субмикронной зернистости АСМ 0,5, оставляет нарушенный слой глубиной в несколько микрометров, воз- 90
a) рис 2 26 Строение нарушенного слоя заиия и ।------ .„ьеЛно-полнкристаллическая эона; иапояжеиий и дислокаций; на ! 2. —_--------------1 поверхности полупроводника после ре- механического шлифования (п) и механического полирования (б): ___________2 — кристаллическая зона с трещинами; 3— зо- ₽емгих напряжений и дислокаций; 4 — зона повышенной плотности дислокаций; 5 — Уп₽у рельефно-аморфная зона; 6 — аморфная вона с трещинами настающий с уменьшением твердости полупроводника, и неровности высотой ?5 Ю) Ю-2 мкм. Финишное полирование выполняют химико-механическим ме- тодом, оставляющим нарушенный слой минимальной глубины и высоту неровно- стей меиее 1 мкм. Строение нарушенного слоя (НС) иа поверхности подвергнутого механиче- ской обработке (резке) монокристалла полупроводника показано на рис. 2.26, а. Он состоит из зон поликристаллического рельефа 1, трещин и дислокаций 2, дислокаций 3 и напряженной упруго деформированной зоны 4. Глубина первой зоны составляет 0,3...0,5 высоты микронеровностей, образующихся на поверхно- сти полупроводника после его механической обработки. Вторая зона толще пер- вой в 3...6 раз и имеет мозаичную структуру. Между трещинами могут распо- лагаться на глубине до 0,2 от общей глубины нарушенного слоя дислокации в дислокационные стенки. Строение нарушенного слоя после механического полирования отличается от строения слоя, образующегося после операций резки и шлифования (рис. 2.26,6). Рельефная часть слоя имеет меньшую высоту и аморфную струк- туру- Лежащий ниже ее слой, толщина которого в 2...3 раза больше толщины рельефа, также аморфный. Третий слой, переходный от аморфной структуры к кристаллической, содержит упругие или пластические деформации, трещины и повышенную плотность дислокаций. В зависимости от характера воздействия полирующих веществ иа поверх- ность полупроводника различают полирование механическое, химико механиче- ское и химическое. Первое применяют на операции предварительного полирова- ния Ойо может быть как односторонним, так и двусторонним и его выполняют на станках, конструкция которых аналогична конструкции станков для шлифо- вания, но с кругами, обтянутыми полировальным полотном—замшей, войлоком, Фетром, фильтровальной тканью, батистом, различными неткаными материалами н другими, например пласткожей. В качестве полирующих веществ используют алмазные пасты и суспензии. Пасты изготовляют на различной мазеобразной °свове, легко смывающейся водой. Жидкая часть суспензии обычно представляет собой спирт и различные масла (часовое, приборное, трансформаторное и др). Перед началом полирования алмазную пасту наносят на ткань полировоч- ног° диска. Для равномерного нанесения пасты ее сначала растирают между Двумя стеклянными дисками, которыми втирают пасту в ткань полировального «иска в течение 15 ..20 мин. В процессе полирования, проводимом при удельном «ввдении 0,5..0,6 МПа и частотой вращения полировального диска 30...40 мин-1, зону полирования непрерывно по каплям подают алмазную сусцензию. В та- Л* Условиях съем кремнии микропорошком АСМ 3/2 или АСМ 2/1 составляет -40 мкм/ч. Более мягкие полупроводники полируют при меньшнх удельных авлениях и частотах вращения полировального диска, например арсенид гал- вя црн о,3...0,4 МПа и 20 мин-1. В этом случае скорость съема такая же, как Ри полировании кремния. 01
Скорость съема в ходе операции полирования, продолжающейся от о э- 1,5 ч, изменяется. В начале процесса оиа велика. Однако в дальнейшем'JК зультате износа алмазных зерен и понижения их абразивной способности*^ уменьшается. Механическое полирование по своей природе не отличается от шлиф0Ва свободным абразивом и представляет собой процесс микрорезания большим сивом зерен. Поэтому после него иа поверхности пластины полупроводи’1 остаются микроцарапины, размер которых соответствует зернистости полиг!*' щего алмазного микропорошка. Они образуют иа поверхности пластин полуу водника так называемый алмазный фон, представляющий собой сетку Мв? рисок, обнаруживаемых при косом освещении пластины. Поэтому окончат’'0 ную (финишную или «суперфинишиую») операцию полирования проводятЛ мико-механическим методом. Пластину подвергают одновременному воздейсц химически активной среды и механических частиц. Последние удаляют проду|,? химических реакций с микровыступов на обрабатываемой поверхности, что ус.1, ряет их стравливание. Давление полировального диска воздействует в nept', очередь на микровыступы, что также ускоряет протекание реакций травлег в этих точках. Этому способствует и подача к микровыступам свежих порХ травителя, происходящая благодаря взаимному движению пластин и поли/. вального круга. Для обеспечения высокоэффективной механической обработки пластин мо» кристаллов с алмазной кристаллической решеткой при малой глубине прш верхностной деформации шлифование и полирование производятся по замкну тому контуру наименее дефектообразующих направлений. Так, для обеспечены минимальной глубины нарушений обработка поверхности пластины по плоско сти (111) должна производиться по замкнутому шестиугольному контуру иа правлений [ПО]. При необходимости создать нарушенный приповерхностна: слой большей глубины (например, для введения в пластину кремния геттера с нерабочей стороны) или повысить скорость обработки следует шлифовать л шестиугольнику со сторонами [112]. Для пластин с ориентацией поверхности (001) можно выбрать два семейства оптимальных направлений, образующих квадрат. Различие по глубине нарушенного слоя и скорости удаления материала при шлифовании и механическом полировании для различных семейств направо ний составляет для пластин с ориентацией (111) 30...50%, с ориентацией (001) 15...30% (в зависимости от используемого абразива и способа обработки). Так, глубина нарушенного слоя после шлифования пластины кремния типа КЭС 0,01 свободным абразивом КЭМ5 и обработки по направлениям [ПО], [112] соот ветственно составляет 8...9; 14...15, а при произвольной обработке 9...10 мкм, скорость съема материала для указанных направлений — 1,1; 2,8 мкм/мии (раз личие в скоростях съема тем сильнее, чем меньше размер зерна абразива). Смазочно-охлаждающие жидкости. Обработку монокристалла абразивами, находящимися в связанном и свободном состоянии, ведут в присутствии смазоч но-охлаждающих жидкостей (СОЖ), назначение которых — отвод тепла и про- дуктов износа из зоны воздействия инструмента. На процесс резки влияют сма зочно-охлаждающие жидкости, поверхностно-активные вещества (ПАВ) и и* диспергирующее действие при измельчении материалов. В качестве СОЖ иС пользуют воду с добавлением небольших количеств ПАВ, например кальцина рованной соды, мыла, улучшающих смачивание мелких частиц полупроводника Попадая в микротрещины обрабатываемого материала, жидкость благодаря ка пиллярному расклинивающему действию способствует его разрушению. В состав охлаждающей жидкости вводят также вещества, замедляющие коррозию метал- лических деталей станка, например буру, нитрат натрия и др. В качестве при мера охлаждающей жидкости сложного состава можно привести «жидкость НЙИалмаз», в процентах: тринатрийфосфат 0,6, бура 0,3, кальцинированная с°‘ да 0,25, нитрат натрия 0,1, остальное — вода. При резке полупроводниковых соединений AIIIBV, гидролиз которых в на гретом состоянии в зоне реза приводит к выделению токсичных веществ, я* пример 2GaP+3H2O=Ga2Oa + 2PH3, в состав охлаждающей жидкости ввоДяТ нейтрализующие вещества. Например, перманганат калия окисляет сильно ток- сичные трехвалентные соединения фосфора и мышьяка до пятивалентных, О®" 92
меиьшей токсичностью: 2КМпО4+РН;) + Н2О=Мп2Оз+Н3РО.1-|-2КОН лаДа101?гХ эффективным является применение небольших добавок ПАВ, кото- °СОб°ияют молекулярную природу межфазной поверхности. Адсорбируясь на измен * дела вода _ воздух, вода — углерод, вода — твердое тело, они повер*нОС мОиомолекулярные (иногда полимолекулярные) слои ориентированных 0бразуют /ИОНОв). Адсорбция ПАВ сопровождается снижением межфазной по- цолекУ-’1 энергии, что отражается на механизме интенсивности процессов, с разрушением материала. связаин ие небольших количеств ПАВ в состав СОЖ практикуется при обра- *’ведллов и разрезании слитков полупроводниковых материалов. Исполь- ботке качестве СОЖ 3%-иый раствор «Аквол-11», который улучшает показа- зу№т в роховатости поверхности пластин, повышает стойкость отрезных дисков, тель оцент брака пластин по трещинам и сколам, что объясняется эффек- сни ыМ удалением шлама из зоны резания. Применение в качестве СОЖ рас- тиИ““ <Дквол-1Ь с концентрацией от 0,5 до 1,5% позволяет повысить произ- ТВ°нтелыюсть процесса разрезания монокристаллов кремния за счет увеличения волости поперечной подачи до 40...50 мм/мин. Повышение концентрации СДквол-П» (начиная с 2%) не отражается па эффективной стойкости дисков на геометрических параметрах пластин. Н Охлаждающую жидкость подают иа режущую кромку сверху вниз так, что- бы ее потоки по обе стороны диска были равны, что обеспечивает его равно- мерное охлаждение. Давление подаваемой жидкости должно быть не слишком большим, так как при излишне высоком давлении жидкость отражается от ре- жущей кромки диска и не попадает в зону реза. Расход охлаждающей жид- кости должен быть максимальным, определяемым возможностями слива ее через отверстия в барабане. При выполнении грубого и тонкого шлифования плоских и сферических поверхностей, сверлении и разрезке, круглом шлифовании стеклянных пластин, нанесении скосов, пазов, каиавок и других операций в большинстве случаев ис- пользуют эмульсии, в состав которых входят эмульсол, керосин осветительный н вода. Кроме этих компонентов для уменьшения адгезии диспергированных частиц стекла к поверхности рабочей кромки инструмента и их способности к коагуляции в состав эмульсий вводят некоторое количество кальцинированной соды. Эмульсол представляет собой отходы масляного производства. Малое коли- чество содержащихся в нем ПАВ и плохая растворимость в воде определяют низкие смазывающие и смачивающие свойства эмульсий. В зоне контакта ал- мазных зерен со стеклом практически иет смазывающей пленки, а находится только прослойка воды. При отводе тепла она испаряется, трение пары стекло- алмаз происходит почти всухую, что ускоряет износ зерен. Используют также эмульсии, в которых эмульсол заменен на эмульсол из нефтяных сульфонатов. Стойкость алмазного инструмента и производительность процессов повышаются, но присутствующие в эмульсоле добавки (Na2CO3, Na3PO4, NaNO3) частично выпадают в осадок, что затрудняет хранение и использование эмульсий. Улучшенные смазочио-охлаждающие свойства имеют 3...5%-ные водные эмульсии на основе эмульсола, компонентами которого являются сульфокисло- та (75%), тринатрийфосфат (15%), глицерин (10%). В результате обменной Реакции сульфокислоты с тринатрийфосфатом в масляной среде образуется мел- кодисперсная структура, в которой вода и добавки стабилизированы внутри ча- стиц сульфоната. В зоне трения частицы разрушаются. Их активная группа прикрепляется к твердым телам, а углеводородные радикалы, адсорбированные поверхностями алмаза и стекла, соприкасаясь, обеспечивают смазку. Вода не цМеет Доступа к трущимся поверхностям. Она поглощает тепло и испаряется, состав эмульсола могут быть введены фосфоросодержащие соединения, улуч- а*°Щие антикоррозионные и смазочио-охлаждающие свойства эмульсий. с При использовании водосодержащих СОЖ и работе алмазного инструмента ^малой нагрузкой наблюдается его засаливание. К числу причин, вызывающих Со явление, относится адгезионное взаимодействие частиц разрушенного стекла н СВязк°й инструмента. Если окисление связки происходит быстрее, чем ее ла °п Т0 °7>Разуюш.аяся пленка окиси будет удерживать на себе частицы стек- Носледние, выполняя защитную функцию, замедляют износ связки и уда- 93
Рис. 2.27. Зависимость съема е шлифованием и шероховатости % верхности от критериев оценки ( ваиия н шероховатость of как о, так и pH связаны с ление затупившихся зерен алмаза п жим самозатачивания инструмента qe ’ рушается, и он теряет режущую СГ собиость. Адгезию уменьшают, ввод, состав СОЖ вещества, которые ад бируются на связке данного состд лучше, чем стекло. За критерий оценки СОЖ прщЛ ют поверхностное натяжение и кис*^ пость pH, которые оказывают влия- на производительность процесса шлЗ эй поверхности (рис. 2.27). Величав тей эмульсии. С повышением концевд»! ции производительность возрастает, но одновременно увеличивается и шер®] ватость. Оптимальные показатели процесса наблюдаются при о=380..,440 Нт и рН=9,0...9,2. > При выполнении операции центрирования линз алмазными кругами фор,, АПП в качестве СОЖ используют веретенное или вазелиновое масло. Для цет рирования лииз из неналетоопасных стекол могут быть применены водосодс жашие эмульсии, но шероховатость обработанной поверхности будет больше 2.6. Контроль качества пластин полупроводниковых материалов К основным параметрам, подлежащим контролю в производственных ус-о виях, относятся толщина пластины и ее непараллельность, изогнутость (проп>6), неплоскостность, профиль фаски, длина базового среза, шероховатость пове.х ности, удельное сопротивление, макро- и микронеоднородность по удельному сопротивлению, качество обработки поверхности (риски, сколы и прочие механи ческне нарушения поверхности), дефекты кристаллической решетки. Толщина пластины. Параметр, наиболее часто контролируемый в проце< t изготовления пластин. Помимо обязательного выходного контроля в техно.: > гическом процессе предусматривается многократный межоперационный контроль перед проведением групповых операций шлифования и полирования, требующш сортировки пластин по толщине. В производстве используется измеритель тс щины с емкостным датчиком, выполненным в виде цилиндрического щупа Контролируемая пластина помещается на опорах между двумя встречными дат- Рис. 2.28. Изменение толщины (а), изогнутости (б) н непараллельное™ (в) пластин: 1 — пластина; 2 — датчик 94
2 28, а)- Возникающие при этом изменения емкости датчиков пре- лин (РиС- ЭГ|ёктрические сигналы, пропорциональные расстояниям Л, и h2 "(>ппзУюТСЯ,-выми поверхностями датчиков и соответствующими поверхностями ®е*ду т°₽ппи известном расстоянии между датчиками Н вычисляется толщина сластИ1|Ь1 рабочий диапазон применяемых в настоящее время измерителей тол- пластИ,|Ы лвпяет 1...1000 мкм, случайная составляющая погрешности измерения тины С°С,иает ±2,5 мкм с доверительной вероятностью 0,95. не пРевь1паллелЫ1ость и изогнутость пластины. Контролируется с помощью тех "еПЛлпов что и толщина Пластина перемещается относительно емкостных же 11 в по определенной траектории. Начальная точка этой траектории прини- датчико опорнук>. В случае измерения изогнутости опорная точка выбирается мается пластииы, а при измерении непараллельное™ — в периферийной обла- 6 ue*Ro время перемещения пластины прибор регистрирует и запоминает мак- сти **е сигналы, пропорциональные величинам (ftj—Л2)шах и (й|Ч-й2)п,01. сиМ8цП из них характеризует изогнутость срединной линии пластины Пе₽ 2 28, б), второй — иепараллельность (рис. 2.28, в), отнесенные к расстоя- ₽ИС между опорной точкой и точкой, в которой эти сигналы регистрируются. ИИЮ Поверхность изогнутой пластины, как правило, не имеет осевой симметрии, поофиль ее содержит несколько вершин вогнутостей и выпуклостей. Чтобы "оточить объективную информацию об изогнутости поверхности пластины с та- ким сложным профилем, необходимо сильно увеличить длину траектории пере- мещения пластины, охватывая как можно большую часть площади поверхности. Это однако, приводит к увеличению длительности измерений. Эти трудности преодолеваются использованием методов широкопольной, па- норамной интерференции (рис. 2.29). Луч света I от монохроматического источ- ника излучения направляется через стеклянную призму на поверхность контро- лируемой пластины. За счет многократного отражения от поверхности призмы и пластины луч I расщепляется на компоненты Г, 1" и т. д., интерферирующие друг с другом с разностью фаз, накопленной на оптической длине пути в за- зоре й. Гипотенузная грань G призмы обычно принимается за эталонную, по- этому ее неплоскостность должна быть по возможности минимальной. Если по- верхность пластины изогнута, величина h является функцией координаты и проектируемая на экран интерференционная картина будет состоять из серии чередующихся темных полос, представляющих собой линии равной высоты h. Число интерференционных полос между выбранными точками поверхности пластины характеризует разность высот этих точек относительно эталонной грани призмы: Д/г = иХ/2 cos а, где п — число интерференционных полос; X — длина волны монохроматического излучения; a — угол падения луча на поверх- ност 2^- Измерение непараллель- и и изогнутости методом широ- копольной интерференции Рис 2.30. Вид интерференционных полос разной высоты при широко- польной интерференции от реальной поверхности пластины: 1—4 — вершины; 5—7 впадниы 95
ность образца. Угол между гипотенузой и боковыми гранями призмы 0J выбирается так, чтобы X/2cosa«l мкм. Получаемое с помощью метода широкопольной интерференции паноп» изображение полос равной высоты дает наиболее объективную информац реальном профиле поверхности пластины. Однако практическое применение го метода для контроля изогнутости пластины сопряжено с трудностями п?1' чения численного результата измерения. Поскольку стрела прогиба, хараКту зующая изогнутость пластины, достигает 60 мкм и более, на интерферогр^ появляется большое число полос (более 60), которые лежат настолько бЗк друг к другу, что их подсчет представляет собой трудоемкую и утомителмГ операцию, а в некоторых случаях и невозможен вследствие перекрытия по Преодолеть эти трудности можно путем вывода интерференционного панор кого изображения на телевизионный экран и подсчета числа полос с помой электронного луча. Неплоскостность пластины. Наибольшее расстояние от точек реальной п, верхности пластины до прилегающей плоскости. Контроль этого параметра 0 ществляется методом панорамной интерференции. Для измерения неплоскосК. сти этим методом необходимо устранить изогнутость пластины, что выполняем на столе, снабженном вакуумным прижимом. С помощью регулировочных ви тов стола добиваются минимального числа интерференционных полос на экраце Эта операция проводится для того, чтобы исключить наклон пластины относе тельно гипотенузной грани призмы и тем самым сориентировать прилегающую плоскость параллельно эталонной. На интерференционной картине отмена экстремальные точки, которые проявляются как центры участков, окружении замкнутыми интерференционными полосами в виде колец искаженной формь (рис. 2.30). Точки классифицируют на вершины и впадины, для чего с помощью регулировочных винтов слегка приближают столик с закрепленной иа не: контролируемой пластиной к поверхности эталонной гипотенузной грани призмы Те точки, от которых интерференционные кольца разбегаются в стороны, яв- ляются вершинами, а те, в которые кольца сбегаются,— впадинами. Выбирают две соседние вершины н впадину, разделенные наибольшим числом полос интег ференции. Это число полос (на рис. 2.30 — число полос между точками 4 но определяет величину неплоскостности и характеризует качество пластин. В пре изводстве контроль качества пластин по неплоскостности проводится по мал i выборке из товарной партии пластин. Для получения достоверных значений неплоскостности полупроводииковы пластин технические характеристики измерительного прибора и процедура на- мерений должны удовлетворять определенным требованиям. Это касается преж- де всего требований к неплоскостности эталонной грани призмы и поверхиосч стола прибора, к которому прижимается пластина. Необходимо, чтобы неплос- костность этих поверхностей не превышала 0,5... 1 интерференционных колен (0,5... 1 мкм). Поверхность стола и контактирующая с ним поверхность пласти ны перед проведением измерений должны быть тщательно обеспылены. В про тивном случае мелкие частицы пыли в результате вакуумного прижатия пласте ны будут вызывать ее искривление, что приведет к получению ложной иифор мации о величине неплоскостности. Тот же эффект может быть вызван неправильным выбором формы, размеров и расположения воздуховодиых капа вок на поверхности стола. Погрешность измерения в значительной мере зависит от неплоскостности нерабочей стороны, которой она прижимается к столу, та* как эта неплоскостность приводит к искривлению пластины при ее вакуум® прижатии и, следовательно, к получению искаженного значения истинной вели- чины неплоскостности рабочей стороны пластины. Последнее обстоятельство не является, однако, препятствием к промышлеИ' ному использованию метода панорамной интерференции для измерения непл®' костности пластин по следующей причине. Ограничения на величину неплоскост кости пластин вводятся для обеспечения высокого качества операций фотолят® графин. В процессе экспонирования фоторезиста пластина, как и в проц®^ измерений, прижимается с помощью вакуума к столу, поэтому четкость пол- чаемого изображения рисунка фотошаблона определяется иеплоскостностыо только рабочей стороны пластины, но и ее нерабочей стороны. Таким образе*1 требования к величине неплоскостности характеризуют качество обработки обей’ 96
астины Исключительная важность этих требований и большая трудо- стороН ПЛпсраций контроля неплоскостности пластин диктуют создание более еМкость V_ бесконтактных методов и средств измерений формы поверхно- „ронзводител сги о'^рТгление края пластины (фаска). Является одним из важных факторов, 3аК юших качество технологических операций изготовления полупроводни- опреде'п ов формирование фаски на пластинах позволяет устранить мно ковых ецифические виды брака и негативные явления, возникающие при меха- г"е СПой обработке пластины, нанесении фоторезиста, фотоэкспонировании, эпи- ническ т СПОрТИрОВании. Скалывание острых краев пластин при механической 1кКСбо'тке приводит к ухудшению качества их рабочей поверхности (появлению °брапИи рисок и др.). Сколы на краях могут возникать и при извлечении пла- иари из’ технологической тары. Образующаяся при этом крошка попадает на СТбочую поверхность пластины. При эпитаксиальном наращивании это криво т к появлению дефектов структуры эпитаксиального слоя, а в местах краевых Яйолов могут возникать дислокации. Нанесение фоторезиста на поверхность №.астииы, не имеющей фаски, сопровождается образованием на ее краях коль- цевого валика, что в дальнейшем приводит к ухудшению качества экспониро- вания. В процессе роста эпитаксиального слоя на пластине без фаски также возможно образование кольцевого валика («короны»). Профиль фаскн характеризуется шириной и высотой (расстоянием от на- чала искривления до точки профиля с заданным вертикальным смещением от- носительно поверхности пластины). Для контроля профиля фаски используется метод движущегося щупа с регистрацией вертикальных перемещений на ленте самопишущего прибора. Механические перемещения щупа преобразуются в электрический сигнал с помощью механотрона. Полученную профилограмму сопо- ставляют с шаблоном, на котором обозначен коридор, ограниченный предельно допустимыми величинами ширины и высоты фаски. Если измеренный профиль вписывается в коридор шаблона, пластина считается годной по этим параметрам. Диаметр пластины и длина базового среза. Контроль этих параметров выполняется механическими средствами, при использовании которых возможны разрушения краев пластины. В связи с этим возникает необходимость в приме- нении бесконтактных методов контроля. Шероховатость поверхности пластины. Контроль шероховатости проводится с помощью профилографа-профилометра модели 252 с вертикальным увеличе- нием 200000х и алмазным щупом с радиусом закругления иглы 0,002 мм. Для производства микросхем шероховатость поверхности полупроводниковых пластик должна соответствовать 14-му классу чистоты (7?г^О,О5 мкм). Удельное сопротивление и неоднородность его распределения. Контролиру- ются четырехзондовым методом с помощью прибора ИУС-3. Измерения прово- дятся в пяти точках, одна из которых помещена в центре пластины, а остальные на взаимно перпендикулярных диаметральных линиях на расстояниях от центра, равных половине радиуса. Однородность по удельному сопротивлению vp=|^=£i |.1ОО, Рк + рц где рк—среднее значение удельного сопротивления для четырех точек, уда- ленных от центра на половину радиуса; рд — среднее значение удельного со- противления в центре (для двух измерений). Микронеоднородность по удельному сопротивлению. Наиболее характерной для монокристаллов, полученных методом Чохральского и бестигельной зонной плавкой, является спиральная неоднородность, возникающая в слитке при его выращивании в условиях несимметричного теплового поля. Поскольку устране- ние спирального эффекта представляет большие технические трудности, спираль- ная неодиородиость встречается довольно часто и служит одной из главных Ричин, снижающих качество полупроводниковых приборов. Спиральная неоднородность по удельному сопротивлению представляет со- и периодические колебания удельного сопротивления вдоль образующей итка, наиболее сильно проявляющиеся в его периферийных областях. Так как 7 Заказ № 1270 97
pt Ои-СЙ 10 ‘ . 9 в - 7 - Рис. 2.3i. Характерный вид периодической микронеоднородности в монокрв. сталле кремния марки КДБ-10 при шаге перемещений 20 мкм 1.5 Zf) l, мм при выращивании слитка фронт кристаллизации не является плоским, то в се чении слитка также наблюдаются периодические колебания удельного сопротнв ления. Учитывая негативную роль спиральных неоднородностей и возможность нх обнаружения на поперечных сечениях слитка, необходимо включать в тех нические условия на пластины требования допустимому уровню периодических колебаний удельного сопротивления. Микронеоднородность таких полупроводни- ков, как германий и кремний, может быть измерена методом сопротивлени растекания. Измерения проводят на пластинах после операции финишного по- лирования поверхности. Количественно микронеоднородность периодического ха- рактера Vp=l -Р^-Р?1” |.1ОО, Ртпат + РиНп где ртах и pmin — максимальное и минимальное значение удельного сопротив- ления в соседних экстремумах (рис. 2.31). Дефекты кристаллической структуры. Технические требования к пластинам полупроводниковых материалов регламентируют допустимые нормы на содержа- ние таких структурных несовершенств, как дислокации, выделения второй фазы, кластеры точечных дефектов, дефекты упаковки и др. Обнаруживаются данные дефекты с помощью стандартных методов селективного химического травления и идентифицируются по характерным для каждого вида дефектов фигурам трав- ления. Подсчет плотности дефектов проводится под микроскопом в соответст- вии с планом контроля, зависящим от плотности и распределения дефектов по площади пластины. Особую опасность формирования в объеме полупроводника различного рода структурных дефектов представляет слоистая спиральная неоднородность, возникающая в результате периодической модуляции скорости роста кристалла и обусловливающая периодичность как в распределении неравновесной кон- центрации точечных дефектов структуры, например вакансий и междоузельных атомов в бездислокационном материале, так и в распределении атомов примесей, у которых эффективный коэффициент распределения на границе расплав — твердое тело сильно зависит от скорости роста монокристалла. После охлажде- ния слитка в определенных витках спирали создаются благоприятные условия для возникновения сильно пересыщенного раствора точечных дефектов и при- месей. Высокая концентрация дефектов структуры и примесных атомов усили- вает их взаимодействие. Обе причины приводят к тому, что в процессе охлаж- дения слитка, а также при проведении термообработки, предусмотренной техно- логическим циклом изготовления полупроводниковых приборов, в определенных витках спирали резко ускоряется распад твердых растворов с образованием различного вида примесных комплексов, кластеров точечных дефектов, выделе- ний второй фазы, дефектов упаковки и других структурных несовершенств. 98
- уюшиеся дефекты в свою очередь могут служить центрами осаждения ОоРа3У расТворов других примесей, которые не подвергаются воздействию йзб»|Т0Ч||“го эффекта из-за слабой зависимости эффективного коэффициента сПиРаЛЬИния от скорости роста монокристаллов. Таким образом, например, распреДс образование в монокристаллах кремния частиц SiO2, возникающих происх°Д распада пересыщенного раствора равномерно распределенного в РезУ- а иа центрах, которыми могут служить комплексы вакансий. В резуль- кисЛ°РлГ0 явления при равномерном распределении кислорода наблюдается тате ьИОе распределение концентрации частиц SiO2 в объеме монокристалла. спНрп'Следствие того, что спиральная неоднородность представляет собой наибо- опасный источник структурного несовершенства, контролю этого параметра лесляется особое внимание. Если описанные выше процессы фазовых превраще- УДр/'вроявляются в ходе остывания монокристаллического слитка после его вы- Н шивания, то спиральная неоднородность обнаруживается па пластинах после Р селективного травления в стандартных травителях в виде спиральных витков (свирлов), образованных фигурами травления. Отсутствие свирлов после селективного травления пластин не означает еще, чТ0 спиральная неоднородность отсутствует, а может свидетельствовать о том, чТ0 во время охлаждения слитка процессы фазовых превращений происходили недостаточно интенсивно. Однако этот вид неоднородности может проявить себя в дальнейшем, при операциях термообработки. По этой причине необходимо осу- ществлять периодический контроль спиральной неоднородности в пластинах кремния после их термообработки при высокой температуре. Условия и режим термообработки задаются потребителем и соответствуют типовым высокотемпе- ратурным технологическим операциям изготовления конкретного типа приборов. Поскольку практически сложно устранить спиральную неоднородность, в технических требованиях на пластины указывают не на отсутствие этой неодно- родности, а на отсутствие определенного вида сопутствующих дефектов струк- туры (например, дефектов типа А, представляющих собой частичные дислокации дефектов упаковки, возникающие при осаждении избыточной концентрации междоузельных атомов кремния). Для контроля структурного совершенства полупроводниковых пластин ис- пользуют прибор на основе инфракрасного поглощения для записи топограммы распределения неоднородностей. Пластина полупроводника помещается между источником света и щелью монохроматора и с помощью двухкоординатного сканирующего устройства перемещается относительно луча света. Одновременно с пластиной сканируется планшет с диаграммной бумагой, укрепленной на одной платформе с контролируемой пластиной. С помощью вращающегося пера, ие соединенного механически с перемещающейся платформой, на бумаге рисуется система строк. Структурные дефекты и микроиеоднородности в распределении примесей вызывают локальные изменения коэффициента поглощения, что приводит к мо- дуляции интенсивности света, прошедшего сквозь пластину. Сигнал от прием- ника излучения, размещенного иа выходе из монохроматора, подается на вра- щающееся перо самописца и регистрируется как всплеск на строчной линии раз- вертки. В результате на бумаге создается изображение топограммы распределе- ния неоднородностей н дефектов структуры по площади пластины. Варьируя длину волны излучения, можно исследовать полупроводники с различной шири- нои запрещенной зоны и настраиваться на зону наибольшей чувствительности обнаружения по отношению к различным видам исследуемых дефектов. Время записи всей топограммы для пластин диаметром 100 мм ие превышает 5 мин. Прибор обеспечивает выявление спиральных неоднородностей в пластинах крем- ния, ие прибегая к их обработке в селективных травителях. Применяют инфракрасный лазерный поляризационный микроскоп с выво- дом изображения на телеэкран. Применение в нем лазерного источника света совместно с телевизионной приставкой позволило улучшить контрастность изображения наблюдаемых структурных дефектов по сравнению с обычно при- меняемыми для аналогичных целей микроскопами типа МИК-1, МИК-4. Высокие контрастность изображения и чувствительность к полям упругих напряжений в РИсталлической решетке дают возможность эффективно использовать и инфра- красный лазерный поляризационный микроскоп для обнаружения спиральной 99
неоднородности в монокристаллах полупроводников без применения селективног химического травления и специальных термообработок. Так как спиральная однородность характеризуется периодическим распределением концентра^' дефектов структуры, в кристалле полупроводника могут возникать пернодиЧеи ские упругие напряжения, которые можно наблюдать в поляризованном инфра красном свете вследствие эффекта двойного лучепреломления. Разработай прибор для обнаружения дефектов структуры в пластинах крем. ния с выводом изображения на экран электронно-лучевой трубки. Пучок от лий-неонового лазера сканирует по поверхности кремниевой пластины, помещен- ной между двумя обкладками конденсатора. Верхняя обкладка прозрачна дЛя лазерного излучения и изолирована от пластины. Поверхность пластины пред, варительно электрически заряжается от ионного генератора, в результате чего создается обедненный слой. Генерируемые под воздействием лазерного излучения восители заряда локализуются в обедненном слое, что приводит к возникнове нию электрического поля между обкладками конденсатора. При наличии дефек- та неравновесные носители рекомбинируют вблизи него с большей скоростью поэтому электрическое поле, а следовательно, и фотонапряжение в области де’ фекта меньше, чем в бездефектном участке поверхности пластины. Сигналы фо. тонапряжения преобразуются в изображение на экране электронно-лучевой трубки, которое позволяет судить о размерах и форме дефектов структуры. 2.7. Разделение пластин Процесс разделения пластин включает скрайбирование и разламывание Часто перед скрайбированием осуществляют утонывение пластин. Сошлифовка толщины пластин повышает процент выхода годных на операции скрайбирова- ния. Рабочая сторона пластин при проведении утоньшения защищается слоем лака ХВ-784 при частоте вращения пластин 1500...2000 мии~* и времени центри- фугирования 40...60 с. Утоньшение пластин производится: шлифованием алмазными кругами типа АСМ 40/28 как с приклейкой пла- стин, так и на вакуумных присосках; шлифованием свободным абразивом — микропорошком; полированием на замшевом полировальнике алмазной суспензией на основе микропорошка; комбинированным методом, включающим предварительное шлифование мик- ропорошком и окончательную доводку полированием. Скрайбирование. Заключается в нанесении рисок на поверхность пластины, например, в двух взаимно перпендикулярных направлениях посредством воздей- ствия алмазного резца-скрайбера, диска или лазерного излучения. Под рисками образуются напряженные области. Слабое механическое воздействие на пла- стину приводит к ее ломке. Технология производства полупроводниковых приборов требует точного и быстрого контроля ориентации полупроводниковых пластин и кристаллов от- носительно инструмента в установках лазер- кого скрайбирования, алмазной резки, сварки дх и др. Для поиска и ориентации полупровод- — никовых приборов по топологической струк- туре используют метод трансформирования —рх. изображения. й Погрешность ориентации (угловой разво- ИН+Д рот) пластины относительно оси визирова- | 11\ ния У (рис. 2.32) определяется по формуле у'=ДХ/2/, 4- | I/ где АХ — ошибка визирования; 21 — база {J 7 ориентации, нли поле зрения прибора. Рис. 2.32. Определение погрешности ориента- ции полупроводниковых пластин 100
повышения точности ориентации необходимо либо расширить базу яппи 2/, что нашло применение в двухпольных проекторах и микроскопах, ориента еньш’11ТЬ ошибку визирования ДХ. В первом случае сужается область либо У И|(Я 011Тнческого прибора, усложняется конструкция и увеличиваются га- пр"ме а простое повышение видимого увеличения прибора Г приводит к про- баРиУ,пняльному уменьшению поля зрения прибора: 2г—21/Г, что не уменьшает П°РовУЮ "ошибку ориентации: у'=ДХ72/'=ДХ/Г/2//Г= ДХ/2/ = у. УгЛОдля повышения точности ориентации необходимо создать различное уве- ение по осям X н Y, чтобы уменьшение ошибки визирования по оси X не ЛИзвало уменьшения базы ориентации по оси У, а это есть трансформирование ®ыохпажения, т. е. создание различного увеличения в двух взаимно перпендп- из.„ярных направлениях по осям X и Y—Гх и Гу соответственно. Эти увеличения связаны между собой коэффициентом анаморфозы А: ГХ~КГ Ошибка ориентации в приборах с трансформированным изображением _ ДХ/Гх = ЬХ/КГу = ДХ _у_ Vt“ 21Ги 21ГУ KV К ' где — ошибка ориентации при ^трансформированном изображении. В результате трансформирования ошибка ориентации уменьшается, а точ- ность возрастает на величину, равную коэффициенту анаморфозы оптической системы. Данный метод является универсальным, его можно реализовать в се- рийном оборудовании благодаря простоте построения и расчету аиаморфозных оптических систем (объективов, окуляров, насадок). Для скрайбирования алмазным резцом используют станки ЖКЮ-11. ШАР-016001, СМ-23А, ЭМ-201. При скрайбировании (рис. 2.33) разрезаемая пластина 1 вакуумным присосом прижимается к столику 3 подвижного меха- низма 4. Алмазный резец 2 совершает возвратно-поступательное движение и процарапывает на поверхности пластины ровную канавку. Затем столик с пла- стиной автоматически передвигается на заданную величину и прн возвратном движении резец оставляет канавку, параллельную первой. После прохождения Рис. 2.34. Конструкции резцов Р|,с. 2.33. станка i Кинематическая схема резки алмазным резцом алмазных 101
алмазным резцом всей площади пластины она поворачивается на 90° и пр011 повторяется. Для скрайбирования используют резцы с алмазным наконечником (рис. 2341 Резцы с рабочей частью в виде трехгранной пирамиды (рис. 2.34, а) предца^;' чены для резки пластин из германия толщиной от 100 до 250 мкм, четыр? граииой пирамиды с острой вершиной (рис. 2.34,6)—для резки пластин кре2 ния толщиной от 250 до 500 мкм и четырехгранной усеченной пирамид (рис. 2.34, в) — для резки пластин одной из четырех заостренных граней. При резке пластин толщиной 125 мкм на кристаллы минимальный шаг реж кремния и германия составляет 0,4 и 0,5 мм соответственно. Глубина рис ’ после алмазной резки 7 мкм. Нагрузка резца на пластину рекомендуется 0,2 р для кремния и 0,1 Н для германия при скорости нанесения рисок 0,025 0,03 м/мин соответственно. Минимальный размер кристаллов h и толщина щ. ходной пластины I связаны следующим соотношением: h=kl, где fe=4 дЛя Si и Лг=32 для Ge. Для обеспечения удовлетворительного качества разламывания пластин на кристаллы после резки дисками глубина реза должна быть не менее 2/3 исход, ной толщины пластины. Для скрайбирования используют также энергию лазерного излучения. Ли. ния реза, возникающая при воздействии энергии лазерного излучения, снижает прочность материала за счет уменьшения площади поперечного сечения под ложки; образования концентратора напряжения и деформирования слоя вблизи лазерного реза. Высокое качество разделения обеспечивается при низкой ско- рости скрайбирования, когда глубина скрайбирования не менее 1/4 толщины пластины. Защита и очистка пластины от конденсатов полупроводникового материала при лазерном скрайбировании обеспечивается продувкой зоны обработки воз- духом, вакуумным отсосом (рис. 2.35, а, б) либо размещением над пластиной прозрачной эластичной ленты, обладающей хорошей адгезией к глобулам испа- ренного материала и предотвращающей их осаждение на поверхность полупро- водниковой пластины (рис. 2 35,в). Удаление отходов при лазерном скрайби- ровании возможно локальной реакцией испаряемого с пластины материала с веществом, взаимодействующим с материалом пластины с образованием газо- образного соединения материала подложки, которое удаляется вакуумной от- качкой. Для лазерного скрайбирования используют установки, параметры которых приведены в табл. 2.11. В конструкцию полуавтомата ЭМ-220 входит мощный технологический ла- зер ЛТИ-502 со средней мощностью 16 Вт, длиной волны 1,06 мкм и частотой повторения импульсов 5...50 кГц с непрерывной накачкой от плазменно-дуговой криптоновой лампы, снабженной высоковольтным блоком питания и охлаждения, оптической системой фокусировки луча и визуального наблюдения, а также система координатных перемещений и механизм загрузки и предварительной ориентации пластин (рис. 2.36). Управление лазером осуществляется с помощью а кустооптического модулятора добротности. Режим модулированной добротности характеризуется большими значениями пиковой мощности, что в сочетании с Рис. 2.35. Узлы защиты поверхности подложки при лазерном скрайбировании: 1 — фокусирующий объектив; ? — подложка; 3 — лазерный пучок; 4— трубопровод к ва- куумному насосу; 5 — линия подачи газа; 6 — стекло для защиты объектива 102
9 11 Параметры установок для лазерного скрайбирования Таблина Параметр ЭМ-210 ЭМ-220 максимальный диаметр обрабаты- МаК, " пластин, мм в„ае пязон изменения шага при дис- ^петности 0,01 мм, мм пР япязон изменения подъема при фторных резах и дискретности 00025 мм, мм Ширина реза, мкм Глубина реза кремниевых пластин за один проход при скорости 100 мм/с, мкм Диапазон изменения скорости, мм/с Погрешность перемещения, мкм: прн длине хода 100 мм относительно центра при общей длине хода 150 мм Загрузка Ориентация (точная) Фокусировка Проникновение вредных излучений через видеоконтрольный канал 78(100)* 0,10..9,99 40(25)** 75(50)** 25...250 20 Ручная Механическая с ручным управле- нием Ручная В пределах нормы 150 0,01 ...99,99 0...0.79 40 150 10.399 ±15 Автоматическая с предварительной ориентацией Электронная с ручным управле- нием Автоматическая Отсутствует • На моделях ЭМ-210 последних выпусков возможна обработка пластин диаметром 100 мм с ограничением по шагу. * • Глубина и ширина реза установки ЭМ-210 нормируются при скорости 200 мм/с и составляют 50 н 25 мкм соответственно. небольшой длительностью (~250 нс) и высокой частотой следования импуль- сов (до 50 кГц) позволяет получать скрайберный рез при больших скоростях скрайбирования. Для защиты от попадания крошки при разделении пластин полупроводни- ка, а также от брызг расплавленного материала в случае лазерного скрайби- рования поверхность пластин может защищаться фоторезистором, полимерной пленкой поливинилового спирта, натурального латекса и др. После надрезания пластины ее обычно подвергают жидкостной очистке с последующей осушкой; для этого часто применяют специальные щетки, особен- но в случае лазерного разделения, так как для устранения последствий попа- дания продуктов испарения на поверхность пластины ее защищают органиче- скими пленками, которые, естественно, требуют последующего тщательного Удаления. Для разделения полупроводниковых пластин на модули применяется метод механического фрезерования с помощью специальных дисков толщиной 9-60 мкм, имеющих большую частоту вращения (36 000...50 000 мин -1), на концах которых закреплена алмазная крошка. Образующаяся в процессе фре- зероиания полупроводниковая пыль легко удаляется сразу же струей деионизо- Ванной воды, подающейся на поверхность пластины. Разламывание пластин после скрайбирования. Основано на создании растя- гивающих усилий, которые вызывают появление трещин вдоль нанесенных ри- 103
Рис. 2 36. Схема полуавтомата Ла кого скрайбирования ЭМ-220-*®’ / — загрузочное устройство: 2 — лазер- «- телевизионный датчик, 4— вндеокон,пА» *I устройство; 5 — блок зеркал; 6 — телД1"^ 7 — датчик высоты; 8—позиционер сок. Для разламывания пластин ц3| бающее напряжение подсчитывается ь. формуле j “ S= (mh^/kbl2) = (mlkb) (h/l)\ где m — изгибающий момент; h — дЛ1) на ребра кристалла, получаемого при скрайбировании; b — ширина пластики I — толщина пластины; k — коэффи' циент. Величину (Л/f)2 называют показа телем способности к разламыванию. Его оптимальное значение должно быть равно 24. Для разламывания пластин на кри- сталлы применяют следующие способы: 1. Пластины после скрайбирования наклеивают на фольгу из пружинной стали толщиной 100 мкм либо на поли мерную пленку — основание и разламы- вают путем сгибания фольги или плен- ки по определенному радиусу. 2. Пластину с нанесенными рисками помещают между двумя листами фоль- ги. Всю сборку располагают на мягкой подложке рисками вниз. Путем нажа- тия на обратную сторону пластины ее разламывают. 3. Пластину с рисками помещают на резиновое основание и прокатывают сверху резиновым валиком в двух взаимно перпендикулярных направлениях 4. Скрайбированные пластины помещают в конверт из пластичного мате- риала. Конверт вакуум плотно закрывают и нз него откачивают воздух, в резуль- тате чего возникает механическое воздействие на пластины и происходит их разламывание. Параметры существующих методов разделения полупроводниковых пластин приведены в табл. 2.12, а внешний вид кристаллов после разделения пластин представлен на рис. 2.37. Для опенки качества скрайбирования применяют оптический метод. При скрайбировании возникают механические напряжения и мнкротрещины, распро- страняющиеся во все стороны от надреза, которые могут привести к разруше- нию кристаллов. Для их исследования применяют метод рентгеновской секцион- ной топографии с использованием узкого (~ 10 мкм в плоскости образца) первичного пучка рентгеновских лучей. Метод обеспечивает высокое разрешение Рис. 2.37. Внешний вид кристаллов после разде- ления пластины скрайби- рованием (а), фрезеро- ванием (б) и лазерной резкой (в) 104
2.12. Характеристики методов разделения полупроводниковых пластин Таблица Метод разделения Параметр Скрайбирование Скрайбирование алмазным резном лазерным лучом незка диском Обрабатываемый мате- скорость уСмальная скорость, обеспечивающая нор- мальное качество раз- деления, мм/с Глубина реза, мкм Ширина реза, мкм Обработка пластин с окислом Качество граней кри- стаяла Направление движения инструмента Требования к точности кристаллографической ориентации ^Загрязнение поверхности пластины продуктами испарения Максимальный выход годных схем после раз- деления, % Есть ограниче- Любой Любой НИЯ 60 500 300 25.60 200 До 150 1...5 50...170 10..500 1,555 20.35 30...50 Не рекоменду- Легко осущест- Возможна ется вима Удовлетвори- Удовлетвори- Хорошее тельиое тельное Односторон- Двустороннее Возможно дву- нее стороннее Жесткие Умеренные — Незначитель- Весьма сущест- Умеренное ное венное 98 99,5 99,5 и большую чувствительность к механическим напряжениям. Секционные топо- граммы позволяют изучать распределение несовершенств по толщине кристалла на любом его участке. Глава 3 Полупроводниковые подложки и физико-химические методы обработки их поверхности 3.1. Требования к полупроводниковым подложкам Полупроводники в виде пластин или дисков, вырезанных из монокристаллов, называются подложками. В их объеме и на поверхности методами травления, Окисления, диффузии, эпитаксии, имплантации, фотолитографии, другими техно- логическими приемами формируются элементы микросхем электронных приборов в Устройств. Состояние поверхности подложки влияет на параметры изготовляемых при- оров. Основные требования к полупроводниковым подложкам на основе крем- ния и германия представлены в табл. 3.1. Качество поверхности подложки определяется ее микрорельефом (шерохова- тостью), кристаллическим совершенством поверхностных слоев и степенью их 105
Таблица 3.1. Основные требования к подложкам из кремния и герма Характеристика подложки Требования Процессы и операции » которых крнтнчко Ч данное требование 1 Точность кристаллогра- фической ориентации Допуск по толщине Клиновидность (непа- раллельность плоско- стей) Неплоскостность, мкм Прогиб пластин, мкм Шероховатость поверх- ности сторон (высота микронеровностей), мкм: рабочей нерабочей Механические загрязне- ния Дефектность приповерх- ностного слоя Адсорбированные при- меси (атомы, ионы), см~2 Молекулы (монослоя) ±1...2° (±30') ±1-2% ±0,5% 1—2 4-6 Менее 0,05 Менее 0,1 Недопустимы Полное отсутствие на- рушенного слоя и меха- нических упругих напря- жений Плотность дислокаций не выше объемной Менее 1О12...1Ои для раз- личных элементов Менее одного монослоя Окисление, травле1( эпитаксия, Диффузия Совмещение и экспо» рование, скрайбирова1 То же * В в Весь технологический процесс То же В Окисление, диффузия, эпитаксия и др. Ж Диффузия, эпитаксия и эксплуатация готовых приборов Таблица 3.2. Глубина нарушенного слоя, мкм, пластин распростраиеиных Поверх Вид обработки Условия обработки Si Ge Резка алмазным кругом с внутренней режущей кромкой Шлифование Шлифование, полирова ние Химико-механическое по- лирование Зернистость режущей кромки АСМ 60/53; п=4000 мин-'; подача 1 мм/мин Свободный абразив; суспензии порошка ЭВМ-10 ЭБМ-5 Связанный абразивный круг АСМ-28 Алмазная паста: АСМ-3 АСМ-1 АСМ-0,5 Суспензия аэросила, SiOj (зер- но 0,04...0,3 мкм) Суспензия ZrOz (0,1...0,2 мкм) Суспензия а — А12О3 (0.05...1 мкм) Суспензия цеолита 20...30 11...15 7-9 14...16 6...9 5-6 1...2 1...1.5 1...2 35...40 12...16 7.9 15...17 9... 10 6-7 3...5 1-1,5 1 1...2 106 __ к.
ческой чистоты. Поверхность подложки характеризуется неплос- 4Нзик°'*им' непараллельностъю. Высокие требования предъявляются и к об- ос1И°сТЬ1° пабочей — стороне подложки. Неодинаковая и неравноценная обра- патной "7н „ стОрон подложки приводит к дополнительным остаточным меха- ботка °Се1' аПряжениям и деформации кристалла, что обусловливает изгиб ннческим п1астин. мехаНической обработки в тонком приповерхностном слое подложки Посл нарушенный слой. По глубине он может быть разделен на характер- вОзиикае кристаллов Ge, Si, GaAs и других после их резки и шлифования н^е з0^ не о,3-0,5 средней высоты неровностей расположена рельефная зона, иа ГЛ\ой наблюдаются одинаковые виды нарушений и дефектов монокристал- в кото|>_ m„VVTVnbI. моиокписталлнческие сколы, невыкпошившиеся блоки, тое- /]иче1— щияы, скО™кационные петли и сетки, точечные и другие дефекты в зоне трещин, Д,,Стяженность которой в 3...6 раз больше, чем первой рельефной зоны (рис. 3 1). "Р0____ тпршин во втопой зоне ппиводит к появлению в слое, лежащем под й 'других напряжений и дислокаций, плотность которых монотонно уменьша- ПлО ПС rxootzr» ПС ДгГМХТ'ЪТ n'J РПА ГС ГАТС П Г> ТГ/'ХЖХ ПР Л Г* ПО Л от режушей кромки алмазного диска в виде параллельных дорожек из скоп- лений дефектов, в шлифованных кристаллах — равномерно по сечению При по- лировании первый слой представляет собой поверхностные неровности, относи- поверхности он является аморфным. Второй слой также аморфный, его глубина I — , ходным от аморфной структуры г... .... ... случаях и трещины. Оценка усредненной глубины нарушенного слоя у разных полупроводников после различных видов механической обработки приведена в табл. 3.2. ля кристаллов ше, ы, ианз и других после их резки и шлифования 0,3 ..0,5 средней высоты неровностей расположена рельефная зона, Гкой"структуры: монокристаллические сколы, невыкрошившиеся блоки, тре- !С выступы и впадины различных размеров. Методами электронной микро- обнаружены также микротрещины, концы трещин, выходы дислокаций, С1'и7„кационные петли и сетки, точечные и другие дефекты в зоне трещин, д,,СтЯженность которой в 3...6 раз больше, чем первой рельефной зоны (рис. 3 1). и личие трещин во второй зоне приводит к появлению в слое, лежащем под g других напряжений и дислокаций, плотность которых монотонно уменьша- йся с глубиной После резки дефекты располагаются в основном под следами от режущей кромки алмазного диска в виде параллельных дорожек из скоп- лений дефектов, в шлифованных кристаллах — равномерно по сечению При по- лировании первый слой представляет собой поверхностные неровности, относи- тельно меньшие, чем при шлифовании (рис. 3.2), и в отличие от шлифованной поверхности он является аморфным. Второй слой также аморфный, его глубина в 2...3 раза больше, чем поверхностные неровности. Третий слой является пере- '____ ‘ I к ненарушенному монокристаллу и может содержать упругие или пластические деформации, дислокации, а в некоторых полупроводников после различных видов механической обработки приведена в табл. 3.2. В процессе обработки и подготовки поверхности подложек полупроводников необходимо создание совершенных поверхностей, имеющих высокую степень плоскопараллельности при заданной кристаллографической ориентации, с пол- полупроводниковых материалов после различных видов механической обработки кость (Ш) ОаР GaAs InP InAs OaSb InSb 30-45 34...42 50...70 60-80 100...150 30 Ю...15 25 20-25 20...25 25-28 28...32 7...Э 10 9...11 12...I5 18...21 20...26 15 17 17-19 19 ...21 17...20 17...19 20...24 H...12 11...13 11...13 10...14 15...19 25...35 7-8 8-9 8—11 7...11 8... 16 17...20 4...5 5-6 5-7 4-6 6...9 15...17 1 2...3 1-3 2..3 5.8 10...15 2-3 1 — — — 10...12 0 — — — — — — 1 — 1...5 107
Рис. 3.1. Строение нарушенного слоя (НС) полупроводниковой подложки после резки и механического шли- фования: 1 —рельефно-поликрнсталлическая зона; 2 — зона трещин; 3 — зона упругих на- пряжений и дислокаций; 4—зона повы- шенной плотности дислокаций Рис. 3.2. Строение нарушенного с (НС) полупроводниковой ПОДЛОЖ| после механического полирования / — аморфно-рельефная аона; 2 — амо ь ная зона с трещинами; 3— деформи * ванная зона с повышенной плотнсск^ дислокаций ным отсутствием нарушенного слоя, минимальной плотностью поверхностных дефектов, дислокаций и т. д. Поверхностные загрязнения должны быть миии- мальными. 3.2. Методы контроля качества полупроводниковых подложек Контроль параметров подложек и нарушенного слоя монокристаллов. Ме- тоды контроля ориентации, качества и геометрических параметров полупровод- никовых подложек приведены в табл. 3.3. Для излучения микрорельефа поверхности пластин и эпитаксиальных струк- тур применяют метод голографической интерферометрии на установке УИГ-2М. С ее помощью измеряют напряжение, возникающее на различных стадиях меха- нической обработки пластин, величину и направление изгиба пластин, а также разброс значений прогибов выращенных на ннх эпитаксиальных структур. Контроль и исследование нарушенного слоя монокристаллов состоит из нескольких этапов, включающих применение метода, чувствительного к нару- шениям и дефектам кристаллической решетки (табл. 3.4), и методов послойного удаления этих нарушений. Для исследования свойств нарушенного слоя и его толщины, качества обработанных подложек, кинетики роста и травления пле- нок окислов на Si и полупроводниках типа AniBv используют методы эллипсо- метрии (эллипсометрические микроскопы Л ЭМ-5 н ЛЭМ-6). Контроль плоскост- ности поверхности подложек осуществляют лазерными телевизионными интер- ферометрами УКП-2 и ЛИТ-1. Контроль технологических сред. К технологическим средам, применяемым е микроэлектронной технологии, относятся различные органические растворители, водные моющие растворы с добавками ПАВ, составы для травления н поли- рования подложек, вода различной степени очистки, активные газы (азот, водород, кислород), инертные газы (гелий, неон, аргон), окружающая среда (воздух, вакуум), в которой проводятся различные процессы изготовления под- ложек и интегральных микросхем (ИС). Методы контроля технологических сред, применяемых в производстве полупроводниковых приборов и ИС, приведены в табл. 3.5. Контроль чистоты поверхности подложек (табл. 3.6). Для контроля очистки подложек от органических гидрофобных загрязнений используются методы смачивания и трибометрический метод. Данные количественных измерений три- бометрическим методом носят относительный характер, так как калибровка 108
Метод контроля q q Методы контроля параметров полупроводниковых подложек тяблИ^5_------------------------------:------------------------------ Краткая характеристика Используемые приборы метода и оборудование ОриеНтаи11Я Рентгенов- ский Оптический Толщина. Непарал- дельность плоскостей (клнновид- иость). I плоскост- ность. Про- гиб Механиче- ский кон- троль Оптико-ме- ханический контактный Шерохова- тость по- верхности Фотоэлект- рический контакт- ный и бес- контакт- ный Механиче- ский кон- тактный Оптический Сравни- тельный бес- контакт- ный Измерение разности уг- лов падения и отраже- ния рентгеновского из- лучения. Точность ори- ентации ± (2...6) Измерение отраженного пучка света от фигур травления поверхности полупроводника. Точ- ность ориентации ± (15...30) Измерение толщины на плоском столе много- оборотным индикатором часового типа с ценой деления 1 мкм. Точность измерения ±1 мкм. Из- мерение непараллельно- сти, неплоскостности и прогиба — по световому зазору между подлож- кой и ребром локальной линейки Измерение толщины и прогиба на столике с по- мощью кольца-подстав- ки. Точность измерения ±0,5 мкм. Определение неплоскостности и непа- раллельности по интер- ференции между плоской стеклянной пластиной и подложкой. Точность измерения ± 1 мкм Измерение толщины н прогиба подложек по электрической, световой или звуковой индикации при замыкании цепи Измерение шероховато- сти поверхности ощупы- ванием алмазной кони- ческой иглой. Точность измерения ±(5...16)% Измерение интерферен- ции пучка света на по- лированной поверхности подложки. Точность из- мерения 0,01 мкм Сравнение полирован- ной поверхности под- ложки с эталонными об- разцами. Точность изме- рения ±(0,5...1) мкм Дифрактометры УРС-50 ИМ, УРС-25 И, УРС-70К1 Установка ЖК778-08 Индикатор ИЧ-1. Стой- ки и штативы для изме- рительных головок Ло- кальная линейка типа ЛД Универсальный из- мерительный микроскоп УИМ-21; УИМ-23 Оптиметры ИКВ, ИКПВ. Пластины плоские стек- лянные для интерферен- ционных измерений ПИ60, ПИ80. Пластины плоскопараллельные стеклянные для контро- ля плоскостности и плоскопараллельности типа ПМ-15, ПМ-40 Установка ФИП-2, ин- дуктивные датчики Профилографы, профи- лометры блочной конст- рукции завода «Калибр» моделей 201, 202, 252, 253 Микроинтерферометры МИИ-4, МИИ-11. Пла- стины плоские стеклян- ные для интерференци- онных измерений ПИ60, ПИ80 Эталоны чистоты (об- разцы шероховатости поверхности) 109
Таблица 3.4. Методы контроля и исследования глубины нарушенного полупроводниковых подложек 3 5 Методы контроля чистоты технологических сред, применяемых 6 Л И *зводстве полупроводниковых приборов в интегральных микросхемах Метод контроля Краткая характеристика Возможности метод Т» Б Пределы обнаружу загрязнении, мкг,см органи- ческих неоргани- ческих Химическое травление Измерение скорости хими- ческого травления подлож- ки в зависимости от вре- мени или глубины травле- ния. Точность зависит от выбранного состава трави- теля Позволяет °предед суммарную глубину рушенного слоя, вклю^ рельефно-ноликристал. ' лическую или аморфн, зону трещин, а так,' упруго напряженную j,, ну и область повыщ{|. ной плотности ДИСЛ(,г,. ций. Необходимо соблт. дать временной цикл процессе травления ' иметь соответствуют.,,, травитель меТ0Л контрой Объект контроля (технологическая среда) 2.-.10'* при низких Органические раствори- тели, воздух, водород, инертные газы * Пол ярогра фи ческий (АПН и ППН)** и це- ремеино-токовая поля- рография Деионизованная вода 1 10-2..Л0-4 ю-=...1О-4 Электрохимическое травление или метод стационарных потен- циалов Измерение вольт-амперной характеристики растворе- ния подложки Позволяет определить глубину нарушенного слоя без прерывания процесса травления Спектрометрический I спектральный, атомно- абсорбционный (пламен- ный и кюветный вариан- ты), кинетические Все оеагенты для хими- ческой обработки и фи- нишной очистки полу 'роводнииовых пластин 0,1—10-3 10—10-3 10-2-10~4 Оптические методы: металлографиче- ский, поляризацион- ный инфракрасный Подготовка образца путем изготовления шлифа, трав- ления или декорирования, воздействия селективного травителя Позволяет определить суммарную глубину (рельефно-поликристал- лнческий) нарушенного слоя и глубину отдель- ных зон Кондуктометрический I Измерение удельного сопротивления жидких сред Деионизованная вода, органические раствори- тели io~'...io-3 io-2...io-4 Дифракционные ме- тоды: рентгеиотопо- графический, электро- нографический, элект- ронно-микроскопи- ческий Измерение дифракции рент- геновских лучей и изучение дифракционной картины проходящих и отраженных пучков (методы Ланга, Бормана, двухкристального спектрометра и др.); изуче- ние дифракционной карти- ны пучка электронов (бы- стрых и медленных) на про- свет, на отражение, реплик с поверхности и др. То же Г азохроматографиче- ский Потенциометрический Масс-спектрометриче- ский Пьезокварцевое микро- взвешивание сухого ос- татка жидкостей (селек- тивный метод) Инертные газы, водород, азот Деионизованная вода Органические раствори- тели, вода, кислород, другие жидкие реагенты для химической обработ- ки и финишной очистки пластин ю-2...ю-4 10-3...ю- ю-’.-.ю-2 10-4... 10-5 . содержание включая взве- 1О-3...1О-4 Суммарное примесей, включая шенные частицы субмик- рониых размеров Контроль скорости поверхностной реком- бинации Измерение скорости поверх- ностной рекомбинации на подложках после травления на различную глубину Позволяет определить глубину зон рельефно- поликристаллической и трещин Микровзвешивание аэро-1 зольных частиц, осаж-1 Деиных на пьезокварце- вые датчики (неселек- тивиый метод) Окружающая среда — инертные и другие газы, используемые для очи- стки Содержание взвешенных частиц, включая частицы субмикронных размеров То же Метод измерения ме- ханических свойств подложек Измерение упругих напря- жений: изгиба подложки, прочности образцов, мик- ротвердости и др. То же Фотоэлектрический Прн контроле органических загрязнсп, методом конденсзцни или абсорбции. "ПН — амальгамная полярография с с Накоплением. То же загрязнений в газах оин предварительно концентрируются с накоплением; ППН — пленочная полярография 111 ПО
Метод контроля органическим Таблица 3.6. Методы контроля содержания органических и неорганичр загрязнений и их чувствительность Чувствительность к загрязнениям, мкмд^Г'—ц '—“— неорганически,/^ Смачивание: IIO-L-IIO-» измерение угла смачивания — наблюдение за конденсацией или распылением воды 2-10~г...2 10-з — Люминесцентный 2 10-'...3 10-‘ Трибометрический blO-LI-W-’ Кондуктометрический — 1 • ю-' Потенциометрический — 110-1 Спектральный (прямой) и хи- м и ко -спектр а льны й 110-1 1-IO-'..Л • 10-s Каталитическое сжигание ор- ганических загрязнений 5 10-1 Оптическая и УФ-микроскопия Качественные оп- Качественные on- ределения ределения Оптический поляризационный То же То же Электронная микроскопия 9» » Масс-спектрометрнческий (при электронной или ионной де- сорбции поверхностных загряз- нений) 1-10-2..Л-10-» 110-2...1-10-з Радиохимический (с примене- нием радиоактивных индика- торов), радиография и нейт- ронно-активационный анализ 110-2...110-а 110-3...Ы0-4 ИК-спектроскопия * — — Магнитная резонансная спект- роскопия * — — Распределение конденсаторной фото-ЭДС * — — Дифракция медленных элект- ронов * — — Электронно-эмиссионная спект- роскопия (измерение работы выхода электрона)* — — Фотоэмиссионная спектроско- пия * — — Малоугловая дифракция элект- ронов высокой энергии* — — Эллипсометрия * — — Электронная Оже-спектроско- пия * — — • Метод позволяет проводить исследования адсорбированных слоев на уровне монослоЯ 112
ппоизводится по определенному виду загрязнений (например, по олеи- прибоРа и оте» Относительными являются также результаты количественных иовой ки . чиСТоты, полученные люминесцентными, спектрофотометрическими опреде^е методами смачивания. ‘|еТОагЫЬе'ктивен неразрушающий метод, основанный на регистрации спектра ЭФ Тронов, при котором информация о химическом составе поверхности от- оже-эле ^енно’ к тонкому приповерхностному слою полупроводника толщиной ffoXl-lO'7 см. I 1 МетОды исследования поверхности, основанные на дифракции медленных рОНОв электронно- и фотоэмиссионной спектроскопии, малоугловой диф- ®ЛСКни электронов высокой энергии, эллипсометрии, дают широкую информацию ^поверхностных явлениях, протекающих в —я **—* опнако они сложны, и их применяют при процессов очистки. процессе той или иной обработки, разработке новых технологических 3.3. Физико-химические методы обработки поверхности полупроводников Классификация физико-химических методов обработки поверхности полу- проводников представлена на рис. 3.3. н Методы физико-химической обработки полупроводниковых подложек вклю- чают предварительную, межоперационную и финишную очистку поверхности от органических и неорганических загрязнений. Очистка проводится в жидкой или парогазовой среде с интенсификацией процесса механической обработки поверх- ности подложек ультразвуком, низкотемпературной плазмой, термическим на- гревом в вакууме, ионной бомбардировкой и др. Физико-химические методы обработки поверхности полупроводников включают химико-механическое, хими- ческое травление и химико-динамическое полирование (ХДП), электрохимическое и плазмохимическое травление, осаждение и др. Химико-механическое полирование (ХМП). Заключается в совместном воз- действии химически активной (травящей) среды и механических частиц или мягкого полировальника. Продукты химической реакции удаляются механически, при этом открываются микротрещины, на которых реакция ускоряется (эффект притирки). Давление диска воздействует на микровыступы, на которых усили- вается реакция (эффект давления), вращение полировальника и подача свежего раствора способствуют смыванию продуктов реакции (эффект перемешивания и очистки). Химико-механическое полирование проводят абразивными (суспензии, со- держащие двуокиси кремния, циркония, титана, цеолит н др.) и безабразивными составами (раствор гипохлората натрия, брома в метаноле и др.). На практике используют аморфные сублимированные дисперсные порошки оксидов типа SiOj, ZrOa с размером частиц 0,04..0,12 мкм. Химически активной средой для приготовления суспензий служат щелочные и кислотные растворы с окислите- лями. Для химико-механического полирования кремния и германия применяют Щелочные растворы КОН и NaOH, хорошо растворяющие оксиды этих эле- ментов. Для повышения качества полировальной поверхности полупроводников и стабилизации суспензии в нее вводят различные ПАВ. Так, при использовании в водной суспензии частиц отрицательно заряженного оксида кремния (IV) в все вводят катионо-активные вещества (например, алкамон) и положительно- заряженные частицы — анионо-активные вещества (например, алкилсульфат ватрия). Для повышения вязкости в суспензии добавляют глицерин, этилен- гликоль и др. Безабразивные суспензии представляют собой растворы, содер- жащие ионы двухвалентной меди, гипохлорит натрия, бром в метаноле и др. Для финишного полирования суспензии используют концентрации Т: Ж= “’I (10...50), а для суперфинишной Т :Ж=1 : (50...200). На операциях финишного и суперфинишного полирования большое влияние «а качество поверхности оказывают свойства полировального полотна Оно Должно обладать высоким сопротивлением разрыву, стойкостью к истиранию 8 химической среде и определенной пористостью (350...400 пор./ммг). Чаще 8 Заказ № 1270 ИЗ
доля от но и апнээзнон ' апнаиэпы аоироиу~~\ дпнащойшоеан п mhqi/dhoi/} — R намокай апнэидойш доноэням мшошзоз п дизподэ тн -ttJiOHxdagou мМпояшпдошэ wanNat/QDduj padau нам -oupG j омшзпло ьонтпнпф дорохадаи -u-dn god -opridu xingoum oxuiogodyp апнододпиои п коиз огонжддон апнаиорр козон хпмзап пдшиаиепр а пиан fiveц даш/з хюн -‘нлтзношпие п и аж о и рои тншэсчМадои ипгоиофдон чпнаии кпнзаь -пошмаиепд апнаидрд^ хипдошз тннопр поакожан он юншзппо) пн -ииалпСодшзиП pawogodgo доииошзпд)1 ппРршнапРо понзаппш -ио пир пноанарнп пнкион з пашаохзоии anHai/gtung ппнаоансп хпнзаппспфодшнаис нир ariHtigodndouadu аонпоизор goHOugomoHaawHad п доггаолоди) апнаидошогси пэипфоОи хюннопРоршнаР -нонgopoxadau и-О апнаиднжд хнпрошз х/чнног’п -| - роаиожан он lanuaugoduil пизшнагоад ouujopodgo епнаидоРи зон спшнаиаз аончиашпиарсид юиахр дошнан -эрр хжндпззои апнаиарсрф Удаление подерхностньп органических и неорганических загрязнений 5* <и <Ь пирон cadan апн - ЗидоРш laOHOdwHOHiaoHddentp —^/зжоироо апнороОпрпойяз о dp n nnPoxoi/anp — nxaoHiouu 'uoua огоннатМ -OH ndfiluuhdwa n nungduJ 114 1
честве полировальных полотен применяют различные виды электро- всего в ка замши или синтетической кожи, нетканые материалы и др. £гат1’чесКе"ные режимы химико-механического полирования элементарных полу- ков абразивными суспензиями: удельное давление полировального кру- проводи'*в частота вращения 100 мин-1, температура 573 К, расход суспен га капель/мин. При использовании безабразивных суспензий (табл. 3.7) 31111 давление полировального круга 10 2 МПа, частота вращения Уде^Нп мин-1,‘температура 293±2 К. При обработке пластин кремния безабра 60-* . суспен’зией, содержащей Cu(NO3)2 в концентрации моль/л и NH4F зивнои > ц[|и ’б 7 моль/л с рН=5,5...6,5, достигается высота неровностей в к°з.1о-2 мкм. Таким образом, получают пластины с практически бездефектной ктурой поверхности и остаточной поверхностной концентрацией меди менее 1ЛО15 атом/см2. Химико-механическое полирование полупроводниковых соединений типа 4iiirv с использованием суспензий различного состава проводят с удельным влением полировального круга (1.4...3.0) • 10-3 МПа, частотой вращения 40 100 мин-1 и расходом суспензии 50...60 капель/мин. Однако такое полиро- вание даже при снятии слоя толщиной, значительно превышающей глубину нарушенного поверхностного слоя, всегда оставляет на поверхности пластины нарушенный слой глубиной 5...10 мкм. Поэтому перед процессом эпитаксналь кого наращивания подложки полупроводниковых соединений AlrIBv подвергают химическому полированию, травлению газовыми средами или расплавленными металлами непосредственно в эпитаксиальных установках. Характеристика композиций при ХМП для Ge, Si и соединений типа Aingv приведена в табл. 3.7. Методы химического травления. Основаны иа процессах растворения мате- риалов: изотропное — растворение полупроводника с одинаковой скоростью травле- ния по всем направлениям монокристалла; применяют для удаления нарушен- ного поверхностного слоя и полирования поверхности; анизотропное — растворение полупроводника с различной скоростью по раз- ным направлениям монокристалла; используют для металлографического и оптического исследования структурных поверхностных и объемных дефектов; селективное — растворение полупроводника с различной скоростью на раз- ных участках поверхности с одной и той же кристаллографической ориентацией подложки (либо с различными скоростями травления слоев разного химического состава в избирательных травителях); выявляются дефекты и несовершенства кристаллической структуры подложек (дислокация, дефекты упаковки, границы зерен и др.) Декорирующее и окрашивающее химическое травление — разно- видность селективного травления — используют для исследования плотности и распределения дислокаций, их природы и механизма образования, для выявления Р~«-переходов, различных фазовых включений; локальное — удаление материала лишь со строго ограниченных и заданных участков подложки; обеспечивает получение кристаллов определенной конфигу- рации заданного рельефа на поверхности подложек, позволяет создавать не- обходимый рисунок схемы (проводить мезатравление, создавать заданную кон- фигурацию в пленочных покрытиях). Для локального химического травления используют изотропные и анизотропные травители; послойное — равномерное последовательное снятие тонких поверхностных слоев полупроводника после ионной имплантации, диффузии легирующей приме- си; применяют для изучения поверхностных н объемных дефектов кристалличе- ской структуры подложек и эпитаксиальных слоев. Используются полирующие травители с малой скоростью травления (менее 0,1 мкм/мин). Химическое полирование. Процесс поверхностного химического травления, ПРИ котором сглаживаются неровности подложки, т. е. уменьшается шерохова- тость. При химическом полировании с поверхности подложки удаляется нару- шенный слой, остающийся от механической обработки, происходит очистка от Посторонних включений, загрязнений и различных пленок. Возможно уменьшение толщины подложек, задание необходимых топологических рельефов поверхности и геометрических размеров. 8* 115
Таблица 3.7. Характеристика композиций для химико-механическогп полирования полупроводниковых подложек Способ композиций pH среды Скорость полирования мкм мин Средняя высота неровностей после ХМП, мкм Обрабатываемый материал * —— ZrO2+диэтанол- амин + Н2О2 9 0,02.0,5 Не более 0,05 Si, Ge Ga, д InAs, InSb SiO2+H2O2+KOH 13 1,5...2,5 To же 100...200 г SiO2+50... 300 мл Н2О (30%) + + 100...200 мл 10...11 0.06...0.1 КОН (20%)+50... 300 мл С4Н6О6 (на- сыщенный) +150... 200 мл глицерина на 1 л Н2О SiO2+H2O2+HCl 2 1,2...2,5 Si, Ge, GaAs SiC+KOH (10%) 10 0,05 Si, Ge 0,3 моль/л Cu(NO3)2+6,8 моль/л nh4f 6,5 1...1.5 0,05 Si, Ge 1...5* В/2 в СНзОН — 0,05..0,1 0,08; риски GaAs, InSb, InP 1...10* Вг2 в С2НБОН — 0,03..0,05 0,1; риски GaAs 1...10* Вг2 в СзН7ОН — 0,03..0,05 0,1.-.0,15; GaAs 1...10*Вг2в СНзСООН — 0,10..0,15 риски 0,2; риски GaAs ZrO2+K3[Fe(CN)6] — 0,15...0,5 0,1...0,2; GaAs, GaSb ZrO2+NaOCl (т:ж = = 1:4) 8,5 0,3...0,5 риски 0,05 » Сг 20з 4" Н2О2: :NH4OH:H2O 8 : 0,5 : 30 (в объем- ных частях) — 0,03..0.05 0,08 GaAs, InSb, CaSb, InAs Паста АСМ = 0,5+ 16 г КОН+24 г K3[Fe(CN6)] + + 200 мл Н2О 10. 11 0,05 GaAs, GaP NaOCl+ 0,025... 0,037 моль/л NaOH 10 — 0,01 GaAs, InAs, GaP 0,5... 1,0** NaOCl в воде 0,8 моль/л NaOCl+0,8 моль/л Na2CO3 7...8 8,5 0,2.0,4 1...1.5 0,05...0,08; риски 0,03 Ge, GaAs GaAs 1 млНН4ОН (концен- трированная)+750 мл 9...10 — 0,05 GaAs 116
„пне табл. 3.7 Л Н Ч з Н и v -------------- Состав . композиции pH среды Скорость полирования, мкм/мин Средняя высота неровностей после ХМП, мкм Обрабатываемый материал п (концентриро- Нг;и2яя) 180 г цео- в^“ NaA***+50 мл (<®S)**+ 20 мл НА ^ЗШДОО-НЛ &ТоЖВемЗ КОН (50%)**+ + Ю0 мл Нго 200 г цеолита NaA+ + 10 г КМпО4+ + 1000 мл Н2О 20 г SiO2+ 120 мл НА (концентриро- ванная) +250 см3 40%-ной ** молочной кислоты 200 г цеолита NaA+ +5 г NH(F+4 мл Н2Ог (концентриро- ванная) на 1000 мл Н2О А120з : Н2О (т . ж = 1:5) 1...2 0,05 GaAs 0,5...1.0 0,05 GaAs, InAs — 0,05 То же 0,1...0,3 0,05; риски InSb — 0,06 InP 0,05 GaP процентах по объему. процентах по массе. • Концентрация компонента t* Концентрация компонента * На 1 л суспензии. Химическое полирование подложки проводят в условиях ламинарного потока травителя относительно поверхности пластины. Для этого их помещают на находящуюся в сосуде с травителем под- ставку, в центральную часть которой не- прерывно подают поток травителя (рис 3.4) Под его воздействием подложки приподни- маются (подвешиваются) в течение всего процесса травления. Это обеспечивает од- новременное полирование обеих сторон пластин, способствует переносу веществ Ис- 3.4. Устройство для химике-динами- оского полирования подложек полупро- t водников типа «Конус»: подложка- 2— шланг для подачи травителя стакан; 4 — ограничителн-упоры; 5 — под- ложкодержатель 6 — ведущий поясок 117
переносу веществ в растворе, ускоряет и стабилизирует процесс травления улучшает качество обрабатываемой поверхности. 11 Процесс химического полирования в гидродинамических условиях полуЧй название химико-динамического (ХДП). Скорость циркуляции травителя п0, бирают экспериментально с учетом геометрии сосуда с раствором. Для претЛ вания процесса травления травитель из сосуда быстро сливают и подают ’ него большое количество проточной деионизованной воды с удельным сопротиа лением 18..20 МОм. В другом случае раствор в сосуде постепенно вытесняют проточной деионизованной водой. И, наконец, возможно быстрое извлечение пластин из сосуда с травителем и перенос их в атмосфере инертного газа ь сосуд с проточной деионизованной водой. При этом необходимо сократить минимума время контакта свежепротравленной поверхности полупроводника с окружающей его атмосферой. Химико-динамическое полирование характеризуют следующие параметры- скорость химического травления — толщина удаляемого слоя с подложки за единицу времени; стабильность скорости травления — постоянство скорости травления после удаления нарушенного слоя подложки полупроводника, выражается в процен- тах от начальной скорости; микрорельеф — шероховатость поверхности — совокупность неровностей по- верхности, образующих рельеф поверхности; кристаллическое совершенство поверхности — полное отсутствие нарушенного слоя, контролируется выборочно после химического травления подложек на за- данную глубину травления; физико-химическая чистота поверхности—уровень допустимых на поверх- ности адсорбированных загрязнений, определяется числом атомов примесей на единицу поверхности; геометрические параметры подложек — толщина; отклонение от плоскостно- сти и параллельности сторон подложек; геометрические параметры измеряются в микрометрах при съеме по толщине не менее 10 мкм. Химический состав полирующих травителей. В состав полирующих трави- телей входят окислитель (HNO3, Н2О2, Fe3+, СггО?'-, галогены и др.), обес- печивающий разрыв ковалентных связей полупроводниковых материалов, рас- творитель (водные растворы неорганических кислот, щелочей или различные органические среды: спирты, эфиры, карбониловые кислоты и др.) для растворе- ния продуктов реакции. Улучшается растворимость продуктов реакции введе- нием комплексообразователя (винная или лимонная кислота, ионы F", [Fe(CN)6]3~ и др.). Повысить вязкость можно введением глицерина. По при- роде окисляющих агентов и растворителей различают несколько видов тра- вителей: кислотные: окислители HNO3, Н5О2, Fe3+ и другие среды, водные растворы кислот HF, НС1, H2SO4, НЭРО4, НС1О4, СН3СООН; щелочные: окислители Н2О2, галогены (Cl2, Вг2, J2), гипохлорит и гипобро- мат натрия или калия, комплексные ионы [Fe(CN)6]3- среды — водные раство- ры КОН, NaOH, NH4OH и др. Растворы галогенов (С12, Вг2, J2) в воде в органических растворителях (спирты, эфиры). Для проведения ХДП грубо обработанных поверхностей (средняя высота неровностей около 2...5 мкм) применяют травители с большой скоростью трав- ления, при 0,1...0.2 мкм — с меньшей скоростью полирования. Рекомендуемые значения глубины и скорости ХДП поверхности подложек кремния после раз- личной механической обработки приведены в табл. 3.8. Для ХДП подложек Si и Ge используют травители, состав которых приведен в табл. 3.9. Составы травителей для соединений типа AlnBv даны в табл. 3.10. После ХДП проводят промывку подложек в деионизованной воде, а затем их поверхность стабилизируют в различных фиксирующих растворах, например сульфиде натрия, этилендиаминтетрауксусной кислоте или растворах ее солей с последующей сушкой в потоке чистого сухого воздуха центрифугированием или нагревом инфракрасным излучением. Поверхность полупроводников после ХДП обладает повышенной актив- ностью, что приводит к появлению на ней окисной пленки. Толщина ее для 118
3 8 Расчетные и экспериментальные значения глубины и скорости у а б л и П а химического полирования подложек из кремния Механическая обработка 2 подложек Требуемая глубина хи- мического полирования, мкм Скорость полирова- ния, мкм, мин Время полирования, мнн расчетная экспери- менталь- ная Шлифование свободным абра- зивом ЭБМ-5 Алмазное полирование: АСМ-3 АСМ-1 АСМ-0,5 Оптическое полирование сус- пензий окиси хрома Химике-механическое полиро- вание щелочной суспензией аэросила 45...50 55. .60 6..8 7...8 20...25 25...30 5.7 5-6 10.15 20...25 3.5 3...5 5...I0 I5...20 3...5 3—4 5...10 10...15 2...3 2...3 3...5 5...10 2...3 2.3 Примечание. S1 марки КДБ-14 и на подложек 0,4 мм; состав травителя КЭФ-7, ориентация поверхности по (111), толщи- HNO3 : HF : СН3СООН=6 : 1 : 1 (в объемных частях). Таблица 3.9. Состав травителей для ХДП подложек из Si и Ge Состав травителя, объемные части г, к Скорость полирования, мкм, мин Качество поверхности, высота микронеровностей, Rx, мкм HNO3 : HF : СНзСООН 3:1:1 5:1:1 8:1:1 10:1:1 20 : 1 ; 1 40:1: 1 9:2:4 Кремний 293 8 293 5 293 4 293 3,5 293 1,5 293 1 293 7 Зеркальная; 0,08 Зеркальная; 0,06 Зеркальная; 0,05 Зеркальная; 0,03...0,05 HNO3 : HF : СНзСООН 8:5: 15 5:8: 15 J; ' 5 з KOH (3,5%)*:H2O (концент- рированная) 10: 1 Германий 343 20 338 6.5 293 2,5 293 0,1 Волнистая; 0,1 То же Зеркальная; 0,06...0,08 Зеркальная; 0,05 Концентрация в процентах по массе. — - 119
Таблица 3.10. Составы травителей для ХДП полупроводниковых подлог типа AnlBv ориентаций (111), (100) и (ПО) Состав травителя* Г, к Скорость полирования^ мкм мин Качество поверхности высота микронеровнЛ » Мкм 7» H2SO4 : Н2О2 : Н2О 3:1:1 4:1:1 5:1:1 7:1:1 18: 1 : 1 1 : 1 : 100 2...5 Вг2 в СНзОН** 1 : 1,3 моль/л Кз[Ре(С1Ч)б] в 0,3...0,5 моль/л КОН HNO3 : HF : H2O 3:1:2 1:5:0 1:4:2 HNO3: Н3РО4 : H2SO4: Н2О 2: 14: И :3 КОН: Н2О2 5 : 1 20: 1 Н3РО4 : Н2О2 : Н2О 3: 1 : 50 NH4OH : Н2О2 : Н2О 1 : 20 : 0 2:5:3 Н2О2 : HF : NH4F (15.. 26) : (4.6) : (67...80) 1..20 Вг2 * в СНзСООН 1,5... 2,0 Вг2 ** в СН3ОН HNO3 : HF : H2SO4 1,5: 1 : 1 7:1:1 HNO3 : HF : Н2О 3:1:2 Н2О2 : HF : С4Н4Ов 14 : 1: 20 Арсенид галлия 343 8.10 298 1,5 298 1,0 298 0,8 298 0,2 298 0,03 298 3...5 333...353 2.5 298 30 333 10 298 3 298 5 298 3 298 1 298 0,1 298 3 298 10 293 0,5 293 2... 10 Арсенид индия 293 2...3 293 10 293 8 293 2,5 293 2 -— Зеркальная; 0,02 То же » » » Зеркальная; 0,04 Зеркальная; 0,03 Зеркальная; 0,05 Поверхность типа «апельсиновой корки» Волнистая; 0,05...0,06 Небольшие ямки, бу- горки; 0,06 Зеркальная; не более 0,09 То же » Зеркальная; <^0,09 Волнистая; ^0,06 Слегка волнистая; 0,05 Зеркальная; 0,07 Слегка волнистая; 0,08 Зеркальная, ровная; ^0,02 Зеркальная: ^0,02 То же Зеркальная; 0,06 Зеркальная; 0,07 120
„ „ 0 л ж е " 11 е т а 6 Л- 310 — Качество поверхности, Состав травителя* Г, к полирования, мкм/мин высота микронеровностей #z. мкм '''Т . HF • СНзСООН : Нн$'1Й°з 293 2 Зеркальная, неболь- шие ямки травления; 0,06 10:1:20:5:5 293 1 То же Вгг. этиленгликоль 1:10 293 2 Зеркальная; ^0,05 Аантимонид галлия HNOs:HF:H2O 3:1:2 293 1.5...3 Зеркальная; =С0,08 HA:HF:H2O 4:1:15 293 1...4 То же Вг2- этиленгликоль 1 : 10 293 2 Зеркальная; =<0,06 Н2О2: HF : С3Н60з (20...60) : (20...60) : (5 .40) НА: HF : С4Н6О4 293 20...35 15...20 Зеркальная; <10,05 14:1 : 20 293 То же Антимонид индия HNO3: HF : СН3СООН 10 : 1: 20 293 10 Зеркальная; <10,03 НА: HF : С3Н6О3 (20...60) : (2...10) : (40...80) 293 4...20 Зеркальная; <10,03 4:1:17 293 4..20 Зеркальная; <0,02 НА: HF ; С4Н6О6 14: 1 20 293 12 Зеркальная; <10,03 НА : HF : С4Н6О6 (38...42) : (2...4) : (54...60) HNO3; HF : Н2О2 : С4Н6О6 293 6...8 Зеркальная; <10,06 1:1:7:20 293 5...7 Зеркальная; <10,06 Фосфид галлия Ks[Fe(CN)c] 0,8..1,0 моль/л в 1,3 моль/л КОН 333...353 3...5 Зеркальная; <10,03 ftO~~CI2 (концентрация С12 ',8 г/л) 293 0,3...0,5 Зеркальная H2SO4: Н2О2: HF 1: 1 : 2 293 5.6 Волнистая зеркаль- ная, ямки травления 2 ; 2 293 4 То же HNp3: НС1 1 : 3 338 12.13 121
Окончание та б.л. 3.10 Состав травителя* Г, к Скорость полирования, мкм/мин Качество поверхности высота микронеровнос-Ж мкм Фосфид индия 0,8... 1,5 Вг2 ** в СН3ОН 298 2...6 Зеркальная; 0,03 3 : 1 HNO3: НС1 313...323 3...4 Зеркальная, имеются бугорки, точки HNO3: НС1: СНзСООН : Н2О 3: 1 : 1 : 1 313 3...6 1 Поверхность (100) 1ПР зеркальная; йСО.ОЗ; поверхность (111) шероховатая серая HNO3: НС1 : НС1О4: : СНзСООН 6: 1 : 1 : 1 293 2,5..3 Поверхность (100) InP зеркальная; 5*0,06; поверхность (111) шероховатая 3:1:3:2 293 3...4 Поверхность (100) зеркальная, не ме- нее 0,06; поверхность (111) шероховатая серая Н2О2 : НС1 : СНзСООН 1:2:1 288 3...5 Зеркальная; 0,08 • В объемных соотношениях компонентов. Концентрация реагентов ОСЧ, %; HNO3 — 70...72; HF —45...49; СНзСООН — 99,8 (ледяная); Н3РО< — 85...88; HCl — 35-..38; НС1О«—57; H2SO< — 93...95; Н2О2 — 30; NH«OH — 25; С3Н6О, — 40; С.НсО« — 27. ** Концентрация компонента в процентах по объему. *** Используется для травления мезаструктур в гетероструктурах. Si составляет 0,0015...0,07 мкм, для Ge около 0,002 мкм. Полупроводниковые соединения типа An,Bv обладают повышенной чувствительностью к химиче- ским обработкам в различных травителях. В табл. 3.11 приведены толщины ос- таточных окисных пленок на GaAs после обработки пленок в разных трави- телях, а в табл. 3.12 — толщины окисных пленок после химической обработки подложек в растворителях и отдельных реагентах. Межоперационная очистка поверхности полупроводниковых подложек. Слу-1 жит для удаления загрязнений на различных стадиях изготовления подложек: 1 резки, шлифования, механической и химико-механической полировок. Прн меж- операционной очистке удаляют механические загрязнения: пыль, абразивные и металлические частицы, частицы волокна и т. д., а также органические загряз- нения: смазки, приклеенные материалы. Механические загрязнения с поверхности полупроводниковых пластин уда- ляют гидромеханической промывкой. В ходе ее очищаемую поверхность обраба- тывают кистями, лентами или валиками из нейлона, тефлона, мягкого меха (беличьего, колонкового) с одновременной подачей сильной струи деионизован- ной воды (рис. 3.5). В процессе очистки пластины на рабочем столе удержи- вают с помощью вакуумных присосок. При одновременной двусторонней очистке пластины удерживаются между двумя вращающимися валиками (рис. 3.5, д). Для удаления органических загрязнений (обезжиривания и промывки пла- стин и подложек) основным реагентом служит фреон-113. Для повышения каче- 122
„на 3.11. Толщина окисиой пленки после обработки подложек GaAs Т я б л1 в травителях Состав травителя и режим обработки Толщина окисной пленки, мкм < . 1* (323 К, 3 мин) 4:1 • 1 (323 К, 3 мин) + концентрированная HF (5 мин) t i-1 (323 К, 3 мин)-Сконцентрированная HF Гмин) + 1 • ’** (303 к- °-5 ми«) ’. . . ] (323 К, 3 мин) -(-концентрированная HF /5 мин) + 1'1 (303 К, 1 мин) . 1 (328 К, Ю мин) (328 К, Ю мин)-Сконцентрированная HF 11 (328 К, 10 мин)-Сконцентрированная HF-C .’1:1 (303 К, 0,5 мин) 1 1 (328 К, Ю мин)-Сконцентрированная HF-C +1 ; 1 (303 К, 1 мин) 5 3 1 1 6 2,5 1,5 1 ♦ 4 : 1 • I=H2SO4: Н2О2 : Н2О. Скорость травления 3 мкм/мин при 323 К- 1 ; i=NaOH (I моль/л) : Н2О2 (0,76 моль/л). Скорость травления 0,2 мкм/мин при 303 К и 1 мкм/мин при 328 К. Таблица 3.12. Толщина окисной пленки после химической обработки подложек GaAs в растворителях и реагентах Реагент Толщина окисной пленки при различной продолжительности обработки, нм 0 мин 5 мин 1 ч 24 ч Трихлорэтилен 2,5 3,5 3,3 2,8 Ацетон 2,6 2,5 2,4 2,7 Метанол 3 2,3 2,3 2,5 Этанол 2,7 2,6 2,8 2,7 Изопропанол 2,5 2,3 2,3 2.4 Хлороформ 2,7 2,7 2,7 2,7 Вода Кислоты: 2,5 2,2 10,1 — соляная * 2,4 1,2 1,6 2,8 соляная ** 2,7 1,3 — — серная * 2,8 1,2 2,7 20,6 фосфорная * 3 2,8 3,2 4,2 фтористоводород- ная * 1,7 2,2 23*** — * Последующая промывка в деионизованной воде 30 с. *** Последующая промывка в метаноле 30 с. ** Поверхность окрасилась. ства очистки к нему добавляют различные органические растворители (спирты, ацетон и др.), образующие азеотропные смеси, например 3%-иый изопропило- вый спирт. Из других реагентов наибольшее употребление находит азеотропная смесь четыреххлористого углерода с 18% изопропилового спирта. Операции обезжиривания или отмывки проводят в аппаратах, показанных на рис. 3 6. Для интенсификации процесса на аппарат воздействуют ультразвуком. Отмытые пластины полупроводников сушат центрифугированием или нагревом инфракрас- 12:
Вова О) б) Рис. 3.5. Принципиальные схемы рабочих узлов установок для гидромехани ческой очистки подложек полупроводников: а — кистью; б — лентой; в, г — валиком на наклонном и горизонтальном венно; д — одновременно с двух сторон столе соответс: Рис. 3.6. Схемы устройств подложек нических растворителей (а) низованной воды 1—съемный колпак из фторопласта; 2— водо- охлаждаемая крышка сосуда 6. соединенная с ним шлнфом S; 3 — кассета с подложками; 4. 5 — сосуд для сбора конденсата, снабженный сифоном 8 для слива растворителя; 7 — элект- ронагреватель в кожухе; 10— крышка; II — внутренний стакан: /2 — подставка; 13— датчик солемера полупроводников СлиВ Вова Ю И 13 Слив б) для промывки в парах орга- и в токе деио- (б): Цеионизооанная Вова ним излучением. Характеристика процессов межоперационной очистки полу- проводниковых пластин приведена в табл. 3.13. Финишная (окончательная) очистка подложек служит для удаления по- верхностных загрязнений непосредственно перед выполнением технологических операций в производстве МЭУ. Цель финишной очистки — получение реально чистой поверхности полупро- водника, содержащей доли мономолекулярного слоя органических загрязнений примесей ионов или ионов металлов n-типа 1О9...1О’2 см-2. Очистку проводят 124
блина 3.13. Характеристика процессов межоперационной очистки * а в производстве полупроводниковых пластин Процесс очистки Удаляемые загрязнения Моющие растворы Оборудование очистка пластин после резки моно- кристаллов Клеящие мастики, Щелочные раство- Ультразвуковые механические ча- ры с добавками установки для очи- стицы, обрабаты- ваемые материалы (кремниевая пыль и др.) ПАВ, деионизо- ванная вода, че- тыреххлористый углерод, бензин- галоша, азеотроп фреона-113 и ме- тиле их л ори да стки в щелочных растворах и в во- де. Ванны для обезжиривания с нагревом Очистка пластин после шлифования Остатки абразивов Азеотроп фрео- Ультразвуковые после шлифования на-113 и метилен- установки для очн- и механического и полирования, хлорида, трихлор- стки в органиче- полирования. Очи- клеящие мастнки, этилеи, четырех- хлористый углерод ских растворите- стКа полироваль- ных головок минеральные мас- ла-смазки лях Очистка пластин после протирки нерабочей стороны Остатки воска Бензин-галоша, азеотроп фрео- на-113 и метилен- хлорида Ванны для обез- жиривания с на- гревом, ультразву- ковая установка для обезжирива- ния в органиче- ских растворите- лях, установки для обработки в парах растворителей Очистка пластин Остатки травиль- Деионизованная Ванны для про- после химического травления в сме- сях кислот ных растворов вода марки Б мывки в протоке деионизованной воды Сушка пластин после промывки Остатки влаги Центрифуги, су- шильные шкафы промыванием подложек в растворах комплексообразующих реагеитон, напри- мер Н20+НС1+оксиэтилендифосфорная кислота. Хорошие результаты дает также кипячение подложек в растворах: кислотно-перекисных (КПР) (НС! , :Н202:Н2О=1 : 1 : 4) или аммиачно-перекисных (АПР) (NH4OH : Н2О2: Н2О= = 1 : 1 :4). В табл. 3.14 приведено содержание остаточных примесей металлов на по- верхности кремния после финишной очистки различными способами. Операцию финишной очистки проводят в особо чистых помещениях с ис- пользованием реактивов особой чистоты и деионизованной воды марки А. Для финишной обработки пластин соединений AmBv окислительные смеси неприемлемы, так как они взаимодействуют с поверхностью полупроводника. Поэтому их предэпитаксиальная очистка проводится путем удаления слоя тол- щиной 30...50 мкм методами ХМП и электрохимического полирования с после- дующей очисткой от остатков травителя в потоке деионизованной воды и суш- кой в центрифуге. Примерная схема очистки поверхности полупроводниковых подложек приведена ниже. Предварительная очистка полупроводниковых подложек после ХМП: промывка оправок с подложками горячей деионизованной водой с добав- лением ПАВ и последующей сушкой на центрифуге; удаление остатков воска, клея и Др.; 125
Таблица 3.14. Содержание остаточных загрязнений металлов на поверхц кремниевых пластин после финишной очистки 1 Остаточные поверхностные загрязнения л-101’, см Способ очистки Mg РЬ Мп Сг Fe Sn Оа At N1 Со — Сп 1 Z. 1 Кипячение в HNO3-FК2СГ2О7 5 мин, отмывка кистью в деиони- зованной воде, сушка в центри- фуге 2 . Обезжиривание в кипящих орга- нических раствори- телях, далее от- мывка и сушка, как в п. 1 + покры- тие ПАН-лаком 2 О.з 0.7 1 10 0,05 0,005 20 10 10 0,2 2 0,3 0.4 0,6 10 0,7 0,2 4 50 30 0.2 0,6 3. Кипячение в КПР * 5 мин, от- мывка в воде и сушка, как в п. 1 2 0.3 0,5 2 5 0,05 0,2 4 20 20 0,2 0.05 4. Кипячение в АПР ** 5 мин, да- лее отмывка и сушка, как в п. 1 7 О.з 0,2 2 0,04 2 0,1 0,1 0,05 0,2 5. Последователь- ное кипячение в КПР и АПР по 5 мин, отмывка и сушка, как в п. 1 7 0,7 2 0,02 1 0,1 0,1 0,08 0,2 6. Обработка в царской водке при 348 К 3...5 мин, далее отмывка и сушка, как в п. 1 5 7 2 * Кислотно-перекнсный раствор (НС1 : Н2О2: Н2О="1 : 1 : 4). •• Лммиачно-перекисный раствор (NH4OH : Н2О2 : Н,О = 1 : 1 : 4). обезжиривание в кипящих органических растворителях или азеотропных смесях; обезжиривание в парах горячих растворителей или УЗВ-обработка в ор- ганических растворителях; промывка в проточной деионизованной воде на кистемоечных машинах и сушка на центрифуге. Финишная очистка поверхности подложек: 1. Германий « кремний: химическая обработка в горячих окислительных смесях (аммиачно- и кис- лотно-перекисных); промывка в проточной деионизованной воде и сушка на центрифуге. 2. Соединения AinBv. химико-динамическое полирование; отмывка подложек от остатков травителя в деионизованной воде или ор- ганических средах. Обработка ультразвуком; 126
в проточной деионизованной воде и сушка на центрифуге. Отмывка вными для финишной очистки являются сухие нонно-плазмеииые ЭфФп^мические процессы. й плазМС ” я очистка заключается в бомбардировке подложек ионами ИоН “зов, что вызывает распыление поверхностных слоев вместе с ос- ннертнЫХ загрязнениями. Ее используют для очистки полупроводниковых под- тат°чнИМ.. получении эпитаксиальных пленок методами вакуумной и молеку- лоЖеК п₽ 0» эпитаксии. Сочетается с термообработкой в сверхвысоком ва- лярно-лУч,А_7 13-Ю-9 Па. Для Si Т= 1003...1373 К, для Ge 733...1023 К, для 733 823 К. очистка в тлеющем разряде заключается в бомбардировке за- ными частицами подложки в плазме тлеющего разряда Эффективность Ряжеки ниже, чем ионной. Для очистки от органических загрязнений эффективно вменять плазму, содержащую кислород. ВР Плазмохимическая очистка происходит вследствие химического содействия загрязнении с ионами и радикалами активных газов с образо- ВЗнием летучих соединений. В установках плазмохимической очистки плазма активного газа образуется в результате безэлектродного высокочастотного разряда. ' Содержание остаточных загрязнении металлов на поверхности пластин крем- ния после плазмохимической очистки приведено в табл. 3.15. Таблица 3.15. Содержание остаточных загрязнений металлов по поверхности Si пластин после плазмохимической очистки Примесь Содержание примеси, см~~2 до обработки после обработки Кальций 2,5-10'4 3,2 Ю1» Галлий 2,1 1013 1,6-10'2 Натрий 8,1 1012 2,7-lOia Медь 7,0-10'< 6,2-10й Золото 1,3 -ЮК 4,2-IO'3 Железо 4,3-10й 3,3-10'3 Примечание. Пластины подвергались предварительной очистке после ХМП. Таблица 3.16. Составы и режимы электрохимического травления и полирования полупроводников Материал Состав электролита Режим обработки 1, мА/см2; V, Б Ge 0,25 моль/л Na2SO4+ 1=50... 100 + (0,005., 0,05) моль/л NaOH Ge 0,25 моль/л Na2SO4+0,005 моль/л 1=20...40 H2C2O4 Ge 0,01% KOH в Н2О : глицерин= 1 : 1 1 = 25.50 Si 46% HF + 0.3 г/л Ge2O3 1= 5...200 Si 5% HF 1=50... 150 Si 6 г NH4HF2+500 мл Н20+500 мл GaAs глицерина+0,8 мл HNO3 /=100 GaP 0,25% КОН 1=0,015...0,1 0,6 моль/л K3[Fe(CN)6]+ 1,3 моль/л £7= 12. .24 КОН в Н2О Примечание. Концентрация растворов реагентов дана в процентах по массе. 127
Электрохимические методы обработки поверхности полупроводниковых По ложек. Процессы травления, полирования и окисления поверхности, котор£ протекают при постоянном, реверсивном и периодическом токе, носят названа анодных. Основные составы электролитов для травления и электрополировани даны в табл. 3.16. ’ Селективное травление в полупроводниках применяется для выявленИя внутриструктурных дефектов. Составы основных травителей для выявления дне локаций приведены в табл. 3.17. Таблица 3.17. Рекомендуемые травители для выявления дислокаций Состав травителя Режим травления Ориентация пластин Германий K3[Fe(CN)6] : КОН : Н2О 2 : 3 : 25 Кипящий раствор 1...8 мин (111), (110) J2: KJ: Н2О 0,1 : 1 .25 Кр 298...353 К емний (Ш). (ПО), (ЮО) Травитель Дэша (кислоты концент- рированные) HNO3: HF : СНзСООН 3: 1 ; 10 293 К, 4. .16 ч (111). (ПО). (ЮО) Травитель Сирт ла—Адлера 33%-ный СгО3: HF 1 : (1.2) 10%-ный СгОз: HF 1 :2 Не менее 15 мин 5... 10 мин (1И), (НО) (ЮО) Травитель Секко 4,4%-ный KjCr-Or: HF 1:2 Арсеш 298...303 К, 5..20 мин id галлия (111), (НО), (100) Травитель RC-1 HNO3: HF : Н2О : AgNO3 3 : 2 : 5 : 0,4 293...298 К, 2...3 мин (111), (111) Травитель АВ Н2О : AgNO3: СгО3: HF 2 : 0,008 : 1 ; 1 Расплав КОН 338 К, 3...10 мин 573...623 К (111). (ПО), (100) (100) J2: KJ : Н2О : Н3РО4 1 : 4 : 10 :5 Фосфи Травитель АВ-1 Н2О : AgNO3: СгО3: HF 2 : 0.008 : 1 : 1,6 371 К. 15 мнн д галлия 348 К. 15...60 мии (111), (100) (111) Н3РО4 Антимсн Н2О2 : HF : Н2О 5:3: 12 443 К, 4...5 мин шд галлия 288 К, 5 с (111). (100) (111) 128
окончание табл. 3.17 Состав травителя Режим травления Ориентация пластин Фосфид индия нр; НВг 298 К, 5...30 с (111). (100) 5. 1 ®’о?'нв<Н 2:1 298 К, 2 мин (111). (100) Арсенид индия HNOs: Н2О2 : С4НвОв 1:1:6 298 К, 3...5 мин (111) HNO3: HF : Н2О 1:1:1 293 К, 5 с (111) Антимонид индия HNO3: HF : СНзСООН 2:1:1 (раствор насыщен стеарино- вой кислотой) 273...281 К (111) НС1: Н2О : FeCl3 1:1: 0,02 368 К, 30 мин (Ill) НС1; Н2О Анодное травление (111). (211) 1 :3 (добавляется NH4CI до насыще- 5 .10 мин при I — ния раствора) = 150. .200 мА/см2 Примечание. 1. Состав травителей для жидкостей приведен в (мл), для твердых веществ — в граммах. объемных частик 2, Концентрация кислот, %: HF — 49; НВг-47; С4НбОв — 40. НзРО, —85; СНзСООН —99.8; HNO3 — 70- 72; 3 Растворы СгО3, KJ, КзСгтО,, AgNOs, С.НзОе — водные. 3.4. Ионно-плазменное травление Ионно-плазменное травление — процесс контролируемого удаления материа- ла с поверхности твердого тела под воздействием ионов низкотемпературной плазмы в среде инертных газов илн в среде, содержащей активные газы. По местоположению подвергаемых травлению образцов относительно среды, в которой создаются ноны инертных газов, системы ионного травления под- разделяют на (рис. 3.7): ионно-плазменные, в которых мишень с образцами помещается в газоразрядную плазму, являющуюся источников бомбардирующих ионов; с автономными ионными источниками, в которых мишень с образцами отделена от области газоразрядной плазмы. По числу электродов — на двух- электродные (диодные), трехэлектродные (триодные), четырехэлектродные (тетродные) и многоэлектродные (пять и более электродов). Системы с автономными ионными источниками могут быть с фокусировкой, без фокусировки ионного пучка, с компенсацией и без компенсации объемного заряда ионного пучка. Диодные ВЧ-системы. Диодная ВЧ-снстема ионного травления содержит два электрода: заземленный анод и мишень, на которую подается ВЧ-напряже- ние от генератора и помещаются обрабатываемые образцы (рис. 3.8). В диод- ной ВЧ-системе возможность травления любых материалов (металлов, полу- проводников, диэлектриков, органических соединений и др.) сочетается с про- 9 Заказ № 1270 129
Рис. 3.7. Классификация систем ИТ материалов стотой конструкции и большой площадью мишени (до 1200 см2), на которой обеспечивается равномерная ионная бомбардировка. К основным параметрам диодной ВЧ-системы относятся даление рабочего газа р, межэлектродное рас- стояние d, радиус мишеии Ra, мощность ВЧ-генератора 1FB4 , оцениваемая по амплитудному значению ВЧ-иапряжения Uo с частотой f илн по току в анодной цепи ВЧ-генератора Za. Типичные значения основных параметров в диодных ВЧ-снстемах: р=0,66...6,6 Па, d=4...8 см, /?м=10...20 см, W'gij — = 1..,2 кВт, (/о=0,8...4 кВт. Особенности ионного травления материалов связаны с закономерностям® ВЧ-разряда в диодной системе, которые определяются частотой столкновения электронов с атомами рабочего газа vB=l/3/X!) (где V* и Хэ — средняя скорость Рис. 3.8. Схема диодной ВЧ-систе- мы ИТ: 1 — экран: 2 — мишень; 3 — образцы; 4 — а иод; 5 — согласующее устройство; 6 — ВЧ-генератор Рио. 3.9. Зависимость эффективности травления 0 пленок золота от энер- гии иоиов Аг в диодных ВЧ-систе- мах: I — с согласующим устройством при Р- —1 Па; 2 — без согласующего устройства при р-2,66 Па 130
движения и средняя длина свободного пробега электронов), частотой тепл°в01^по 0 вЧ-напряжения f и геометрическими размерами d и RM. приЛ^*едмальный потенциал зажигания ВЧ-разряда и максимальная проводи- ть плазмы достигаются при условии 2 л/«Va (3.1) оптимальное давление рабочего газа рОпт, Па, можно опреде Йь0Дпо формуле Роит —6• W3Xor(\)f/HT„ (3.2) (1)—средняя длина свободного пробега атомов газа при единичном бдении и 7=273 К (для аргона Хог (1) =6,4-10-3 Па-м); Та — температура даВКтРонного газа, К; f — частота ВЧ-напряжения, Гц. Для ВЧ-разряда в ар- 9jle с 7э=1,5-104 К оптимальное давление составляет 0,6 Па для f=l,76 МГц; ?°65 Па для /=5,28 МГц; 4,3 Па для /=13,56 МГц. *’ Одной из важнейших характеристик систем ионного травления материалов является коэффициент полезного использования подводимой мощности, который определяется по эффективности процесса травления, т. е. по отношению ско- рости травления материала к плотности мощности ионного тока на мишени 1ГМ или удельной мощности разряда 1FP. При ВЧ-травлеиии диэлектриков и вы- сокоомных полупроводников происходит добавочный нагрев образцов за счет рассеяния ВЧ-мощности в материале. Эта мощность, расходуемая на нагрев единицы объема диэлектрика или полупроводника, определяется по формуле Пм2__________ 1Гнагр = Еоё * 2л/ ~ ~~~ 1g 6, (П + еЯт.п)2 где а и h — диэлектрическая проницаемость и толщина образца; 1g б — тангенс угла диэлектрических потерь; dT.n — ширина темного пространства. На рис. 3.9 показана зависимость эффективности травления пленок золота в диодных ВЧ-системах от энергии ионов аргона. При наличии согласующего устройства эффективность травления в 4 раза выше. Наличие максимума независимости эффективности травления от энергии ионов позволяет выбирать оптимальное напряжение на мишенн (7Ы. Существенное влияние на скорость травления оказывает также конструк- ция ВЧ-электрода, на котором крепится мишень. Критичным параметром, су- щественно ограничивающим как частоту питающего напряжения, так и рассеи- ваемую на мишени мощность, является зазор между ВЧ-электродом и заземленным экраном. С одной стороны, чтобы в зазоре не возник разряд, этот зазор должен быть по крайней мере в 2 раза меньше ширины темного катодного пространства. С другой стороны, при чрезмерном уменьшении зазора растут емкостные потери и возникает опасность пробоя (особенно при нали- чии у мишени острых краев и загрязнений). При давлении 0,66...6,6 Па зазор между экраном н мишенью составляет несколько миллиметров. Ширина зазора может быть увеличена, а емкостные потери уменьшены, если используется гер- метичная конструкция ВЧ-электрода с автономной откачкой его внутренней по- лости до давления 10~3 Па. Для предотвращения обратной диффузии распыленного материала в процес- се ВЧ-травления необходимо выполнение условия pd<£K(l), (3.3) где £к (1)—средняя длина направленного пробега распыленных атомов при единичном давлении. При этом следует помнить, что межэлектродиое расстоя- ние d не может быть меньше ширины темного пространства dT.D, м, опреде- ляемой по формуле ^.п=2-10^^4/(н^Та*'э4), где иы — напряжение мишени, В; па— концентрация ионов в плазме разря- да, м-S; Та — температура электронного газа, К. Значения ли и Та определя- 9» 131
I ! L I, | I I | | I I | | I | I (1 I I |- I | I I | I I 1 | I I | I Рис. 3.10. Схема диодИо. ВЧ-системы ИТ с уловите, лем распыленных частиц- 1 — мншевь; 2 — уловитель; з анод; 4 —образцы; 5— э’кра?Г 6 — согласующее устройство: 7 — ВЧ генератор • ются с помощью зондовых или спектроскопических измерений. Если в диодной ВЧ-системе нельзя одновременно выполнить условие (3.3) и условие горения ВЧ-разряда, то следует применять либо уловитель распыленных частиц, либо магнитное поле при пониженных давлениях рабочего газа. Уловитель, представляющий собой систему тонкостенных концентрических цилиндров с одним дном, устанавливается на аноде так, чтобы расстояние 6 удовлетворяло условию горения ВЧ-разряда, а расстояние с — условию (3.3) (рис. 3.10). Уловитель играет для распыленных частиц такую же роль, как и абсолютно черное тело для света, т. е. любой распыленный атом, попавший в уловитель, не может выйти из него и осаждается на его стенках. Расстояние между стенками соседних цилиндров в уловителе должно быть по крайней мере в 3 раза меньше их высоты. Аналогичный уловитель с еще большей высотой! цилиндров можно использовать для подавления рентгеновских лучей, возникаю- щих при бомбардировке анода высокоэнергетическими электронами. На рис. 3.11 показана эффективность применения такого уловителя для снижения деграда- ции порогового напряжения МОП-приборов. Применение продольных, поперечных и квадрулольиых магнитных полей позволяет на порядок снизить диапазон рабочих давлений в диодных ВЧ-систе- мах. Наложение магнитного поля приводит к повышению эффективного давления газа для движения электронов в направлении, перпендикулярном полю. Зави- симость скорости ионного травления пленок SiO2 от частоты ВЧ-сигиала в диод- ной системе с квадрупольным магнитным полем показана на рис. 3.12. В диодных системах мишень выполняет двойную функцию: с одной сто- роны, она является источником поддержания разряда, а с другой — местом трав- Числр приборрб, имеющих и < ип , % Рис. 3.11. Дрейф порогового напря- жения Ua МОП-приборов, изготов- ленных в помощью жидкостного химического травления (/), ионного травления в ВЧ-диодной системе без уловителя (2) и о уловите- лем (3) 0 -----1----1----1---1----1--- 12 16 20 б,МГц Рис. 3.12. Зависимость скорости трав- ления пленок SiO2 в диодной систе- ме от частоты приложенного ВЧ-сиг- нала мощностью 400 Вт при давле- нии аргона 0,12 Па 132
образцов. Такое совмещение функций существенно снижает возможность леНИЯвпения процессом травления, так как изменение скорости травления огра- Уп,1г1..() областью существования электрического разряда. В диодных системах й”Чеьзя независимо регулировать энергию ионов, ионный ток и давление, про- длить травление ионами низких энергий [менее 4,8-10~17 Дж (300 эВ)], пре- В° щать травление без выключения разряда, контролировать и регулировать *Р падения ионов на поверхность образцов. Поэтому скорость травления ма- пиалов в диодных системах обычно относят к плотности мощности ионного ка на мишени (1Уи), которая должна быть меньше критической для нс- Тользуемой защитной маски. В табл. 3.18 приведены скорости травления не- которых материалов ионами Аг в диодных ВЧ-системах. Таблица 3.18. Значения скоростей травления Утр некоторых материалов ионами Аг в диодных ВЧ-системах Материал ^тр, нм, с W'u* Вт см2 Материал ИТр,нм'с U7u Вт.см» ~ А1 0,2 0,27 1,6 N1 0,83 2 Au 0,3 1,5 1.6 Ti 0.08 2 Си 0,3 0.6 1,6 SiO2 0,2 1.6 W 0,11 1,6 i S13N4 0,1 1,6 Pi 1.5 2 A12O3 0,03.0,08 1.6 Увеличение скорости плазмохимического травления для конкретного ма- териала и рабочего газа связано с повышением плотности мощности разряда и потока рабочего газа около обрабатываемой поверхности. Для того чтобы получить высокие плотности мощности и потоков газа в стандартных плаз- мохимических системах, необходимо применять ВЧ-генераторы или источники постоянного тока мощностью 50...100 кВт и насосы до 300 л/с. Однако можно сузить участок обработки и канал подачи газа и достигнуть желаемых резуль- татов с обычными источниками мощностью 100...500 Вт и насосами до 10 л/с. Устройство, позволяющее проводить плазмохимическое травление с повы- шенной плотностью разряда (рис. 3.13), представляет собой вакуумную камеру с установленными в ней двумя электродами На катоде расположены обраба- тываемые пластины, а через полый анод с отверстием калиброванного диаметра подается рабочий газ. Высокая плотность разряда (20...30 Вт/см2) достигается за счет уменьшения площади анода. В плазме электрического разряда постоянного тока (напряжение 1,5 кВ, ток разряда 500 мА) при давлении н расходе четырехфтористого углерода соответственно 100 Па и 400 см3/мин скорость травления кремния Утр= 30...50 мкм/мин При травлении до 50...75 мкм об- рабатываемая поверхность сохраняет свой класс чистоты, что дает возмож- Газ иость использовать данное устройство при дальнейшей доработке для полиро- вания кремниевых пластин, а также для получения отверстий и углублений. Это обстоятельство позволяет заменять дорогостоящие методы жидкостной и механической обработки кремния дан- ным методом. * 1 Рис. 3.13. Устройство плазмохимическо- го травлении с трубчатым электродом: 1 — катод; 2 — подложка; 3 — анод с отвер- стием калиброванного диаметра; 4— камера 133
Рис. 3.14. Схема установки ионного травления: 1 и Ь — натекатели; 2 — термокатод; В — аьод; 4 — камера ионного источника; 5 — электромагнит; 6 — ионно-оптические сет- ки; /—ускоряющая сетка; 9 — нейтра- лизатор; 10 — заслонка; 11 — водоохлажда- емая мишень; 12 — рабочая камера Рис. 3.15. Схема установки ионного травления с автономным ионным источником в виде триодной си- стемы: 1 — общая камера; 2 — камера ионного источника; 3 — изолирующий экран; 4— заземленный экран; б — сетка для уско- рения ионов; 6 — термокатод; 7 — магнит; 8 — анод; 9 и 10 — источники питания; 11 — мишень Системы с автономными ионными источниками (АИИ). По сравнению с ионно-плазменными эти системы обладают следующими преимуществами: умень- шение радиационного воздействия заряженных частиц и фотонов на обрабаты- ваемые структуры в результате отделения рабочей камеры от источника; умень- шение загрязнений образцов инородными частицами за счет устранения про- цессов обратной диффузии и рассеяния при высоком вакууме в рабочей камере; возможность независимой регулировки угла падения, энергии и тока ионов, позволяющей контролировать и управлять профилями травления микроструктур; возможность наклона и вращения мишени с образцами, улучшающая равно- мерность травления и устраняющая некоторые топографические дефекты. Схема типичной установки приведена на рис. 3.14. Ионизируемый инертный газ напускается через натекатель в камеру ионного источника, в котором при давлении порядка Па зажигается дуговой разряд между вольфрамовым термокатодом и окружающим его цилиндрическим анодом, создающим радиаль- ное электрическое поле, служащее для ускорения электронов. Для увеличения эффективности ионизации на разрядный промежуток накладывается аксиальное магнитное1 поле, которое заставляет электроны двигаться по циклоидальным траекториям. Стенки анода находятся под высоким положительным потенциалом (до нескольких киловольт), что приводит к ускорению ионов и вытягиванию их через систему из трех молибденовых сеток, обеспечивающую получение хорошо сколлимироваиного ионного пучка диаметром 7,5...10 см, с 5%-ной неравномер- ностью плотности тока. Из компенсатора объемного заряда, представляющего раскаленную вольфрамовую нить, в ионный пучок эмиттируются электроны для компенсации его объемного заряда, представляющего раскаленную вольфрамо- вую нить, что позволяет проводить травление непроводящих материалов. Плот- ность иоииого тока пучка /в может меняться от 0,3 до 2,5 мА/см2, ускоряющее напряжение 0...2 кВ, угол расхождения пучка 7...100, а расход инертного газа 1,0...1,5 см3/мив. В качестве АИИ используют модернизированную триодную систему, позво- ляющую получать ионный пучок диаметром свыше 30 см с низкой энергией ионов 3,2-1017 Дж (200 эВ) и высокой плотностью тока (5 мА/см2) (рис. 3.15). 134
Порядок выбора и расчета основных технологических параметров процесса иного травления в системах с АИИ должен быть следующим. В зависимости н° СПособа крепления образцов к мишени и ее охлаждения выбирают или опре- °еляют экспериментально зиачеиие критической плотности мощности ионного тока Wh.kp для используемой защитной маски. Согласно зависимости Й^и.кр=/и17м (3.4) напряжение на мишени UM (или ускоряющем электроде) выбирается исходя из максимальной эффективности процесса травления. После выбора 1/м определяется допустимая плотность ионного тока /и на мишенн. Скорости ионного травления различных материалов при плотности то- ка —1 мА/см2 и различных ускоряющих напряжений при нормальном угле па- дения ионов Аг представлены в табл. 3.19. Таблица 3.19. Скорости ионного травления различных материалов Материал Гтр, нм,с Ии, «в Материал Итр, нм'с kB А1 0,5...1,2 0,5 Пермаллой 0,5...0,8 0,5 0,8...1,3 1 Fe 0,5 1 Au 1,7...2,5 0,5 Nb 0,5 1 2,7...3,6 1 SiOa 0,5...0,7 0,5 W 0,3 0,5 0,6... 1,1 1 Та 0,2 ...0,5 0,5 AI2O3 0,1 0,5 Т1 0,3 0,5 0,2 1 0,3 1 LiNbO3 1 1 Мо 0,3 0,5 Si 0,3...0,8 0,5 0.6 1 0,6... 1,3 Си 1,8 0,5 GaAs 4,3 1 Сг 0,3. .0,6 1 Ag 3,3 1 Zr 0,3 1 Mn 0,5 1 V 0,3 1 На рис. 3.16 приведена зависимость эффективности травления пленок Ag в системе с АИИ от энергии ионов Аг. Максимум эффективности травления наблюдается при энергии ионов 6,4-10~17 Дж (400 эВ). В системах с АИИ максимум эффективности травления материала приходится на максимальную энергию иоиов Еа, при которой коэффициент распыления материала еще прямо пропорционален энергии ионов. Диодные системы на постоянном токе. Максимальная скорость травления материалов при постоянной мощности источника питания разряда достигается при максимальном ионном токе на мишени /в и минимально допустимом зна- чении 1/ы в указанном интервале. Для обеспечения такого режима горения разряда необходимо выполнить условие pd>l,2(pdT.Bn), (3.5) где р — давление газа; d — межэлектродное расстояние; dT.K.B— ширина тем- ного катодного пространства аномального тлеющего разряда. Поскольку для аргона при (7м = !...5 кВ независимо от материала электродов pdT.K.n=0,l Пам, по условию (3.5) рс/>0,12 Па м. (3 6) Для предотвращения обратной диффузии распыленного материала должно выполняться условие (3.3). Условия (3.3) и (3.6) не могут быть одновременно выполнены в простой диодной системе на постоянном токе, т. е. любая попытка избавиться от обратной диффузии приведет к затрудненному горению и после- 135
Рис. 3.16. Зависимость эффективности трав- ления 0 пленок серебра от энергии ионов аргона в системе с автономным ионным источником Рис. 3.17. Схема триодной системы ионного травления: 1 — термокатод; 2, 3— экраны; 4—анод; 5—мишень; 6—8 — источники питания дующему затуханию разряда. Эту проблему, как и в случае диодных ВЧ-систем, можно разрешить применением уловителя распыленных частиц (см. рис. 3.10) или магнитных полей. Использование магнитных полей позволяет получать в диодных системах на постоянном токе аномальные тлеющие разряды с больши- ми плотностями ионного тока вплоть до давления 6,6 -10-2 Па. Скорости травления полимерных органических масок в диодной системе на постоянном токе в несколько раз ниже, чем скорости их травления в ВЧ-системе, из-за накопления положительного заряда на их поверхности, что позволяет тра- вить металлические слои на большую глубину через тонкие высокоразрешающие полимерные маски. Перспективной в диодных системах на постоянном токе является маска из А12О3, так как она выдерживает й?и вплоть до 5 Вт/см2 и имеет очень низкую скорость травления (меньше 0,017 км/с при Wa= 1,5 Вт/см2). Триодные системы. Триодная система ионного травления состоит из трех независимо управлямых электродов: термокатода, анода и мишени, на которой размещаются обрабатываемые образцы (рис. 3.17). После откачки рабочей ка- меры до давления 10~4 Па катод разогревается до температуры, достаточной для достижения высокой плотности тока термоэлектронной эмиссии. Затем в ка- меру напускается инертный газ до давления 0,066 ..0,66 Па и подается напря- жение между термокатодом и анодом около 500 В, что приводит к зажиганию дугового разряда. На мишень может подаваться как постоянное отрицательное напряжение при травлении проводящих образцов, так и ВЧ-напряжение при травлении ди- электрических образцов. В триодных системах процессы образования плазмы и травления разделены, что позволяет управлять энергией ионов с помощью на- пряжения на мишени, однако в отличие от систем АНИ нельзя регулировать угол их падения. Максимальная плотность ионного тока на мишени в триодных системах составляет 15...20 мА/см2, что позволяет получать высокие скорости травления материалов (около 5...10 нм/с). Реакторы для травления микроструктур. Для реализации радикального травления чаще всего используются реакторы цилиндрического типа, в которых возбуждение плазмы производится с помощью либо индуктора (рис. 3.18, а, поз. 5), либо конденсаторных пластин (рис. 3.18,6, поз. 5). В этих реакторах зона плазмы окружает реакционную зону и отделена от нее перфорированным цилиндром-вкладышем, а доставка химических активных частиц к обрабатывае- мым пластинам происходит в результате диффузии их через отверстия в цилинд- ре. Цилиндр может быть заземлен, иметь плавающий потенциал или служить ВЧ-электродом. Для реализации плазменного травления используются реакторы цилиндри- ческого (объемного) типа, в которых плазма возбуждается с помощью распо- 136
б) Рис. 3.18. Реакторы для радикального (РТ) и плазменного (ПТ) травления: а — цилиндрический для РТ с индукционным возбуждением; б — цилиндрический для РТ с емкостным возбуждением; е — цилиндрический для ПТ с индукционным возбуждением; г—планарный для ПТ с плоскопараллельными электродами; I — корпус реактора; У — подача газа; 3 —откачка; 4— обрабатываемые пластины; 5— ВЧ-электрод; 6 — перфори- рованный цилиидр-вкладыш ложенного снаружи индуктора (рис. 3.18, в), и реакторы планарного типа (рис. 3.18, г), в которых плазма возбуждается с помощью верхнего ВЧ-электро- да. В реакторах объемного типа между плазмой и подложками возникает раз- ность потенциалов 10...50 В. Сочетание небольшой разности потенциалов и вы- сокого давления (около 100 Па) обусловливает изотропный характер травления, обеспечивая высокую селективность травления одного материала по отношению к другому (10...20). Большим недостатком реакторов объемного типа является высокая неравномерность травления, поскольку скорость травления увеличива- ется от центра пластины к ее периметру. Значительно большую равномерность травления обеспечивают реакторы планарного типа (рис. 3.18,г), в которых газ чаще всего подается через от- верстие в нижнем электроде и откачивается через отверстия, расположенные иа периферии реактора. Разность потенциалов между плазмой и нижним зазем- ленным электродом, на котором расположены подложки, достигает нескольких сотен вольт, поскольку плазма находится между близкорасположенными элект- родами. Несмотря на высокий потенциал и низкое давление (менее 65 Па) травление носит изотропный характер. Добиться анизотропии путем снижения давления при близкорасположенных электродах практически невозможно, так как при малых давлениях затруднено стабильное горение разряда. Если уве- личить расстояние между электродами, то можно снизить рабочее давление, однако травление тем не менее останется изотропным, поскольку при этом раз- ность потенциалов между плазмой и нижним заземленным электродом быстро падает. Скорость травления в планарном реакторе обычно больше, чем в объем- ном, а селективность значительно ниже. Для реализации реактивного ионно-плазменного травления чаще всего ис- пользуют реакторы планарного типа с плоскопараллельными электродами, а 137
Рис. 3.19. Реакторы для реактивного ионно-плазменного травления: а—планарный диодного типа; б — планарный триодного типа; в — планарный магне- тронного типа; г — коаксиальный магнетронного типа с цилиндрическим ВЧ-электродом; Z — корпус реактора; 2 — подача газа; 3 — откачка; 4 — обрабатываемые пластины; 5 — верхний заземленный электрод; 6 — ВЧ-электрод; 7 — экран; 8—сетка; 9— электромагнит; 10 «— постоянные магниты; 11— сканирующее устройство также с коаксиальными электродами в виде многогранной призмы. Во всех слу- чаях обрабатываемые пластины размещаются на электроде, к которому подве- дено ВЧ-напряжение. При проведении реактивного ионно-плазменного травления поверхность обрабатываемого материала находится в контакте с плазмой. Газо- разрядная плазма стимулирует физические и химические процессы, происходя- щие как в газовой фазе, так и на поверхности обрабатываемого материала. При этом физические и химические процессы воздействуют неаддитивно, в ре- зультате суммарная скорость травления при одновременном протекании обоих процессов намного больше суммы скоростей травления каждого процесса в отдельности. Непосредственный контакт ВЧ-плазмы с обрабатываемым материалом и интенсивная ионная бомбардировка вызывают радиационные повреждения при- поверхностных слоев в планарных реакторах диодного типа, один из которых показан на рис. 3.19, а. В триодной системе (рис. 3.19,6) вблизи электрода, на который подано ВЧ-напряжение, помещена сетка, находящаяся под плавающим потенциалом. При этом образуется своеобразный полый катод, наличие которого в 3...4 раза увеличивает плотность ионов в пространстве между сеткой и ВЧ-электродом и одновременно в 4...5 раз снижает напряжение автосмещения на ВЧ-электроде. Малое расстояние между сеткой и ВЧ-электродом (примерно 15 мм) обеспечивает стабильное горение тлеющего разряда при напряжении автосмещения 20 В. Характерные для триодной системы высокие скорости трав- ления в сочетании с низкими энергиями ионов позволяют значительно умень- 138
ь (а в некоторых случаях полностью устранить) радиационные дефекты я обрабатываемых материалах и структурах. в в магнетронной системе планарного типа (рис. 3.19, в), являющейся разно- видностью диодных систем, под мишенью, на которую подано ВЧ-напряжение, размещены постоянные магниты, что позволяет локализовать плазму в непосред- ственной близости от мишени. Эмиттируемые из мишени под действием ионной бомбардировки электроны оказываются в своеобразной магнитной ловушке и циркулируют по замкнутым траекториям, увеличивая эффективность процесса ионизации и возбуждения молекул и атомов рабочего газа, в результате резко повышается скорость травления. Кроме того, наличие магнитного поля снижает автосмещение на ВЧ-электроде до 50...100 В и обеспечивает стабильное горение разряда при сравнительно низком давлении (менее 10 Па). Высокие скорости травления в сочетании с низкими энергиями ионов позво- ляют значительно снизить радиационные дефекты в обрабатываемых материалах и структурах. Однако наличие магнитной системы вызывает локализацию плаз- мы вблизи мишени в виде вытянутой О-образной дорожки, что резко снижает равномерность травления и для ее повышения приходится перемещать либо магнитное поле, либо образцы вдоль и поперек О-образной дорожки. Существенным недостатком планарных систем с плоскопараллельными элект- родами является низкая производительность, поскольку небольшие размеры электродов ограничивают число одновременно обрабатываемых пластин. Кроме того, пластины могут загрязняться из-за попадания на них нелетучих частиц, которые отделяются со стенок и электродов реактора. Для преодоления этих недостатков была разработана вертикальная диодная ВЧ-система магнетронного типа с коаксиальным шестигранным ВЧ-электродом, вставленным в заземленный цилиндр (рис. 3.19, г). При использовании этой системы обеспечивается боль- шое отношение площадей заземленного корпуса и ВЧ-электродов (2:1). Это приводит к тому, что темное катодное пространство сосредоточивается в не- посредственной близости от ВЧ-электрода. В этом пространстве происходит ус- корение ионов, которые бомбардируют подложки под прямым углом, обеспе- чивая высокие анизотропию и разрешающую способность при реактивном иои- но-плазменном травлении. Энергия ионов, бомбардирующих подложку, может в широких пределах регулироваться изменением магнитного поля, создаваемого катушками соленоидов. Для улучшения равномерности травления предусмотре- но вращение ВЧ-электрода. 3.5. Геттерирование примесей и дефектов в полупроводниковых подложках Процесс удаления и дезактивации дефектов полупроводниковых пластин называют гетгерированием. Для обеспечения подвижности точечных дефектов любой метод геттерирования включает термообработку, температура и длитель- ность которой достаточны для диффузии точечных дефектов из рабочих обла- стей пластин в область геттера. Существующие методы геттерирования (рис. 3.20) могут быть разделены на три основные группы. Геттерирование с помощью нарушенного слоя. Основано на том, что области структурных нарушений являются стоком для точечных дефектов. Способы со- здания нарушенного слоя указаны на рис. 3.21. Метод создания нарушенного слоя абразивной обработкой основан на меха- нической обработке нерабочей стороны поверхности полупронодниковой пла- стины, выполняемой скрайбированием, пескоструйной обработкой, шлифовани- ем и т. д. Эффективным для геттерирования является шлифование с помощью абразивного материала, закрепленного на вращающемся диске (рис. 3.22). При геттерировании трудно обеспечить носпроизводнмость введенных нарушений, ис- ключающую возможность распространения дислокаций от обратной стороны пластины до рабочей при высокотемпературных операциях. Для воспроизводи- мости нарушений, введенных в обратную сторону пластины, применнется метод ударной обработки, основанный на акустической вибрации вольфрамовых ша- риков диаметром 300 мкм на поверхности пластины (рис. 3.23). Последующая 139
Рис. 3.20. Классификация методов итерирования 140
местонахождение геттера Но нерабочей сто- роне пластины Вне пластины на нерабочей стороне между элементами В объеме пластины Нарушенный слой вид геттера Нанесенный слои — Окружающая среда Способ создания сеттера Металлы Примесно силикатные стекла Поли- кремний нитрид кремнии отжиг б вакууме или в инертной атмосфере Отжиг в активной атмосфере Отжиг б кпор- собержащей атмосфере Рис. 3.21. Способы создания нарушенного слоя при геттернровании Рис. 3.22. Специальная аб- разивная обработка нера- бочей стороны кремниевых пластин: / — абразивный круг: 2 — крем- ниевая пластина; 3 — вращаю- щийся слой Рис. 3.23. Схема установки для ударно-аку- стической обработки кремниевых пластин: 1 — генератор прямоугольных импульсов; 2 — гене- ратор гармонических колебаний; 3 — усилитель мощ- ности; 4 — кремниевая пластина; 5 — волновод; 6 —. система акустического воздействия; 7 — звукоизоля- ционный блок термобоработка позволяет значительно увеличить время жизни неосновных но- сителей заряда (рис. 3.24). Методом диффузионного легирования, основанным на формировании сетки дислокаций несоответствия в полупроводнике при его диффузионном легирова- нии до уровней, близких к предельной растворимости легирующего элемента, удается на несколько порядков снизить плотность дефектов упаковки и токи 141
Д'см ~г 30 го- Н.см-г 30 го t, Ю'} Ю’’ 'О" Ю’ Юг г мкс 01 б!— 'О'2 i ______« - . tlb! l] Ю" w° 10' 61 Ю2 t, мкс Рис. ных 3.24. Влияние удар но-акустической носителей в кремниевых пластинах обработки на время жизни неоснов- (а) и пластинах, подвергнутых обра- ботке мощностью 40 Вт (б) Рис. 3.25. Распределение тестовых структур по токам утечки до обработки контрольных образцов Рис, 3.26. Распределение тестовых структур после шлифования нерабочей сто- роны и диффузии фосфора в течение 30 мин утечки р—и-переходов (рис. 3.25, 3.26), Такой метод высокоэффективен, однако непригоден в МОП-технологин. При ионной имплантации глубина нарушенного слоя, как правило, не превышает 1 мкм и нарушения сравнительно легко отжи- гаются, что не всегда эффективно. Высокая воспроизводимость параметров гет- терирующего елоя достигнута при лазерной обработке материалов (рис. 3.27) Известно, что поверхность твердого тела адсорбирует точечные дефекты, уменьшая при этом свою свободную энергию. Увеличивая площадь поверхности в нерабочих сторонах пластины, можно экстрагировать туда точечные дефекты. Таким способом производят геттернрование примесей пористым кремнием, со- .142
Рис. СЛОЯ 3 27. Зависимость глубины нарушенного от плотности энергии лазерных им- пульсов „данным на основе плавиковой кислоты. После геттерирования слой с дефектами уда- ляют (рис. 3.28). При селективной анодной обработке возможно проведение геттерирова- нИя и на рабочей стороне пластины (рис. 3.29), что позволяет снизить длительность и темпе- ратуру термообработки. ам тм шш ЪДП Пористый кремний а) б) BI S1O2 г) д> Рис. 3.28. Геттерирование быстродиффундирующих примесей (БДП) сплош- ным слоем пористого кремния: а — исходная кремниевая пластина; б — формирование пористого кремния; в — высокотем- пературный отжиг; г — окисление пористого кремния; д — удаление окисленного пори- стого кремния О) Пористый кремний б! Si Монокристалл Si Поликристалл Рис. 3.29. Этапы технологического процесса изготовления эпитаксиальных структур с использованием локальных геттерируюших областей пористого кремния: ° — создание нитридной маски; б— формирование пористого кремния; а—окисление; г — эпитаксиальное наращивание слоя кремния Геттерирование с помощью нанесенных слоев. На поверхность полупровод- никовой пластины наносят слой геттера, после чего проводят термообработку, обеспечивающую подвижность атомов нежелательных примесей. Для геттериро- вания быстродиффундирующих примесей в кремнии используют никель, галлий, алюминий, цинк, сплавы олова со свинцом. Нанесение на поверхность пластин кремния слоя никеля или цинка и отжнг в водороде при температуре 1273...1373 К в течение 1 ч приводят к уменьшению токов утечки в р—«-перехо- дах и к увеличению числа диодных структур с «резкими» вольт-амперными ха- рактеристиками (ВАХ) (рис. 3.30). Используются и слои примесно-силикатиых 143
a) too во § 60 •§ § 60 i .. 20 О Номер партии 8) Рис. 3.30. Распределение диодов с мягкими и «резкими» (светлая часть столб- ца) ВАХ в партиях исходных диодов (а), после диффузии меди (б), после геттерирования слоем цинка (в) и после геттерирования слоем никеля (г) стекол, сформированных на различных технологических этапах нзготовлення прибора. Для геттерирования нежелательных примесей используют слой поликрнстал- лического кремния, нанесенного на обратную сторону пластины Как правило, слои поликремння получают пиролизом снлана. Оптимальная температура на- несения поликремния составляет 923...1073 К. Особенно эффективна комбинация поликремния с нанесенным на его поверхность слоем нитрида кремния. Для предотвращения механических напряжений, существующих на границе раздела кремний — нитрид кремния, эффективно применение нитрида кремния в сочета- нии с другими геттерирующими слоями: нанесение Si3N4 (примерно 0,1 мкм) на механически нарушенный или поликремнневый слой. Термическая обработка в специальной среде. Широко распространены спо- собы экстракции точечных дефектов в газовую фазу и в вакуум. Геттер в этом случае находится вне пластины. Предокислительный отжиг при температуре 1073...1473 К в атмосфере ар- гона уменьшает плотность дефектов упаковки в кремнии. При предокислнтель- ном высокотемпературном отжиге происходит рассасывание дефектов упаковки, находящихся вблизи поверхности, и образование приповерхностной области, свободной от них. Добавление незначительного количества (0,1...6%) хлора или его соединений в окислительную атмосферу приводит к увеличению на несколь- ко порядков времени жнзнн неосновных носителей, уменьшению количества и размеров окислительных дефектов упаковки. Однако хлор и хлористый водород обладают высокой реакционной способностью. Более удобными и безопасными являются грихлорэтан и трихлорэтилен. На рнс. 3.31 приведена схема установки для окисления пластин с использованием трихлорэтилена. Термический отжиг и окисление являются основными операциями современ- ного технологического процесса изготовления кремниевых ИС, поэтому их ис- пользуют и для процессов геттерирования. 144
Рис 3.31. Схема установки -ернического окисления кремниевых пластин с ис- пользованием трихлорэти- лена: , — термостат; 2 — резервуар с трихлорэтиленом; пласти- ны' 4 — реакционная камера 3.6. Методы получения окисных пленок кремния Окисные пленки кремния применяют в качестве маскирующих покрытий при локальной эпитаксии и локальном газовом травлении, для защиты и пасси- вации поверхности полупроводников, в качестве рабочего элемента в приборах на основе структур металл — окисел — полупроводник, для диэлектрической <» Рис. 3.32. Классификация методов получения окисных пленок кремния Рис. 3.33. Принцип тер- мического окислеиня: 1 — край; 2 — измеритель скорости потока; 3 — тер- мостат; 4 —кварцевый бал- лон; 5 — кварцевая труба; 6 — пластины кремния; 7 — печь нагревательная; 8 — ог- раничитель встречного те- чения 10 Заказ № 1270 145
Рнс. 3.34. Зависимость роста тол- щины S окисла кремния от време- ни I и температуры при нормаль- ном давлении Рнс. 3.35. Зависимость толщины окисла от давления пара для ори- ентации (111) (прямые 1) и (100) (прямые 2) в кремнии изоляции активных и пассивных элементов ИС; легированные окисные пленки — в качестве источника примеси при диффузии. Методы получения окисных пле- нок кремния приведены на рис. 3.32. Особое место занимают методы высокотемпературных реакций с кислоро- дом. Прн термическом окислении кремниевые пластины нагревают в кварцевой трубчатой печи приблизительно до температуры 1473 К и окисляют в потоке сухого или влажного кислорода (рис. 3.33). Скорость роста сухой окисной пленки составляет (рис. 3.34) 0,2 мкм/ч для сухого и приблизительно 1 мкм/ч для влажного кислорода. Оптимальная тол- щина пленки ограничена сверху необходимой адгезионной прочностью (трещи- ны недопустимы), снизу — скоростью проникновения атомов примеси в пленку кварца. Окисление при высоком давлении. Преимущество высокого давления (2 • 105 Па) состоит в снижении температуры процесса, что приводит к сниже- нию числа дефектов, возникающих в кремнии. Соотношение между толщиной окисла и давлением пара при постоянном времени окисления приведено на рис. 3 35. Толщину наросшей пленки окисла можно оценить по зависимостям: КС ,, (<+т) для (/+т)«;Л2/4В; Л,- / 2DC V2 ---- / для />Л2/4В, \ 1 где К — скорость поверхностной реакции; Л\ — число молекул окислителя, не- обходимое для образования окисла в единичном объеме (для получения диок- сида кремния требуется концентрация молекул кислорода 2,2-1022 см-8 или кон- центрация молекул воды 4,4-1022 см-3); С—максимальная концентрация окис- лителя в газе при данной температуре; t — время проведения процесса окис- ления; A~2DIK\ B=2DCjNi-, r=(Zi+A )/В; Zi=2Q нм для сухого и Z,= 0 для влажного кислорода. 146
Для малых времен окисления толщина окисла определяется постоянной скорости поверхностной реакции К и прямо пропорциональна времени окисле- ния Для больших времен окисления рост окисла зависит от коэффициента диф- фузии D, толщина окисла пропорциональна корню квадратному из времени окисления. Наиболее часто используется толщина окнсла, составляющая десятые доли микрометра. Верхний предел по толщине для обычного термического окисления составляет 1...2 мкм. Добавление в окислительную среду в процессе окисления хлорсодержашнх компонентов улучшает стабильность порогового напряжения полевых МДП-транзисторов, увеличивает напряженность пробоя диэлектриков и повышает скорость окисления кремния. Осаждение из газовой фазы. Осаждение окиси кремния происходит в ре- зультате пиролиза алкоксиланов, гидролиза галогенов кремния, окисления н гидролиза силана. Осаждение методом пиролиза алкоксиланов выполняется на подложках, нагретых до 700 К, которые помещают в электрическую или индукционную печь и пропускают в инертном газе алкоксилан, нагретый до нескольких десятков градусов. Чаще всего используется тетраэтоксисилан и этилтретокснлан. В ка- мере происходит пиролитическое разложение этих соединений и осаждение плен- ки окиси кремния. Скорость осаждения в зависимости от температуры состав- ляет 0,005...0,025 мкм/мнн. Этот параметр можно, одиако, улучшить, нагревая подложки до 1073...1173 К. Методой гидролиза галогенов кремния, чаще всего SiCl4 и SiBr4, получают покрытие из окиси кремния, качество которого ие хуже, чем при высокотемпе- ратурном окислении, а скорость процесса значительно больше. Процесс проис- ходит в камере, в которой подложки нагреваются до 1273...1473 К и над ними пропускается галогеновое соединение в смеси с СО2 и Н2. Скорость осаждения прн 1473 К составляет 0,1 мкм/мин. При замене двуокиси углерода окисью азота процесс будет протекать при 1073 К. Процесс окисления и гидролиза силана проходит при 573 К. Скорость осаж- дения при 748 К составляет 0,29 мкм/мин. Реакция протекает бурно при малом давлении галогена в носителе (азот нлн аргон) и большой скорости его движе- ния Можно проводить осаждение окиси кремния при непосредственном гидро- лизе силана или в результате реакции SiH4 н СО2 в диапазоне температур 973. .1473 К- Анодное окисление проводят чаще всего в безводных электролитах (глико- ли, амиды), в которых в качестве ионогена растворяется KNOg. Плотность тока составляет 1...5 мА/см2. Процесс протекает при н-изкой температуре, до- пускает прецизионный электрохимический контроль путем регулирования плот- ности тока и температуры электролита. Материал катода—пластина, а держа- телей подложек — тантал. Анодное окисление кремния можно выполнять также в газовой фазе в ат- мосфере чистого кислорода под давлением 50 ..200 Па и при одновременном образовании разрядов, возбуждаемых полем ВЧ которое является источником образования ионов кислорода. При этом можно получить более толстые покры- тия, чем при электролитическом окислении (при 543 К за 10 ч получен слой 0,6 мкм). Осаждение SiO2 из пленкообразующих растворов. Для контролируемого введения примесей в полупроводники существует метод осаждения нз пленко- образующих растворов на основе кремнийорганическнх соединений, разлагаю- щихся при сравнительно низких температурах, например, таких, как тетраэтокси- лан Si(OC2Hs)4 илн диметилэтокснхлорсилан, которые прн гидролитической по- ликонденсации образуют силоксановые цепи, склонные образовывать полимеры. В состав пленкообразующих растворов, кроме кремнийорганическнх соединений, входят азотнокислые соли легирующих элементов III, V групп, в качестве рас- творителя могут использоваться ацетон, этиловый спирт, источником кислорода для образования силоксановых связей является кислород воды, в качестве ка- тализатора применяют HCI. Гидролиз кремнийорганическнх эфиров осуществим только в присутствии катализатора при длительном нагревании. Нанесение пленкообразующего раствора на поверхность полупроводника осуществляется методами центрифугирования, пульверизации, погружения пла- 10* 147
I , стины в пленкообразующнй раствор. После испарения растворителя на поверх- | нести остается полиэфирная пленка, содержащая воду. Затем проводят термо- । деструкцию пленочного покрытия при температуре 523...973 К для превращения его в стекловидную пленку иа воздухе в течение 1 мин. В процессе термодест- I рукцин происходит окисление полимера, превращение его в SiO2 и разложение , азотнокислых солей илн других соединений, вводимых в раствор для легиро- вания SiO2. При толщине более 10-7 м легированная пленка может рассматри- I ваться как бесконечный источник примесн. Нанесение в вакууме. Применяется вакуумное испарение и катодное рас- । пыление. При испарении исходным материалом может служить SiO2, SiO и Si । чаще всего в присутствии О2 либо О2+Н2О. Для испарения SiO2 используется электронно-лучевое испарение, а для испарения SiO — резистивный испаритель. I Свойства покрытия в значительной мере зависят от скорости испарения, вида и давления газов, температуры и качества поверхности подложек. Стехио- метрические покрытия получаются только при малой скорости осаждения | (0,03 мкм/мин) и высоком давлении кислорода (1,33-Ю-2 Па). Катодное распыление позволяет получить испарение с помощью кремниевой или кварцевой мишеин. Для кремниевой мишени распыление производится в ат- | мосфере О24-Аг при давлении 0,13 Па. Кислород окисляет атомы мишени, ко- торые переносятся на подложки. Скорость осаждения зависит от давления кис- I лорода н составляет 0,01...0,03 мкм/мнн. I При получении пленок SiO2 одним из приведенных способов часто необхо- дим контроль их толщины. Суть одного из наиболее доступных методов состоит Таблица 3.20. Зависимость цвета термически выращенной пленки диоксида кремния от ее толщины Порядок Толщина Порядок Толщина интерфе- пленки, Цвет пленки иитёрфе- пленки, Цвет пленки реиции мкм ренции мкм 0,05 Бежевый 0,46 Красно-фиолето- 0,07 Коричневый вый 0,10 Темно-фиолето- 0,47 Фиолетовый вый 0,48 Г олубовато-фио- 0,12 Голубой летовый 0,15 Светло-голубой 3 0,49 Голубой 1 0,17 Металлический 0,50 Голубовато-зеле- 0,20 Светло-золоти- ный стый 0,52 Зеленый 0,22 Золотистый 0,54 Темновато-зеле- 0,25 Оранжевый ный 0,27 Красно-фиолето- 0,56 Зеленовато-жел- ВЫЙ ТЫЙ 0,30 Фиолетово-голу- 0,57 Желтый бой 0,58 Светло-оранже- 0,31 Голубой вый 0,32 Зелено-голубой 0,60 Телесно-розовый 0,34 Светло-зеленый 0,63 Фиолетово-крас- 0,35 Зеленый ный 2 0,36 Темно-зеленый 0,68 Голубоватый 0,37 Зеленовато-жел- 0,72 Г олубовато-зе- тый леиый 0,39 Желтый 4 0,77 Желтоватый 0,41 Светло-оранже- 0,80 Оранжевый вый 0,83 Желтовато-розо- 0,42 Телесно-розовый 0,85 ВЫЙ 0,44 Фиолетово-крас- Светло-краснова- ный то-фиолетовый 148 I
в том, что тонкие прозрачные пленки SiO2, нанесенные на отражающую под- ложку; изменяют свой цвет в зависимости от толщины. Метод получил назва- ние цветового. В его основе лежит явление интерференции световых лучей, от- лаженных от границы раздела пленка — воздух и пленка — подложка. Оптиче- ская разность хода этих лучей равна 2nd/cos0, где d— толщина пленки; 0 — угол преломления лучей в пленке; п — показатель преломления пленки. Для нормально падающего света с длиной волны Z условиями интерференции с уси- лением н ослаблением являются соответственно соотношения 2d=k'klii и 2d=(2fe—l)X/2n (k — целое число). При освещении пластины кремния с поверхностной пленкой SiO2 равно- мерным нормально падающим белым светом цвет пленки создается той частью спектра излучения, которая не ослабляется при интерференции. При измене- нии d эта часть спектра изменяется, что влечет за собой смену цвета. Естест- венно, что прн косом освещении цвет пленки будет изменяться и зависеть от угла преломления 0. В табл. 3.20 приведена зависимость цвета пленок SiO2, по- лученных методом термического отжига, от их толщины. Цветовой метод относится к неразрушающим методам контроля толщины, если толщина пленки приблизительно известна (с точностью примерно ±0,075...0,1 мкм). Если данные о возможной толщине отсутствуют, то на плен- ке химическим травлением (обычно в плавиковой кислоте) создается клин трав- ления. При этом образующаяся при освещении цветовая картина представляет собой всю серию цветовых полос — от соответствующей максимальной толщины до цвета неокисленного кремния, что позволяет установить порядок интер- ференции. Глава 4 Диффузия в полупроводниках 4.1. Физические процессы, происходящие при диффузии примеси в полупроводниках Диффузия в полупроводниках — процесс последовательного перемещения атомов примеси в кристаллической решетке, обусловленной тепловым движени- ем. В полупроводниках существует два вида диффузии: диффузия в кристалле, находящемся в состоянии химического равновесия, т. е. при однородном химическом составе и однородном распределении собст- венных дефектов — самодиффузия атомов растворителя; диффузия в условиях, когда система не находится в состоянии химического равновесия и градиенты химических потенциалов вызывают появление резуль- тирующих химических потоков — химическая или взаимная диффузия. Прн повышенной температуре атомы в узлах решетки колеблются вблизи равновесного положения. Для изготовления р—п-переходов используется химическая диффузия при- месных (растворенных) атомов, которые вводятся в кристаллическую решетку для изменения ее электрофизических свойств. Перемещение примеси в решетке происходит посредством последовательных скачков, осуществляемых в трех на- правлениях. Прн наличии градиента концентрации собственных или примесных атомов точечные дефекты (атом в междоузлии или вакансии) оказывают влия- ние иа их диффузию. К основным механизмам диффузии относятся следующие: прямой обмен атомов, кольцевой, эстафетный, крауднонный, диссоциативный, вакансионный. В общем случае диффузия анизотропна. Однако в кубической решетке из-за ее симметрии диффузия изотропна. Процессы изотропной диффузии описываются с помощью коэффициента диффузии D, который является скалярной величиной и определяется из первого закона Фика. При одномерной диффузии j=DdN/dx, (4 1) 119
где j — плотность потока атомов или дефектов вещества; D — коэффициент диф- фузии; N — концентрация атомов или дефектов вещества. Температурная зависимость коэффициента диффузии D=D(fi~Ea/kT, (42) где Do — постоянная величина в пределах сотен градусов, при которых осуще- ствляется диффузия; £в — энергия активации для скачка атома; k — постоянная Больцмана; Т — температура диффузии. Изменение концентрации растворенного вещества во времени при одномер- ной диффузии определяется вторым законом Фика; dN dt Цо™} дх \ дх ) (4.3) При диффузии на небольшую глубину с относительно низкой концентрацией примеси (концентрация электронов и дырок ниже концентрации собственных носителей п илн р<п, при температуре диффузии) коэффициент диффузии ие зависит от концентрации, поэтому уравнение (4.3) можно записать: _ D d2N dt дх (4.4) Одиако при высоких уровнях легирования наблюдается значительное уве- личение коэффициента диффузии, что имеет место прн влиянии иа движение примесн возникающего прн химической диффузии электрического поля н при взаимодействии примеси н точечных дефектов с точечными дефектами, находя- щимися в различном заряженном состоянии. Движение примеси в электрическом поле. Прн диффузии примеси по вакан- сиониому механизму вследствие того, что более подвижные носители заряда обгоняют новы примеси, находящиеся в узлах решетки, и создают пространст- венный заряд, возникает электрическое поле, которое обусловливает появление силы, действующей на ион примеси, и появляется дрейфовая составляющая ско- рости. Движения примесн, обусловленные диффузией и дрейфом в электриче- ском поле, рассматриваются как независимые события п ) — -нше, дх где р — подвижность прнмеси; е — напряженность электрического поля. Электрическое поле возинкает при диффузии примесей по вакансионному механизму в кристаллах с высоким уровнем легирования, если полупроводник остается собственным прн температуре диффузии, т. е. когда концентрация прн- меси, включая уровень легирования подложки и концентрацию легирующей прн- меси, не превышает при температуре диффузии концентрацию собственных но- сителей Hi (Г). На рис. 4.1 показана концен- трация собственных носителей в кремнии при различных температурах. Под действием электрического поля примесь диффундирует с некоторым коэффи- циентом диффузии ДЭф, который может уве- личиваться почти вдвое: ОВф — D (1 +dn/dN), где z/n/dW=0,5{l-Hl-H2ni/W)2]-1/2}. Рис. 4.1. Зависимость концентрации собствен- ных носителей в кремнии от температуры 150
Прп наличии электрического поля второй закон Фика (4.4) преобразуется: dN d2N dN =D —- —ue-. dt----------------дх2 дх Взаимодействие примесей с точечными дефектами. Прн высоком уровне легирования, когда концентрация примеси превышает ni(T), коэффициент диф- фузии может увеличиваться за счет взаимодействия с точечными дефектами в кристалле — нейтральными и заряженными: D=D® + О,+ (р/л,) + О,“ (п/л4) + О?~ (n/m)2+D^~ (п/т)3, где D°, Df, Dy, D?+ , D3~ — собственные коэффициенты диффузии в усло- виях низкой концентрации, обусловленные взаимодействием с нейтральными ва- кансиями, положительно и отрицательно одно-, двух-, трехзаряженными соот- ветственно. С учетом электрического поля Рэф=Л[О?+о+ (p/m)+Dy (п/т)+о]- (nfm)2+Df~ (л/л.)3], где ft=l + [l+(2ni/A')2]-l/2. Например, для кремния, имеющего заряженные вакансии £+, Е~, Е2~ (рис. 4.2), коэффициент диффузии + £),+ (р/л,)+£>Г (л/л,)+П2_ (л/л,)2]. (4.5) Примеси, диффундирующие по межузельному механизму в кремнии, принад- лежат к примесям внедрения. К ним относятся элементы I и VIII групп Пе- риодической системы элементов — литий, натрий, калий, аргон, гелий, водород. Обычно эти примеси электрически неактивны, кроме лития, действующего как донор в кремнии. Примеси, диффундирующие по вакансионному механизму, относятся к при- месям замещения. Их движение зависит от количества точечных дефектов в кристаллической решетке и их заряда. К ним относятся примеси, принадлежа- щие к III и V группам Периодической системы элементов Для изготовления р— л-переходов в кремнии в основном используются алюминий, бор, галлий, индий, сурьма, мышьяк, фосфор. На рис. 4.3—4.5 представлены коэффициенты диффузии примесей замещения в кремнии. Диффузия бора в кремнии изотропна, если выполняется в нейтральной сре- де, н тогда £>=3,17 ехр (—3,59/kT). В окисляющей атмосфере коэффнциеит -------------------------------£с -------------------------------fz- О.ШзВ ------------------------------- Рис. 4.2. Энерге- тический спектр вакансий в крем- нии 151
Рис. 4.3. Зависимость коэффициента диффузии бора в кремнии от тем- пературы в нейтральной (1, 2) и окисляющей (5, 4) средах: / — с образованием нары примесь — иони- знрованная вакансия; 2 — пары примесь — нейтральная вакансия; 3— по направле- нию к плоскости (Ill); 4— по направле- нию к плоскости (100) Рис. 4.4. Зависимость коэффициента диффузии фосфора и мышьяка в кремнии от температуры: 1 — с образованием нейтральной вакан- сии; 2 ~ отрицательно заряженной вакан- сии; 3 — двукратной отрицательной ва- кансии Т,к Рис. 4.5. Зависимость коэффициента диффузии сурьмы от температуры Рис. 4.6. Зависимость концентрации собственных носителей п,-е в кремнии от температуры для предельной рас- творимости мышьяка (/) и фосфо- ра (2) 152
пИффузии бора увеличивается в значительной мере для ориентации (100) и несколько меньше для (111). Мышьяк и фосфор используют, когда требуется сильное легирование. При атом особую важность приобретает взаимодействие примеси с вакансиями. На рис. 4.6 представлены данные концентрации собственных носителей в нремнии для легирования кремния в условиях предельной растворимости фос- фора и мышьяка в кремнии. г Большинство примесей, например кобальт, медь, золото, железо, никель, п патина, серебро, диффундируют по диссоциативному механизму и относятся к примесям внедрения и замещения. Все эти примесн уменьшают время жиз- ни неосновных носителей в кремнии и считаются поэтому основными загряз- няющими примесями Для примесей, диффундирующих по диссоциативному механизму, коэффи- циент диффузии определить сложно, поскольку: 1. Эти примеси обычно диффундируют со скоростью, превышающей ско рость примеси замещения иа 5..6 порядков, поэтому значительный вклад в ошибку определения D вносят процессы охлаждения пластин до комнатной температуры. При быстром охлаждении вносится большое количество дефек тов в решетку, которые затрудняют интерпретацию происходящих процессов, так как примесь преимущественно диффундирует к местам скопления де- фектов. 2. При охлаждении полупроводника большинство примесей замещения-внед- рения могут занимать как электрически активные, так и электрически неак- тивные состояния. Так, 90% золота находится в активном состоянии, а нике- ля—только 10%. 3. Движение примесей описывается коэффициентом диффузии, который за- висит от температуры, и концентрации. 4. Ионизированная примесь может образовывать один или более глубоких уровней в запрещенной зоне. Поэтому значение диффузионных параметров этих примесей нельзя определять с помощью измерения параметров р — « перехода, как, например, для примесей замещения. 5 В результате взаимодействия между примесями внедрения-замещения и напряжениями, связанными с дислокациями, происходит образование кластеров примесей в соседних с такой дислокацией областях. Коэффициент диффузии приобретает сильную зависимость от степени дефектности материала. Кроме того, в интервале температур изготовления приборов многие примеси образуют соединения с кремнием. Кремний, легированный такими примесями, очень чувст- вителен к температурным воздействиям. Для уменьшения времени жизни носителей заряда в кремнии применяется золото, которое обеспечивает относительно высокую предельную растворимость и не образует соединений с кремнием На рис. 4.7 — 4.9 приведены данные по диффузии золота и платины. Пла- тина является заменителем золота в кремнии. Профиль легирования кремния золотом не определяется. Диффузия зо- лота на практике проводится в течение примерно 15.. 30 мин при температуре, определяемой экспериментально а) ^о.гзэв \О,26ЭВ / L 6) Рис. 4.7. Энергетические уровни золота (а) и платины (б) в кремнии 153
Рис. 4.8. Зависимость концен- трации золота в узлах (/) и междоузлиях (2) в кремнии Рис. 4.9. Зависимость коэффициента диф- фузии золота в узлах (7) и междоузли- ях (2) в кремнии от температуры Платина также имеет относительно высокую предельную растворимость в кремнии, может диффундировать по узлам к междоузлиям, ее коэффициент диффузии определяется также с большой погрешностью. Прн диффузии платины в кремнии около 90% ее находится в междоузли- ях и является электрически активной. При этом в запрещенной зоне Si появ- ляется донорный уровень Et+ и акцепторный уровень Е{~ (рис. 4.7). В отличие от золота имеется также акцепторный уровень Ег~, который является опреде- ляющим для контроля времени жизни неравновесных носителей заряда. При- месные уровни платины в кремнии асимметричны, а акцепторный уровень зо- лота лежит почти в центре запрещенной зоны. Поэтому прн использовании платины для уменьшения времени жнзнн неравновесных носителей заряда в кремнии не наблюдается такого увеличения токов утечки, как для легирующих примесей золота. 4.2. Расчет распределения примеси при диффузии Диффузия при постоянном коэффициенте диффузии. Диффузия в по - лубесконечное тело из бесконечного источника (с постоянной концентрацией прнмеси в источнике) описывается уравнением W(x, /)=/Vcerfc—— , (4.6) 2 у Dt где N (х, t)—концентрация примеси на расстоянии х от поверхности, см-3; No — поверхностная концентрация примеси, см-3; D — коэффициент диффузии примеси при температуре диффузии, см2/с; t — продолжительность диффузии, с. 154
Если р — «-переход при таком распределении примеси расположен на глу- бине X, то X Merle --— = NB, 2V Dt где Nn — концентрация примеси в подложке, см-3. На рис. 4.10 изображен профиль легирования из источника с постоянной концентрацией Диффузия в полубесконечное тело из ограниченного источника описывается уравнением N(x, /)=—-°— e-wVDiP . (4 7) VnDt Количество диффузанта которое необходимо для диффузии по закону ехр(—г?), где а=—(х/2уо/)2, очень мало. Такое количество примеси можно ввести ионным легированием или предварительным проведением диффузии (преддиффузии) при низкой температуре в течение короткого времени, достаточ- ного, чтобы сформировать источник на поверхности с малым содержанием при- меси: где <?о — количество примеси, поступающее в кремний в течение преддиффу- зии, ат./см2; Мг — поверхностная концентрация при температуре преддиффу- зии, ат./см3 £>i — коэффициент диффузии при температуре преддиффузии, см2/с; — продолжительность преддиффузии, с; N (х, /2) = Y 2 е -<"'2 , (4.8) л \D2i2 ] где индексы 2 относятся к разгонке примеси из источника, сформированного на преддиффузии (индексы 1). Уравнение (4 8) справедливо для случая, когда V D^3 » У Dtt{. На рис. 4.11 изображен профиль легирования из ограниченного источника. Оба профиля легирования по закону erica и ехр(—а2) изображены на рис. 4.12, а на рис. 4.13 показано формирование областей базы ехр(—а2) и эмиттера erfc а биполярного транзистора и указаны возможные значения глубины в слое. Рис. 4 10 Профиль легирования из бесконечного источника органического источника 155
Рис. 4.12. Профили распределения примеси по закону erfcz и ехр (—г2) Рис. 4.13. Формирование областей базы и эмиттера биполярного тран- зистора Двухстадийная диффузия начинается с проведения процесса диф- фузии из бесконечного источника прн низкой температуре в течение короткого времени. Затем поступление примеси прекращается путем проведения процесса в окислительной атмосфере. Образующийся при этом окисел препятствует как диффузии примесей с поверхности пластин в кремний, так и из кремния наружу. Когда реализуется распределение по закону ехр(—z2) (Гаусса), а для О/|»О212 реализуется распределение по закону erfc(—z). Индексы 1 и 2 относятся соответственно к преддиффузни н разгонке. Однако на практике не выдерживается ни одно из этих условий, и распределение примеси определя- ется как 7V(x, .^e-PU+v’) I --------dv, J l+t>2 где p.M1'2. ____x 21^* D^t 14- D212 Поверхностная концентрация после разгонки примеси 2Л'0| /V02= ----arctg"1 v, л N(x, t2) 1 ре-Р(1+«г) arctg“! v, ) l-f-t>2 (4 9) На рис. 4.14 показаны нормализованные концентрационные профили для различных V. 156
Рис. 4.14. Профили распределения при двухстадийной диффузии Рис. 4.15. Нормализованные диффу- зионные профили для концентраци- онно-зависимой диффузии Последовательная диффузия. Так как для изготовления прибо- ров требуется несколько термических процессов, то в уравнениях вместо Dt следует учитывать величину (О/)0ф: (О/)эф= S (Diti-t-D2t2-i- ... +, где индексы 1, 2 и т. д. относятся к 1-й, 2 й и т. д. термическим операциям, при которых происходит диффузия примеси. Диффузия из линейного источника. Это—пример прямого решения трехмервого уравнения диффузии. Он имеет практическое значение в быстродействующих приборах с мелкими диффузионными слоями. Распределение примеси диффузии в полубескоиечную область на расстоя- нии г от источника /)=2лРГе“Г°/41>' (410) где W — ширина щели; Q — поверхностная плотность примеси, ат./см2. Диффузия из ограниченного источника конечной ши- рины 0= —erf Х + Хо чУБ1 где Nq — концентрация примеси в пая концентрация примеси Л/(0, источнике конечной ширины х0. Поверхност- (Хп 2V Dt Диффузия наружу из однородно легированного скры- того слоя. Скрытый однородно легированный до концентрации N+ слой рас- полагается в подложке на расстоянии от х=0 до х=х+. Затем на этой под- ложке наращивается нелегнрованная эпитаксиальная пленка, имеющая толщину от х=0 до х=— х8П. Распределение примеси при диффузии наружу из скрытого слоя в эпитаксиальную пленку при условии — хэп<х-<0 7V(x, /) = —— erfc +erfc 2Xgn +х ’2.V Dt —erfc x+—x 2V Dt 157
/ 2Хэп—л+ + л\ —eric | ----—-— I . \ iVDt /. Здесь Dt является эффективной величиной, определяемой высокотемпературны- ми процессами изготовления скрытого слоя и наращивания эпитаксиальной пленки Двусторонняя диффузия. Диффузия в обе стороны пластины тол- щиной х0 описывается уравнением N(x, O=JVC 1— —е 0 sin (— , 1л ’ х0 / J где Nq=N(O, t) =N(xe, t) для всех t. Диффузия наружу с обеих сторон однородно легированной пластины тол- щиной х0 ... 4Л\) Г —я2ОГ/Хп - Л'(х, t) =-- е °sm— . л L \*о /J Диффузия с изменяющимся во времени коэффициентом диффузии. Диффузия происходит при изменяющейся температуре (печь охлаждается для проведения загрузки или выгрузки пластин при пониженной температуре, а затем нагревается до рабочей температуры диффузии). Коэффициент диффузии примесн D(t)=D(T0)e~(cEalkT^‘ , где О(7'0)—коэффициент диффузии прн рабочей температуре То; с — скорость охлаждения (нагрева) печи; О(0эф«Д(7-0) — • (4.11) СЕа При диффузии в условиях охлаждения (нагрева) полупроводниковой под- ложки учитывается дополнительное время, эквивалентное kT^fcEb при рабочей температуре. Диффузия при коэффициенте диффузии, зависящем от концентрации. Для обеспечения высоких уровней легирования диффузию примеси производят из бесконечного источника. Коэффициент диффузии прн этом зависит от концен- трации. Распределение примеси получается более резким, чем распределение по дополнению к функции ошибок, для которого коэффициент диффузии не зави- сит от концентрации, 7VHOb и Z)n0B принимаются постоянными при температуре диффузии. Возможны следующие случаи диффузии: а) О=Рпов(Л'/Л,ПОв); (4.12) Ь) Р=Рпвв(Л'/Л/ПОв)2; (4.13) с) D=DaoB(Луй/Пов)3, (4.14) где Nbob—поверхностная концентрация; Dn0B — коэффициент диффузии при этой концентрации. Распределение прнмеси прн диффузии из бесконечного источника с кон- центрационно-зависимым коэффициентом диффузии изображено на рис. 4.15. Для сравнения показано распределение с постоянным и не зависящим от кон- центрации коэффициентом диффузии. В табл 4.1 приведены значения концентра- ции примесн для диффузии с концентрационно-зависимым коэффициентом диффузии. Глубину диффузии определяют по уравнениям: Xj= 1,616(РПов/)1/2 , D~N; (4.15) 158
Х1=1,092(Опо»012, D~№; (4.16) х^=0,872(ОповО’'2. Р~№. (4.171 В некоторых случаях диффузия примесей характеризуется комбинацией уравнений (4.12) — (4.14). Тогда глубина перехода может находиться в интервале, определяемом уравнениями (4.15) —(4.17). Диффузия из легированного окисла. На рис. 4.16 показана схема диффузии нз окисла, где область I — осажденный окисел с первоначальной концентраци- ей Nt и толщиной х0—Хв; II—полупроводниковая подложка; III—нелегиро- ваниый (барьерный) слой толщиной хв- Таблица 4.1. Численные решения уравнения для коицеитрационио- зависимой диффузии /V—А (х, О/Л^пов у— ' 2^ ^пов* а ь С 0,001 0,999 0,999 0,999 0,005 0,996 0,996 0,997 0,01 0,991 0,992 0,993 0,02 0,982 0,985 0,986 0,05 0,955 0,961 0,964 0,10 0,907 0,918 0,925 0,15 0,858 0,872 0,881 0,20 0,807 0,822 0,830 0,25 0,783 0,766 0,769 0,30 0,697 0,703 0,697 0,32 0,674 0,677 0,663 0,34 0,650 0,648 0,624 0,36 0,626 0,617 0,577 0,38 0,602 0,584 0,521 0,40 0,578 0,550 0,449 0,42 0,553 0,512 0,340 0,43 — — 0,237 0,435 — — 0,058 0,436 — — 0,000 0.44 0,528 0,471 — 0,46 0,503 0,425 — 0,48 0,477 0,373 — 0,50 0,451 0,312 — 0,52 0,425 0,234 0,54 0,398 0,107 0,545 — 0,022 0,546 — 0,000 0,56 0,370 0,58 0,343 0,60 0,315 0,62 0,287 0,64 0,258 0,66 0,229 0,68 0,199 0,70 0,169 0,72 0,139 0,74 0.108 0,76 0,077 0,78 0,045 0,80 0,013 0,808 0,000 159
Рис. 4.16. Схема процесса диффузии из легированного окисла в полупро- водниковую подложку через барь- ерный окисел Для случая, в кремнии когда х0—хв— V DJi , выражение для концентрации примеси Лг2(х, 0=^1 V Ру/Рг 1Ч-* erfc ~ Хв+mkx (4 18) где k— (lfm)yDi/D2; т — коэффициент сегрегации примеси на границе оки- сел — полупроводник. Для случая, когда отсутствует нелегированный барьерный окисел (хц=0), уравнение (4.18) существенно упрощается и сводится к простому случаю диф- фузии из полубесконечного источника в полубескоиечный объем или диффузии по закону erfcz: mkx N2(x, t)=Nserfc . (4.19) где —эквивалентная поверхностная концентрация, не зависящая от времени диффузии, y5=W1yDl/D2/(l+----- )Di/D2). т Для пластин с удельным сопротивлением более 2 Ом см и в случае от- сутствия барьерного нелегироваиного окисла поверхностная проводимость <г= 4- =8,15-10-23pWsyrD2<, Rs где Rs — поверхностное сопротивление, обычно измеряемое четырехзондовым ме- тодом; р — эффективное значение подвижности носителей заряда Постоянная, равная 8,15-I0 23, содержит заряд электрона и коэффициент растекания тока для четырехзондового метода. Глубина перехода x3 =2yD2/ arg erfcfWe/Ws], где Nb — объемная концентрация в полупроводниковой подложке. Коэффициент диффузии примеси О1 в окисле Р\=Хв^Рг1 (хДлг—(х3)в, где (*j)n — глубина диффузионного слоя, полученного без барьерного окисла; (Xj)c — глубина диффузионного слоя с тонким барьерным окислом хв Коэффициент сегрегации примеси при известных D, и О2 при отсутствии барьерного окисла 1 т= -----------• Ni/Ns-V D2/Dt При расчете профиля распределения примеси диффузанта в полупроводнике в случае высоких концентраций в уравнении (4.18) следует учитывать концен- трационную зависимость коэффициента диффузии О2 в соответствии с (4.5). На рис. 4.17 (кривая 1) представлено теоретическое распределение примеси в подложке, соответствующее диффузии из бесконечного источника в полуогра- ниченное тело (4.18). Однако на практике диффузия примеси проводится в окислительной атмосфере. Процесс окисления и связанное с ним движение гра- ницы раздела фаз в системе легированный окисел — кремний может существен- но изменить концентрационное распределение примеси. В условиях достаточно высокой скорости роста окисла, например проведе- 160
Рис. 4.17. Распределение фосфора в кремнии при диффузии из ограни- ченного источника: 1 — диффузия примеси в неокисляющей среде; 2 — диффузия с одновременным окислением Рис. 4.18. Зависимость поверхност- ной концентрации фосфора от про- должительности диффузии из леги- рованного SiO2, содержание примеси в источнике: 1—2 мае. доли, %; 2 — 1 мае. доля. % ние процесса во влажном носителе, сывается следующим уравнением: распределение примеси в подложке опи- mS/S2+ К 1 /27<гК n/D.S/S, (Ь-т) Xerfc х+ьКрV t ‘zV D2t где Si>=erfc Кр/2}'О{; S/=exp(—Kp2/4£>i); S2=erfcbKp/2}'Dg-, S2'= =exp(—b2Kp2/4£>2); Kp — константа параболического роста окисла; b — соот- ношение молярных объемов кремния и его окисла. Эффективная поверхностная концентрация (х=0) /V28= _____ т+ V /S/S2+SJS2 У nD,lf2Kp(b—m) В условиях, когда скорость роста промежуточного окисла невелика, на- пример диффузионное легирование проводится в сухом кислороде или в смеси кислорода с инертным газом, распределение примеси в подложке описывается уравнением ^2 /V. 2(т+К02/0,) erfc x+bkit \ —7= +ехр 2V D2t ) bktx erfc x—bkit 2КЙ + .___. N° У, n"(fe'/2 У Dl)n 'Г Г / X+bkn \ 2(ms + | D2D,) "=l r(«/2)«’ 0 [ \ V Dit ) -PexpC^erfc (420) \ °2 ) \2KO2T / J где Г (n/2)—гамма-функция Эйлера; d" f (1-р(Ог/Р1)»2)‘/2(1+ЬУ)‘/2-[(Р2/Р1)‘/2+2щ-Ь]» ) anC= dan[ m(DlfD2),/2(l + (D2fDl)a2)'/^+(l + b2a2)'/2-(fl-m)a j' 1 11 Заказ № 1270 161
ki—скорость движения легированного окисла относительно границы раздела фаз; Аг=йр/2(х0+1/2)Д; т= (x02-f-/lxn)/Лр; х0—начальная толщина источника в соответствии с барьерным окислом КР21А — константа линейного роста окисла. Первый член, стоящий в правой части уравнения (4.20), представляет со- бой распределение примеси в том случае, когда концентрация примеси на гра- нице раздела остается постоянной, а граница движется со скоростью bki. Мож- но учитывать только этот член, если выполняется соотношение и коэффициент диффузии примеси в окисле велик. Одиако для примесей бора, фосфора коэффициент диффузии незначителен, и практически для всех про- должительностей отжига учитывают все последующие члены. На рис. 4.17 (кривая 2) представлено теоретическое распределение примеси в подложке, вычисленное по формуле (4.20) для значений параметров и }DtlDi равных соответственно 10~2 и 20. Эти величины соответ- ствуют 10-минутной диффузии фосфора в кремнии при температуре 1373 К. Из сравнения кривых 1 и 2 видно, что процесс окисления сильно снижает кон- центрацию примеси по всей глубине диффузионного слоя. Особенно сильно это сказывается на величине поверхностной концентрации, которую находят, поло- жив в уравнении (4.20) х=>0: к, N2e = т УD2/Dl , 2ап / fetf1/2 Г(п/2)п/н (4.22) Сравнение теоретической зависимости (4.19) с экспериментальными данными (рис. 4.18) для диффузии фосфора из пленок SiO5, осажденных из раствора, свидетельствует об удовлетворительном согласии теории с экспериментом. В табл. 4.2 приведены значения коэффициентов сегрегации элементов III в V групп для системы Si—SiO2- Таблица 4.2. Коэффициенты сегрегации примесей в окисле Примесь m='vS10//VSl Галлий Бор Алюминий Фосфор, мышьяк, сурьма 0,001...0,05 2...10 103 0,1—0,001 Рис. 4.19. Зависимость толщины масиирующей пленки SiO2 от режи- мов проведения диффузии бора Рис. 4.20 Зависимость толщины маскирующей пленки SiO2 от режи- мов проведения диффузии фосфора 162
Обычно в диапазоне температур, используемых для изготовления р — « пе- реходов, коэффициенты диффузии основных легирующих примесей (В, As, Р, Sb) иизки. Галлий является быстрым диффузаитом в SiO2 Коэффициенты диффузии элементов III и V групп в SiO2 в большой сте- пени зависят от их концентрации, от структуры окисла. Коэффициент диффу- зии в окисле, получаемый во влажной атмосфере, почти в 2 раза превышает коэффициент диффузии в окисле, полученном в сухом кислороде. При проведении локальной диффузии через маску SiO2 следует учитывать возможность потери маскирующих свойств окисла. На рис. 4.19 и 4.20 изобра- жены типичные зависимости необходимой толщины термической пленки SiO2, при которой окисел препятствует диффузии бора и фосфора в кремнии, для различных температуры и длительности диффузии. 4.3„ Диффузия примесей III и V групп в кремнии с коэффициентом диффузии, зависящим от концентрации примеси В планарной технологии кремниевых приборов для изготовления р — п-пе- реходов применяются примеси замещения. Если требуется получить глубокие слои с относительно низкой концентрацией, то их диффузию характеризуют не- зависимым от концентрации коэффициентом диффузии. Для мелких диффузи- онных слоев с высокой концентрацией примеси коэффициент диффузии является функцией концентрации и определяется из уравнения (4.5). Очень часто решить это уравнение численными методами трудно или невозможно. Поэтому ниже приводятся приближенные решения уравнения коэффициента диффузии для некоторых широко применяемых примесей III и V групп. Диффузия мышьяка в кремнии. Концентрационно-зависимый коэффициент диффузии мышьяка в кремнии описывается уравнением (4.5), но при этом учи- тывается взаимодействие пар As(/—V0) и As(/—V~): D=h[Di0+Dt-(n/tn,)], где nie — собственная концентрация электронов для диффузии, ограниченной предельной растворимостью примеси при ее высокой концентрации (см. рис. 4.6). Фактор влияния поля h для такой диффузии равен примерно 2. Так как концентрация электрически активной примеси N соответствует определенной общей концентрации примеси и А^>п1е, то уравнение (4.5) преобразуется в О^гДДА/п.е). Это уравнение приводится к (4.12), а профиль легирования соответствует кривой а на рис. 4.15. Глубина диффузии не зависит от концентрации примеси в подложке и определяется уравнением (4.15) х3= 1,616 (£>ПОв0‘/2. Из (4.15) получают £>лов=2О, —(Адов/пге); х1=2,29(Апов/я,«)1/2(£><~/)1/2, где О1-=22,9е-4 '/'"Т. Решение уравнения в конечной форме можно привести к многочлену Лг=Апов(1-0,87У—0.45Г2), где К=х(40пов/)~’/2. (рис. 4.21). Диффузия бора в кремнии. Так как обычно используемые диффузионные слои, легированные бором, имеют относительно низкую концентрацию, то диф- фузию бора характеризуют постоянным коэффициентом диффузии. Для высо- ких концентраций следует пользоваться уравнением (4.5). Учитывая взаимо- действие дефектов типа ион-иейтральная вакансия В(1—V0) и В(1—Е+), коэф- фициент диффузии можно записать так: D=ft[Die-f-Di+(p/«l)]. 11 163
Это уравнение упростить нельзя, за исключением того, что фактором h обычно пренебрегают. Однако можно предположить, что профиль легирования бором будет более крутым, чем для erfc-распределеиия, когда D=consi. На основании данных, полученных для большого числа процессов диффузии с высокой концентрацией бора NnoB»2-1019 см-3 в подложку с концентрацией примеси ниже 1018 см-3, имеем приближенное уравнение для глубины диффузии Xj =* 2,45 (Д'пов /щ)‘/2(О/)*/2, где D=3,17e-3-69/w. В этом случае профиль легирования приближенно представляется уравне- нием W=/Viiob(1—К2/3), где Y=x2[GDBOBt. На рис. 4 21 изображено такое распределение бора, а для сравнения при- ведено распределение мышьяка в кремнии по erfc-функции с постоянным коэф- фициентом диффузии. Реальный профиль легирования бором занимает среднее положение. Диффузия фосфора в кремнии. Эффективный коэффициент диффузии фос- фора в кремнии включает взаимодействия Р(/—Уо) (ион-нейтральная вакансия), Р(/—V~) и Р(1—V2) (ион — одно- и двукратнозаряженная вакансия). В ре- зультате, когда уровень Ферми опускается ниже уровня V2-, профиль легиро- вания определяется диссоциацией пар Р(1—V2-). Описать такую ситуацию урав- нением невозможно. Более детально профиль легирования кремния фосфором будет рассмотрен ниже. Боковая диффузия. В технологии изготовления ИС диффузия часто произ- водится в окна, выполненные в маскирующем покрытии на поверхности под- ложки. Одномерное уравнение диффузии удовлетворительно описывает диффу- зию, за исключением краев окна. Здесь примесь диффундирует как в глубь подложки, так и в горизонтальном направлении под маскирующее покрытие. На рис. 4.22, а изображен контур постоянной концентрации при диффузии примеси в окно из источника с постоянной концентрацией и независимым от концентрации коэффициентом диффузии. Этот профиль фактически указывает положение перехода, созданного диффузией в подложку, имеющую различную концентрацию примеси. Как видно из рисунка, боковое проникновение примеси составляет 75...85% от глубины диффузии в вертикальном направлении для кон- центрации примеси в подложке, которая на два порядка или более ниже по- верхностной концентрации примеси в слое. На рис. 4.22, б изображен контур постоянной концентрации примеси при диффузии из ограниченного источника с независимым от концентрации коэффи- циентом диффузии. И в этом случае глубина боковой диффузии составляет 75 .85% от вертикальной для концентраций примеси в подложке, которые на два порядка или более ниже поверхностной концентрации примеси в слое. Из за истощения источника иногда контур постоянной концентрации примеси заканчивается в области, лежащей внутри окна. Однако на практике этим пре- небрегают, так как диффузию проводят в подложку с поверхностной концентрацией при- меси много ниже поверхностной концентрации. Особый интерес представляет случай из- готовления мелкого перехода с высокой кон- центрацией, когда диффузия характеризуется концентрационно-зависимым коэффициентом диффузии. Как уже было показано, профиль легирования правлениях, значительно 65...70% от Иногда может реализоваться диффузия из линейного источника. Тогда уравнение диффузии (4.10) (В» здесь более резкий в обоих иа- боковая диффузия происходит на меиьшую глубину и составляет вертикальной. ширина окна настолько мала, что Рис. 4.21. Нормализованные профили легиро- вания для бора и мышьяка 1Ь4
x/2\[0t a) У/ЩЯ Рис. 4 22. Диффузионные контуры на краях окна в окисном маскирующем покрытии описывается в цилиндрических координатах. Распределение примеси экспонен- циальное. Диффузионный слой, сформированный диффузией в такое узкое окно, будет иметь меньшую глубину, чем в широкое окно. 4.4. Аномальные результаты процесса диффузии примесей III и V групп в планарной технологии кремниевых приборов В планарной технологии изготовления кремниевых приборов диффузия примесей часто сопровождается отклонениями от описанных законов. Перераспределение примеси при окислении. В качестве маски, в окна ко- торой проводится максимальная диффузия примеси в кремний, обычно исполь- 165
зуется слой термического диоксида кремния SiOz, выращенный на пластинах в окислительной атмосфере при определенной температуре в печи. Окисление мож- но совмещать с разгонкой примеси. Это удобно, так как поверхность кремния одновременно с разгонкой примеси покрывается защитной пленкой SiO2, пред, отвращая испарение примеси с поверхности кремния и подлегирование соседних участков, а также исключается дополнительный процесс маскирования. При окислении определенное количество примеси из кремния переходит в окисел. Мерой такого перераспределения примеси является скорость, с которой расходуется кремний на формирование SiO2, а также коэффициенты диффузии и растворимость примесей в кремнии и двуокиси кремния. Значения отношений равновесной концентрации некоторых примесей в крем- нии к равновесной концентрации в окисле (коэффициент сегрегации примеси) т в системе Si—SiO2 приведены в табл. 4.9. Для бора т является функцией ориентации: т(100) ЗЗе-°-52Ат; т(111) - 20е-°'52/и’. Если ш<1, то растущий окисел поглощает примесь из кремния. Так, при окислении наблюдается обеднение поверхности кремния бором, имеющим коэф- фициент т^0,15...0,3 для температур 1273...1423 К. Количество захвачевного скислом бора определяется скоростью, с которой бор диффундирует через SiO2 к поверхности и переходит в газовую среду. Если т>1, то растущий окисел оттесняет примесь. Если примесь диффун- дирует через окисел медленно, как, например, фосфор, то она скапливается иа поверхности кремния. Если же примесь, например галлий, диффундирует быст- ро, то возможно обеднение поверхности примесью. Если т=1, то окисление приводит к обеднению поверхности кремния при- месью. Это происходит потому, что объем выращенного окисла почти вдвое превышает объем кремния (для выращивания на пластине слоя SiO2 толщиной Рис 4 23. Перераспределение профилей концентрации примеси на границе окисел — кремний 166
Рис. 4.24. Профили легирования бо- ром для разгонки с одновременным окислением Рис. 4.25. Зависимость коэффициен- тов диффузии бора в кремний от окисляющей среды 1 мкм расходуется 0,45 мкм кремния подложки) и некоторая часть примеси продиффундирует из сильнолегированной поверхности кремния в слаболегиро- ваииый окисел. На рис. 4.23 изображена равновесная концентрация примеси в однородно легированном кремнии при наличии на его поверхности термического окисла. На практике происходящие явления более сложные, так как кремний может быть легирован неоднородно или примесь может диффундировать одновременно и в кремний, и в растущий окисел На рис. 4.24 представлены расчетные профили легирования бором для раз- гонки с одновременным окислением (т=0,1) и зиачсиием £>Z=3,6-10-12 см1 2 иа стадии загоики. Коэффициент диффузии бора в кремнии и диоксиде кремния при температуре разгонки составляет 6,0-10—13 и 1,02-10~13 см2/с соответственно. Как видно, эффектом перераспределения пренебрегать нельзя. Обычно в тече- ние 45 мин разгонки при 1473 К (45 мии в сухом кислороде, затем 30 мин во влажном кислороде) поверхностная концентрация бора уменьшается примерно на 50% относительно того ее значения, если бы перераспределение отсутство- вало. На практике эффект накопления фосфора на поверхности кремния у грани- цы Si—SiO2 незначителен и им пренебрегают. Отклонения при диффузии бора в кремнии. Диффузия бора в кремнии изотропна, когда она производится в инертной среде (в азоте). В окисляющей среде значение коэффициента диффузии бора выше обычного и является функ- цией ориентации. Наибольшее значение наблюдается для кремния с ориента- цией <100> и несколько ниже для ориентации <111>. На рис. 4.25 изобра- жены кривые £>1 = О,°+£><+ для окисляющей (штриховая линия) и инертной среды. Анизотропия диффузии бора в окисляющей атмосфере объясняется следую- щим образом. Известно, что движение бора в кремнии определяется взаимо- 167
действием между примесными атомами и вакансиями Уо и V+. Кроме того, значительная часть бора диффундирует по эстафетному механизму При этом атом бора выталкивает один из ближайших соседних атомов кремния в межуз- лие и занимает образовавшуюся вакансию При определенной температуре диф- фузии существует равновесная концентрация атомов кремния в междоузлиях. Если диффузия происходит в неокисляюшейся атмосфере, то рассматривается взаимодействие атомов бора с межузельным кремнием. Сложнее, когда диффу- зия и окисление происходят одновременно. Неполное окисление кремния иа границе Si—SiO2 приводит к формированию межузельных атомов кремния сверх равновесного значения Концентрация их зависит от скорости роста окис- ла ориентации кремния. Повышенная концентрация кремния в межузлиях при- водит к ускорению диффузии атомов бора. Если же диффузия производится в условиях быстрого окисления, то диффузия бора будет еще усиливаться. Отклонения при диффузии мышьяка в кремнии. Малый коэффициент диф- фузии мышьяка и резкий профиль легирования в кремнии делают его идеаль- ной примесью для изготовления мелких диффузионных слоев. Одиако при диффузии мышьяка наблюдается уменьшение проводимости ле- гированного мышьяком (при 1373...1473 К) диффузионного слоя, который по- следовательно подвергается воздействию температуры в диапазоне 773... 1173 К. Проводимость слоя может быть полностью восстановлена обработкой при вы- сокой температуре (1373... 1473 К). Следовательно, уменьшение проводимости слоя происходит не за счет испарения мышьяка из поверхности кремния. Воз- можно, что образуются кластеры As—As или формируются соединения SiAs2, которые электронейтральны. При диффузии в окислительной атмосфере иногда наблюдается ускорение диффузии мышьяка, что объясняется взаимодействием мышьяка с избыточными межузельными атомами кремния во время окисления. Но этот эффект выражен слабее по сравнению с диффузией атомов бора в окисляющей атмосфере. Отклонения при диффузии фосфора в кремнии. На рис. 4.26 изображено распределение общей концентрации электрически активного фосфора по глубине в кремнии. Особенностью профиля электрически активного фосфора является на- личие плоского участка вблизи поверхности изгиба кривой при концентрации примерно 1020 см-3 и обширной «хвостовой» области, следующей за точкой изгиба. Эмпирическое уравнение, связывающее концентрацию электрически ак- тивного фосфора п, с общей концентрацией Ntoi, следующее: Wtoi=fi«+2,04- 10-41ns, для значений Ntot от 1019 до 1021 см-3. Рис. 4.26. Распределение фосфора по глубине в кремний Рис. 4.27. Идеализированный про- филь легирования фосфором 168
Идеализированный профиль легирования фосфора изображен на рис, 4 27. Профиль характеризуется поверхностной концентрацией п,, изгибом при пе и «хвостовой» областью, следующей за этой точкой. Такой профиль объясняется сильным взаимодействием фосфора с вакансиями в решетке кремния Р(1—Vе), р(1—V-) и Р(1—V2-), так, что коэффициент диффузии определяется из урав- нения О=Л [DP+Di-(п/т)+йг~ (п/т)2]. При высокой концентрации преобладает член, обусловленный взаимодейст- вием Р(1—V2-). Так как в этой области концентрация существенно не меня- ется, то фактор усиления полем приравнивают к единице, коэффициент диф- фузии дают уравнением Di =» Di2~(n/ni)2, где D<2-=44,2e-‘-37/w. Профиль легирования, полученный для высоких поверхностных концентра- ций, когда коэффициент диффузии является функцией концентрации, очень рез- кий и изображен иа рис. 4.15, кривая Ь. Когда концентрация фосфора падает до значения пе, уровень Ферми в кремнии опускается ниже уровня V2- (0,11 эВ ниже края зоны проводимости) и пара вакансия — примесь диссо- циирует: P(Z-V2-)=^P(/-V-)+e-. Энергия связи пары Р(1—V-) примерно иа 0,3 эВ меньше, чем для пары Р(1—V2-), поэтому происходит дальнейшая диссоциация с образованием Р+ и V-. При этом образуется много вакансий, которые быстро перемещаются в глубь полупроводника. Коэффициент диффузии в этой области [IZ-1 Г и.З 1 Пхв=£>.°+£><-; D^DP+Dt- —- (1 + е°’3/кг) , (4.23) [V-]. [Пе2П{ J где О1с=3.55е_э'66/М’; Di-=4,44e-4-°/>‘T; п«=4,65- 102,ес-39/м'. Значения коэффициента диффузии, полученные экспериментально, хорошо согласуются с расчетными значениями из уравнения (4.23). Эффект эмиттериого выдавливания имеет место в биполярных транзисторах и состоит в самопроизвольном углублении области базы иа некоторую глуби- ну б. На рис. 4 28 изображено поперечное сечение такого транзистора На прак- тике параметр б изменяется обратно пропорционально толщине активной ба- зы xS6 и имеет значения 6=0,2..0,4 мкм для хЭб=2...0,5 мкм. Существует немало физических механизмов, объясняющих эффект эмиттер- ного выдавливания Наиболее приемлемое объяснение исходит из того факта, что ускорение диффузии имеет место, когда в эмиттерной области генерируются точечные дефекты, которые мигрируют иа значительные расстояния. Это явле- ние генерации вакансий при диссоциации пар Р(1—V2-) происходит при фор- мировании хвостовой области профиля легирования. Эффект эмиттериого выдавливания наблюдался и для диффузии мышьяка в эмиттерные области. Одиако он не так ярко выражен. Это связано с тем, что диффузия мышьяка в кремнии не сопровождается диссоциацией пар при- месь— вакансия и генерацией вакансий. Диссоциация пар Р(1—V2-) в области изгиба профиля легирования фосфора служит основным механизмом, повышаю- щим коэффициент диффузии бора под эмиттером во внутренней области базы. Одиако при концентрации фосфора выше 5-Ю20 см-3 разница между размерами атомов кремния и фосфора вызывает деформацию решетки (так называемую Рис. 4.28. Ускорение про- цесса диффузии под эмиттером биполярного транзистора 169
деформацию несоответствия) и снижает концентрацию пар Р(1—V2*) Такое уменьшение концентрации связано с эффектом сужения ширины запрещенной зоны. Совместное рассмотрение эффекта сужения ширины запрещенной зоны и диссоциации пар Р(1—V2-) позволяет объяснить эффект эмиттерного вытес- нения при хорошем совпадении теоретических расчетов с экспериментальными результатами. Отклонение при диффузии сурьмы в кремний. Явление замедления диффу- зии сурьмы в ходе окисления объясняется тем, что при диффузии и окислении существует термическое равновесие между вакансиями и межузельными ато- мами. Образование межузельных атомов на границе раздела кремний — окисел приводит к снижению концентраций вакансий и замедлению диффузии сурьмы, так как диффузия сурьмы происходит по механизму замещения. Отклонения при диффузии золота и пластины в кремний. Как было пока- зано ранее, коэффициент диффузии золота сильно зависит от присутствия де- фектов в кремнии. Золото прежде всего диффундирует в области подложки с высокой концентрацией дефектов, т. е. в области, в которых формируются ак- тивные области. Поэтому, хотя золото вводится в пластину с обратной стороны, его концентрация чаще выше с рабочей стороны пластины. Растворимость золота в кремнии для п- и p-типа проводимости возрастает из-за взаимодействия с вакансиями. Взаимодействие с вакансиями особенно вы- ражено при диффузии в кремний n-типа. Если растворимость золота в собст- венном кремнии обозначить [Au] j, a [Au]—в кремнии с концентрацией при- меси Ас, то [Au] = [Au], (Ad/п,) для Ао»п«. При обычных температурах диффузии п^Ю19 см-3. Таким образом, эффективность легирования золотом зависит от типа про- Рис. 4.29. Распределение примеси в легированных слоях p-типа кремния по закону erfcz Рис. 4.30. Распределение примеси в легированных слоях и-типа кремния по закону erfcz 170
Рис. 4.31. Зависимость удельного сопротивления однородно легирован- ного кремния от концентрации при- меси р,Ом см водимости материала, в котором зо- лото диффундирует. Движение золо- та в сильнолегированном фосфором кремнии замедляется из-за образова- ния стабильных соединений Ац2Рз. Для платины блокирования диффу- зии ие наблюдается, хотя во время диффузии платины также могут об- разовываться стабильные соединения платины и фосфора, платины и кремния. Распределение примеси в кремнии. В планарной технологии элементы при- боров и ИС формируются по базе диффузионных слоев с высокой и низкой конпеитрацией примеси. Профиль легирования слоев с низкой концентрацией можно точно описать либо функцией erfcz, либо ехр(—г2). Если диффузионный слой с высокой концентрацией прИмеси достаточно глубокий, то профиль ле- гирования примеси в таком слое также описывается функцией erfc z. На рис. 4.29 и 4.30 изображены кривые, рассчитанные иа ЭВМ, связывающие поверхност- ную концентрацию А», поверхностное сопротивление и глубину слоя х} для различных концентраций примеси в подложке и- и p-типа проводимости. Зависимость удельного сопротивления подложки от концентрации приме- си Nb изображена на рис. 4.31. Таблица 4.3. Соотношения между Ra, Xj и N, для слоев р-типа, легированных бором для высоких концентраций Параметр Диффузия из источника с постоянной концентрацией Имплантация с дозой Qo, ион/см2,и диффузия в течение t, с Xj, СМ / О,/у<2 2,45 /V. \ «i / Qo(O,4M.)-‘ А«, см-3 2,78- 10|7(Д,хД-> Di, см2/с 3,17e~3W*T 3,17е-з.б9/*г Таблица 4.4. Соотношения между Rs, Xj, N, для слоев п-тила, легированных мышьяком для высоких концентраций Параметр Диффузия из источника с постоянной концентрацией Имплантация с дозой Qo. ион'см2, и диффузия в течение, /, с X), СМ / Di-t 1/2 2,29 N, \ П.е / / „ О.-П1'2 21 Go ) V nie J Rs, Ом 1,561017(^Х;)-2 1,7-10'o/Qo7-9 N., см-3 — Qo (0.45х,)- 0,26- 101E(₽sx,)- 3/2 Di. см2/с 22,9е~‘,/*г 22,9e-4-'/*r 1П
Диффузионные слои с высокой концентрацией примеси. Профиль легирова- ния для слоев с высокой концентрацией примеси резкий Глубина слоя являет- ся величиной, относительно ие зависящей от концентрации в подложке. Бор. В табл. 4.3 приведены соотношения между Ra, х, и Ns (для слоев со средней подвижностью дырок 55 см2/В и поверхностной концентрацией свыше 5-Ю19 см-3). Эти соотношения получены для значений концентрации примеси в подложке не более 1% от поверхностной концентрации. Мышьяк. В табл. 4.4. приведены соотношения между Rs, Xj, N, для очень резкого профиля легирования с высокой концентрацией мышьяка. 4.5. Методы проведения диффузии Параметры диффузионного слоя определяются видом легирующей приме- си и ее концентрацией. Технологически сложным является воспроизводимое по- лучение поверхностных концентраций примесей ниже 1018 см-3 при сохранении высокой степени однородности поверхности, особенно на пластинах большого диаметра. Для получения воспроизводимой и регулируемой поверхностной кон- центрации примеси в полупроводниковой подложке используют: иаиесеиис диффузанта на пластины в ходе диффузии (внешний источник), при этом разрабатываются методы регулирования содержания соединения при- меси в атмосфере, окружающей полупроводниковые пластины во время диф- фузии; нанесение диффузанта на пластины кремния до диффузии, здесь роль игра- ет регулирование количества примеси, наносимой иа пластины перед диффузи- ей, и обеспечение однородности их поверхности. Основным требованием диффузионной системы является доставка диффун- дирующей примеси к поверхности подложки и проведение диффузии при опре- деленной температуре в течение определенного времени. Необходимо, чтобы система удовлетворяла следующим требованиям: а) воз- можность регулирования поверхностной концентрации примеси; б) отсутствие нарушений поверхности подложки при диффузии; в) возможность проведения процесса одновременно на большом количестве пластин. Источники примеси подразделяются на внешние источники и примесные покрытия. Внешние источники подразделяют иа газообразные, жидкие и твердые. Твердые источники имеют вид: порошка (помещаются в реактор в тигельках из кварца, алунда, платины); дисков (твердые планарные источники ТПИ), вырезанных из материала, содержащего примесь, как правило, в связанном виде (устанавливаются в лодочку параллельно размещенным вертикально крем- ниевым пластинам) (рис. 4.32). Примесными покрытиями, из которых осуществляется диффузия примеси, являются легированные окислы; предварительно легированные поликристалли- ческий или аморфный кремний и силициды таких тугоплавких металлов, как Рис. 4.32. Размещение твердых пла- нарных источников в кварцевую ло- дочку: / — лодочка; 2— источники; 3— кремние- вые пластины; 4 — испаряемое вещество молибден, титан, вольфрам; фоторе- зисты-диффузанты и высокомолеку- лярные полимеры, содержащие при- меси; бориды редкоземельных метал- лов. По мере поиска подходящих ма- териалов этот перечень может быть продолжен. Диффузия в запаянной ампуле (закрытой трубе). Осуществляется в запаянной и откачанной кварцевой трубе. После диффузии труба вскры- вается. Такая система свободна от загрязнений. В системе запаянной ампулы происходит термическое ис- парение источника диффузанта, пере- нос в газовой фазе, адсорбция атомов примеси иа поверхности полупровод- ника и стенках трубы и дуффузия 172
примеси в подложку. Возможно прилипание подложки, которое определяется количеством источника диффузанта Остаточное давление газа в ампуле должно быть примерно 1,33-102 Па. При давлении более 1,33-103 Па ограничивается перенос диффузанта прежде всего за счет покрытия поверхности чужеродными атомами, а при давлении меиее 1,33 Па длина свободного пробега для диф- фундирующей примеси превышает размеры ампулы, что приводит к неглубокой диффузии. Поверхностная концентрация примеси, полученная в системе запаянной ампулы, соответствует предельной растворимости примеси при температуре диффузии, так как источник бесконечен, для чего поверхность источника при- меси выбирается намного большей поверхности системы в состоянии равновесия, например используется гранулированный источник примеси. Продолжительность диффузии также должна быть значительной, чтобы и поверхность подложки, и стеики ампулы находились в равновесии. Поэтому такая система больше подходит для глубоких слоев и для поверхностных концентраций не ниже пре- дельной растворимости в кремнии. В качестве источников примеси для диффузии в ампулах пригодны как газы, так и жидкие и твердые тела. Для диффузии бора подходящими источни- ками являются трехфтористый бор BF3, трехокись бора В2О3. При диффузии фосфора в качестве внешнего источника можно использовать элементарный красный фосфор, пятиокись фосфора Р2О3, треххлористый фосфор РС13 или фосфин РН3. Можно также использовать измельченный в порошок кремний или SiO2, содержащий достаточное количество примеси, фосфид кремиия Диффузия в вакууме. Метод аналогичен методу запаянной ампулы, однако ампула из кварцевого стекла не отпаивается от вакуумной системы. В качестве внешнего источника в случае диффузии примеси p-типа по такому способу используется элементарный бор, смешанный с порошком SiO2, или порошок бориого ангидрида В2О3. Давление в реакторе составляет 1.33...1,33-10~г Па. Диффузию осуществляют также из непрерывных потоков газов с заданным давлением паров примеси, вводимых в вакуумную камеру. Диффузия в замкнутом объеме (бокс-метод). В основу метода положено предположение, что между окислом на поверхности пластины кремиия и на- ходящимся рядом с иим окислом SiO2, смешанным с примесями, устанавлива- ется стехиометрическое равновесие при нагревании их в замкнутом объеме (боксе). При испарении смеси примеси попадают в атмосферу бокса и затем адсорбируются окислом на поверхности кремния до тех пор, пока содержание примесей в этом окисле не станет равным их содержанию в смеси. Окисел иа поверхности кремния становится легирующим источником примесей, диффунди- рующих в кремний. Бокс для проведения диффузии должен быть закрыт достаточно плотно, чтобы не было большой утечки паров примеси, одиако при этом ои должен быть негермстичиым, чтобы обеспечить удаление влаги и поступление кислорода, так как образование окисла является существенным моментом при диффузии это- го типа. Процесс сопровождается колебанием скорости утечки, которое из- меняет параметры диффузионного слоя Установки для проведения диффузии в замкнутом боксе изображены иа рнс. 4.33—4.36. Для уплотнения двух ампул из кварцевого стекла используют платину. Платина достаточно инертна до 1473 К, легко очищается и дает хорошее уп- лотнение, уменьшающее скорость утечки до приемлемого уровня. Рис. 4.33. Кварцевый реак- тор для диффузии по блкс- методу: 1 — реактор; 2 — кварцевое стек- ло; 3 — платиновая полоска; 4 — платиновая лодочка; 5 — крем- ниевая подставка; 6 — кремни- евая пластина 173
Рис. 4 34 Кварцевый реактор для диффузии по бокс-метвду конструкции Тер- стона: 1 — платиновая фольга; 2 — вещество источника; в — реактор; 4 — образец Рис. 4 35. Кварцевый реактор для диффузии по бокс-методу с кварцевой крышкой: 1 — вытяжной зонт; 2 — улавливатель с раствором; 3 — улавливатель свободный, 4 — испарители с источником примеси; 5 —лодочка; 6 — кремниевые пластины, 7 — нагрева- тель печи; 8— заглушка; S — реактор; 10— крышка Рис. 4.36 Кварцевый ре- актор для проведения одновременной диффу- зии сурьмы и бора по бокс-методу: 1, 3 —- кварцевое стекло, 2 — платиновая фольга; 4 — кремниевый образец При проведении диффузии бокс-методом предпочтительнее те соединения, которые при температуре диффузии становятся жидкими, так как при этом улучшается воспроизводимость и однородность диффузии. Однако невозможно широко регулировать поверхностную концентрацию, потому что в жидком со- стоянии находятся высоколегированные источники. При диффузии фосфора, бора, сурьмы, как правило, предпочитают рабо- тать в той области, где поверхностная концентрация определяется предельной растворимостью, а не мощностью источника. Для диффузии бора источником служит окись бора В2О3 или борная кис- лота НзВО6, помещаемая в специальный алундовый тигелек. Для диффузии фосфора используются PsOs и смесь Р2О5 и СаО как высоколегированный жид- кий источник. Точка плавления технологической смеси (93% Р2О5, 75% СаО) составляет около 773 К. Кроме того, с помощью бокс-метода для проведения диффузии двух примесей в противоположных концах ампулы из кварцевого стекла помещают содержащие 3% Sb и 0,1% В, а в центре — кремниевые пла- стины, при подходящей комбинации времени и температуры можно получить на подложке n-типа п — р — «-структуру. Установка изображена иа рис. 4.36. 174
Качество промывки бокса инертным газом, степень предварительной очист- ки газа, количество и расположение источников определяют однородность ле- гирования, которая тем выше, чем ближе поверхностная концентрация примеси к предельной растворимости при температуре диффузии. Методы диффузии в боксах обеспечивают разброс не лучше ±10 20% по бору (при /?s=20...30 Ом/D) и ±3.10% по фосфору (при fts=1.5 Ом/D). Методы открытой трубы. Подложка помещается в открытую трубу из кварца. Для каждой примеси используют свою трубу и лодочку во избежание загрязнений различными примесями. Пластины вводятся в трубу с одного конца, а с другого подаются газы и примесь. Выходной конец трубы сообщается с атмосферой. Труба располагается по оси одного или двух нагревателей. Крем- ниевые пластины обычно помещаются в высокотемпературной части трубы; если используется внешний источник, то он располагается ближе к входу газа Твердый источник. При использовании внешних источников в ло- дочку из платины, алунда или кварца загружается источник примеси, и она размещается со стороны поступления газа-носителя, перед лодочкой с пласти- нами кремния (рис. 4.37). В процессе диффузии газ-носитель переносит пары от источника к поверх- ности пластины Чтобы отключить источник, его нужно передвинуть в более холодную зону печи Однородность легирования сильно зависит от давления паров в источнике. Чтобы регулировать давление паров, источник устанавли- вают при более низкой температуре, чем температура диффузйи. Для этого используют двухзонную печь. Тем ие менее часто источники и пластины разме- щают при одинаковой температуре. При использовании примесных покрытий исключается транспортирование паров диффузанта к подложке. Этот метод используется для изготовления пластин большого диаметра, где требуется точное управление диффузией. Осажденные твердые источники позволяют получать очень низкие поверхност- ные концентрации за счет подбора соответствующего соотношения примесь — связующее вещество. Поверхностная концентрация регулируется уже не пре- делом растворимости, а концентрацией примеси в покрытии. Этот метод по- зволяет получать низкие концентрации в одностадийном процессе, отпадает необходимость стадий загонки и разгонки примеси. Альтернативным методом является метод ионного легирования. Жидкий источник. Газ-носитель продувается через жидкость — ис- точник примеси и переносит пары от источника к поверхности. Если насытить этот газ парами примеси, то концентрация примеси в кремнии не будет за- висеть от потока газа. Поверхностная концентрация прежде всего зависит от температуры жидкого источника и диффузионной системы (рис. 4 38). Со- держание паров примеси в реакторе устанавливают регулированием потока газов через смеситель. Б качестве жидких источников используют галогены, которые уменьшают загрязнение системы тяжелыми металлами и способствуют формированию бездефектных областей, содержащих активные элементы. Одна- Рис. 4.37. Диффузионная система твердого источника: 1 — ротаметр: 2 — платиновая лодочка с источником; 3 — пластины; 4 — кварцевая труба Рис. 4.38. Диффузионная система жидкого источника: 1 — ротаметр; 2 — пластины в лодочке; 3 — кварцевая труба; 4 — жидкий источ- ник 175
Рис. 4.39. Диффузионная система газообразного источника: ко при этом возможно локальное рас- творение полупроводника, при котором его поверхность становится матовой. Образующиеся в процессе диффу- зии фосфора пленки фосфорио-силикат- ного стекла (ФСС) являются хорошим средством геттерирования примесей в полупроводниковой технологии. При тем- пературе осаждения ФСС создаются индуцированные диффузионные напря- жения впереди фронта диффузии. Эта область является стоком для металли- ческих примесей. Кроме того, ФСС слу- жит постоянной ловушкой этих загряз- / — ротаметр; 2 — пластины в лодочке; НвНИЙ, ТЭК ЧТО ОНИ Нв ПвреХОДЯТ В 3 —кварцевая труба; 4 — химическая ло- раствор ВО время охлаждения. вушка; 5 —газообразный источник Однородность и воспроизводимость легирования в рабочей зоне диффузи- онной печи определяются при постоянной температуре распределением в ней давления паров образующегося окисла примеси. Газообразный источник. Диффузионная система (рис. 4.39) сход- на с системой для жидкого источника с той разницей, что источником диффу- заита служит не поток газа-носителя, проходящего сквозь жидкий источник, а баллон, содержащий смесь РН3 (или В2Н6) и инертного газа, например аргона. В качестве газа-иосителя может использоваться азот в смеси с кислородом. В этом методе наблюдается увеличение поверхностного сопротивления по ходу течения газа, одиако ои позволяет получить малый разброс поверхностного сопротивления в широком интервале поверхностных концентраций. При слиш- ком малом содержании кислорода в газовой смеси могут образовываться труд- ноустранимые пленки. Импульсные методы проведения диффузии. Импульсная термическая или быстрая термическая обработка дет возможность получать приборы микрон- ных размеров, заменяя процессы, проводящиеся в печи: отжиг ионио-имплан- тированных слоев, диффузия примеси из примесных покрытий, окисление, фор- мирование силицидов, пассивация, сплавление омических контактов. Импульсная термическая обработка базируется иа использовании интен- сивного когерентного (лазерного) или некогерентного светового излучения, электронных и ионных пучков. В зависимости от длительности воздействия излучения различают три ре- жима отжига: адиабатический (<< 10_7 с), теплового потока (1=10~6...10~2 с), изотермический (f>-10_2 с). В качестве источников потоков нскогерентиого света при длительности процессов более 10-2 с применяются импульсные лампы в миллисекуидиом диапазоне длительностей, а также галогеиоиаполнениые лам- пы накаливания, ксеноновые дуговые лампы и графитовые нагреватели для се- кундных экспозиций. В зависимости от условий импульсного нагрева и в первую очередь от экспозиционной мощности излучения возможно проведение термообработки как в твердой фазе, так и с плавлением рекристаллизацией. Для современной тех- нологии изготовления ИС наибольший интерес представляет твердофазный режим, поскольку он хорошо согласуется с другими традиционными техноло- гическими операциями. При использовании многократного сканирования элект- ронного луча отсутствуют резкие температурные градиенты, приводящие к возникиовеиию термоустойчивых комплексов точечных и протяженных дефектов, улучшаются воспроизводимость и качество процесса отжига, появляется воз- можность контроля и регулирования диффузионного перераспределения примеси. Ускоренную диффузию в тлеющем разряде проводят при температуре газа не выше 573 К, а диффузию при низких температурах бомбардирующими иона- ми из плазмы, включая ионы легирующей примеси, подложки, успешно приме- няют для изготовления тонких диффузионных слоев XjCO. 1 мкм. Радиационно-стимулированиая диффузия. Диффузия примеси может усили- ваться и под влиянием облучения быстрыми частицами, поскольку диффузия в 176
твердых телах осуществляется в основном по дефектам кристаллической решетки, а облучение быстрыми частицами приводит к образованию таких дефектов Общее действие переноса примесей с помощью радиационно-стимулированиой диффузии при температурах от 77 до 400 К эквивалентно термической диффу- зии от 773 до 1573 К 4.6. Внешние источники примеси для кремния Для создания областей p-типа в кремнии используют алюминий, бор, гал- лий, иидий. Практическое значение для СБИС имеет только бор, так как индий образует относительно глубокий акцепторный уровень 0,16 эВ выше валент ной зоны, а алюминий и галлий не маскируются пленками двуокиси кремния На рис. 4.40 представлена предельная растворимость примесей в кремнии. Диффузия бора. У бора высокая предельная растворимость, его поверхност- ная концентрация может достигать 4-1020 см-3. Тетраэдрический радиус бора в кремнии 0,88-10-4 мкм, для кремния—1,17-10~4 мкм. Поэтому наличие большого числа атомов бора приводит к возникновению индуцированных ме- ханическими напряжениями дефектов, которые создают значительные повреж дения кристаллической решетки. Чтобы избежать этого эффекта, концентрацию бора не следует поднимать выше 5-Ю19 см-3. Элементарный бор ииертеи при температуре выше температуры плавления кремния. Следовательно, диффузия происходит за счет поверхностной реакции между окислом бора В2О3 крем- нием: 2B2O3+3Si^4B-f-3SiO2. (4.24) Избыточное количество бора ведет к образованию силицидов и других соеди- нений бора иа поверхности подложки. Этот тонкий скин-слой представляет собой диэлектрические, темные по цвету, пленки, часто являющиеся причиной брака приборов из-за высоких контактных сопротивлений. Скин-слой не раство- ряется ни в какой кислоте. Но окислением кремния во влажном кислороде или в парах воды можно перевести этот слой в боросиликатное стекло, которое растворяется в плавиковой кислоте. Гораздо легче предупредить образование этого слоя проведением диффузии в слабоокисляющей среде с объемной долей Рис. 4.40. Предельная раствори- мость примесей в кремнии Рис. 4.41. Фазовая диаграмма систе- мы SiO2—В2О3 12 Заказ № 1270 177
водорода 0,03..0,10. Это способствует формированию SiO2 и уменьшает веро- ятность образования скин-слоя. Фазовая диаграмма системы SiO2—В2О3 изобра- жена на рис. 4.41. Твердые источники диффузии бора в потоке газа. Наибо- лее распространенным внешним твердым источником диффузии бора в кремний является окись бора В2О3, а также борная кислота Н3ВО3. Бориая кислота разлагается при 473 К с образованием В2О3 и паров Н2О. Эффективное испа- рение В2О3 начинается с 1043...1073 К, а максимальная температура, до кото- рой обычно нагревают В2О3, составляет 1473 К. Наиболее часто используется интервал температур источника от 1223 до 1323 К. Источник диффузанта, со- стоящий из В2О3 или Н3ВО3, необходимо вводить в печь медленно, чтобы предотвратить вскипание и вытекание его из лодочки. Пролившаяся через край В2О3 превращается в дополнительный источник примеси, вплоть до последую- щей очистки трубы, если не предусмотрена какая-либо дополнительная защита. Элементарный металлический бор обычно непригоден для диффузии в по- токе газа из-за низкого давления его паров Если же ввести в поток газа не- большое количество водяного пара, то бор внешнего источника окисляется и тогда источником примеси служит образовавшийся окисел. Окись бора часто используется в двухзонных печах или в боке-методе, при этом обеспечивается разброс поверхностного сопротивления не лучше ±10...20% при /?,=2О...5О Ом/D. Твердые планарные источники (ТПИ) для диффузии бора создают в реак- ционной зоне пары окиси бора В2О3, молекулы которой диффундируют к по- верхности кремниевых пластин и взаимодействуют с кремнием по реакции (4.24). Из образующегося слоя боросиликатного стекла происходит диффузия бора в глубь кремния. Основными материалами для изготовления твердых планар- ных источников бора являются нитрид бора BN и В2О3 в связанном виде. Перед эксплуатацией ТПИ на основе BN окисляют с целью образования на его поверхности тонкого слоя В2О3, который при температурах диффузии (973... 1523 К) находится в жидком состоянии. Переход В2О3 в газовую фазу происходит в результате испарения слоев. Твердые планарные источники из материалов, содержащих В2О3 в связанном виде, выделяют ее при нагревании непосредственно в процессе диффузии. Предварительного окисления для таких ТПИ не требуется. Благодаря физико-химическим и механическим свойствам BN ТПИ на ос- нове BN отличаются стабильностью и длительным сроком службы. По мере испарения В2О3 производится периодическое окисление источников. При диффузии в газовой фазе возможно образование метаборной кислоты В2Оз+Н2О >2НВО2. Давление НВО2 на несколько порядков превышает дав- ление В2О3. Поскольку давление Н2О в диффузионной системе не ниже 30 Па, основным компонентом газовой фазы является НВО2, а не В2О3. Повышенное (по сравнению с равновесным давлением В2О3) содержание бора в газовой фазе, а также более высокие значения коэффициента диффузии НВО2 дают возможность получать близкие к предельной растворимости поверхностные кон- центрации. Поэтому в реактор контролируемо вводят пары Н2О, используют низкомолекулярные газы-иосители (Не, N2±He, Н2, Н2+Не), в которых моле- кулы примеси имеют более высокие коэффициенты диффузии. Для повышения однородности и воспроизводимости концентрации бора процесс диффузии бора из BN усложняют также кратковременной инжекцией в газовую среду водорода, который способствует образованию летучей мета- борной кислоты. Имеется ряд ограничений при использовании твердого источника этого ти- па. Первое: В2О3 часто образует на поверхности SiO2 труднорастворимую фа- зу SiB. Для предотвращения этого явления в газ-носитель вводятся неболь- шие добавки кислорода. Это приводит к неоднородности по поверхности, так как скорость окисления регулируется радиальным газовым потоком между пластинами. Другая проблема заключается в прилипании пластин BN и под- ложек к лодочке. Диффузия на ТПИ на основе BN в основном дает высокую концентрацию бора в кремнии, однородность легирования составляет ±5% на пластине и ±10% в партии. 178
Альтернативным применением BN является использование материалов, со- держащих смесь В2Оа и CaO, MgO, ВаО, А12О3 Все они имеют очень высокие энергии образования, так что в процессе диффузии эти соединения не преоб- разуются в элементарный барий, кальций, магний, алюминий Развитие технологии изготовления таких ТПИ шло по пути модификации состава с целью увеличения содержания В2О3, повышения термостойкости. По- лучены ТПИ диаметром до 100 мм при толщине 2. .2,5 мм для эксплуатации при температурах 1373...1473 К с высокой стабильностью диффузионных свойств (800 ч при температуре 1298 К). В технологии используют так называемый параллельный источник бора, ко- торый получается насыщением кварцевой пластины бором из В2О3. В процессе диффузии такой источник размещается горизонтально над пластинами. Разброс не выше ±2...3% на уровне /?s до 500...600 Ом/П. Твердые планарные источники из микропористых тугоплавких материа- лов, не являющихся окислами (А1В12, Ti2B, СгаВ4, МоВ2, МпВ4, Сг3Р, ВР и т. д.) или выбираемых из числа окислов (AIAsO4, YAsO4, MgAs2On, Ca6As20n, Ba6As2Olr и т. д.), служат источниками примесей п и p-типа в крем нин, обладают хорошими термомеханическими свойствами, имеют хорошую воспроизводимость поверхностного сопротивления в диапазоне 1123. .1298 К. Жидкие источники бора для диффузии в потоке газа. Триметилборат. Его реакция окисления следующая: 1173 К 2 (СНзОз) В -I- 9О2--В2О3+6СО2+9Н2О Давление паров источника регулируется его охлаждением, так как пары чрез вычайио летучи при комнатной температуре. Трехбромистый бор. Имеет реакцию окисления 4 В Вт®+ЗО2—*-2 В2Оз+6Вг2 ВВг — галогенный источник, так что его можно использовать для геттсрирова- ния металлических примесей в течение диффузии. Как и при использовании любого галогенного источника, имеется вероятность образования ямок травле- ния, если использовать завышенную концентрацию паров ВВг или если кон- центрация кислорода в потоке газа недостаточна Другим недостатком является возможность засорения системы образующейся В2О3 и разброс вследствие этого значений поверхностной концентрации. Газообразные источники бора для диффузии в потоке газа. Диборан. Используется разбавленным на 99% по объему. Реакция окисле- ния следующая: 573 К В2Н6+ЗО2----->В20з+ЗН2О Так как продуктом реакции является кроме В2О3 только вода, то травления Si не происходит. Для захвата неиспользованного газа на входе в трубу устанавливают ловушку с концентрированной соляной кислотой. Если вместо кислорода использовать углекислый газ (СО2), то на стадии загонки примеси при низких температурах процесса можно достичь высокой поверхностной концентрации бора В2Н6+6СО2—>-В2О3+6СО+ЗН2О Это происходит из-за того, что образуется меньше SiO2, которая либо препят- ствует переносу В2О3 к поверхности кремиия из атмосферы, либо захватывает атомарный бор, появляющийся в результате восстановления В2О3 кремнием. В диапазоне температур 1323...1523К получена поверхностная концентрация от Ю17 см-3 до предельной растворимости. Трихлорид бора. Реакция окисления следующая: 4ВС13+ЗО2—>-2В20з 4- 4С13 12* 179
Так как продуктом реакции является хлор, то возможно травление крем- ния На практике значительно сложнее получить равномерное легирование подложек по длине лодочки при использовании ВС13, чем ВВг3. Это обусловлено тем, что реакция окисления ВС13 имеет большую длительность 100 с), в то время как ВВг3 — относительно короткую (~3 с для аналогичных условий). Следовательно, весь ВВг3 быстрее превращается в В2О3. Диффузия фосфора. Фосфор имеет сравнимый с бором коэффициент диф- фузии. Тетраэдрический радиус фосфора 1,1 -10—4 мкм. На практике достигаются большие поверхностные концентрации фосфора ~ 1021 см-3 в кремнии, кон- центрация электрически активного фосфора 4-1020 см-3. Твердые источники фосфора для диффузии в потоке газа. В качестве внешнего источника используется безводная пятиокись фос- фора Р2О5. Она загружается в тигель из кварцевого стекла или платины и помещается в печь в область источника. Для получения максималь- ной поверхностной концентрации температура Р2О6 должна поддерживаться в интервале 488...573 К. При более высоких температурах Р2О6 испаряется слиш- ком быстро, и источник исчерпывается за короткое время. При более низких температурах результаты плохо воспроизводимы. При температуре диффузии происходит реакция 2P2O6+5Si’=h4P-f-5SiO2 (4.25) Применяются и другие соединения, содержащие фосфор. Одиако в итоге используется транспорт Р2О6 к поверхности кремниевой подложки. При взаимо- действии Р2О3 с SiO2 образуется фосфорно-силикатное стекло, которое является жидким при температуре диффузии. Другими внешними твердыми источниками, используемыми для диффузии фосфора, служат элементарный красный фосфор, одноосновной фосфат аммо- ния NH4H2PO4 и двухосновной фосфат аммония (NH4)2HPO4. Давление паров последних веществ таково, что требуются гораздо более высокие температуры источника (от 723 до 1173К), чем в случае Р2О6. Главным преимуществом фосфата аммония является меньшая, чем в случае Р2О3, чувствительность к влажности. Элементарный красный фосфор применяется редко. Давление его паров и состав непостоянны, что приводит к плохой воспроизводимости результатов, хотя он помогает получить более низкие поверхностные концентрации по сравнению с Р2О6. Твердые планарные источники диффузии фосфора при нагревании выделяют пятиокись фосфора P2Os в газовую фазу. Молекулы Р2О3 диффундируют к поверхности кремниевых пластин, в результате реакции (4.25) образуется слой фосфорно-силикатного стекла, из которого происходит диффу- зия фосфора в объем кремния. В качестве ТПИ фосфора используются нитрид фосфора, фосфид кремния или материалы, содержащие Р2О3 в связанном виде, которые выделяются при термическом разложении. Твердый планарный источник на основе нитрида фосфора характеризуется нестабильностью его свойств, низким сроком службы. Технологический процесс с их использованием требует предварительного окисления, или проведения диффузии в окислительной среде, что нивелирует основные преимущества ис- точников по сравнению с жидкими. Твердый планарный источник на основе фосфорно-силикатного стекла (ФСС)—пластины кварца, насыщенные Р2О6 путем диффузионного отжига в атмосфере диффузанта или методом ионно-лучевой обработки, пе имеют прак- тического значения ввиду крайне низкого срока службы источников и неста- бильности их параметров. Твердый планарный источник на основе метафосфата алюминия А12О3-ЗР2О5 имеет высокое содержание активной пятиокиси фосфора (до 50 мас.%), что обеспечивает длительный срок службы в несколько сотен часов. Для пластин диаметром 100 мм используют твердый планарный источник ТПДФ-100. На рис. 4.42 изображены зависимости поверхностного сопротив- ления R, и глубины диффузии Xj слоев, полученных диффузией фосфора в ат- 180
Рис. 4.42. Зависимость удельного поверхностного сопротивления R, (а) и глубины диффузии (б) от продолжительности диффузии для источников ТПДФ-100 мосфсре азота в интервале температур 1223... 1398 К. Оптимальное расстояние между ТПДФ-100 и пластинами составляет 4 мм. Разброс Rs по пластине ±7%. в партии ±10%, от процесса к процессу ±5,5%. Срок службы при температуре 1273 К составляет 250 ч, при температуре 1223 К — в два раза меньше. При температуре ниже 1223 К воспроизводимость Ra резко снижается. По этой причине затруднительно изготовление мелких диффузионных слоев. Низкий срок службы источников на основе метафосфата алюминия обус- ловлен низкой пористостью стеклокерамического ЛЬОз-ЗРаОз и постепенным снижением скорости разложения, так как расход Р20з из более глубоких слоев затруднен. Пирофосфат кремния S1P2O7 используется в ТПИ с инертным пассиви- рующим материалом двуокисью циркония 2гОг, так как скорость разложения SiPaOz очень высока. При нагревании S1P2O7 в области 623...673 К наблюдается фазовый переход, который сопровождается относительно большим изменением коэффициента теплового расширения материала источника. Поэтому во избе- жание нарушения ТПИ от термического удара кассеты с ТПИ и пластинами кремния загружаются в диффузионную печь в два этапа: сначала медленно подаются в зону с температурой 623 ..673 К, а затем в более нагретую зону (со скоростью до 20 см/мин для пластин диаметром 50 мм). Из-за быстрого истощения (время жизни при температуре 273 К не более 260 ч), например, источник РН-100 используют только при низких температурах (1223... 1323 К)- Однородность легирования составляет ±5%, а воспроизводимость в партии ±10%. На рис. 4.43 и 4.44 приведены значения поверхностного сопротивления для различных источников на основе пирофосфата кремния. Твердые источники на основе метафосфата алюминия и пирофосфата крем- ния могут эффективно использоваться для формирования диффузионных слоев с высокой поверхностной концентрацией Ю'^.ЛО20 см-3. Получение поверхност- ных концентраций ниже 1019 см-3 связано с необходимостью снижения темпера- туры диффузии. При этом давление Р2О6 в газовой фазе настолько падает, что резко возрастает влияние расстояния от источника до пластины кремния и скорости газового потока на характеристики диффузионных слоев и снижается воспроизводимость результатов. В качестве активного материала в ТПИ для низких температур использу- ются соединения R2O3 5P2O5, где Р2О5 — один из окислов Y2O3, La2O3, Се2О3. Для увеличения механической прочности и термостойкости ТПИ к активному 181
Рис. 4.43. Зависимость удельного по- верхностного сопротивления от продолжительности диффузии для источников типа PH-100 при темпе- ратурах диффузии 1123 (7), 1173 (2), 1223 (3), 1274 К (4) в атмосфе- ре азота Рис. 4.44. Зависимость глубины диф- фузии X) от продолжительности диффузии на основе SiP2O? при тем- пературе диффузии 1373 (/), 1323 (2), 1273 (3), 1223 К (4) Рис. 4.45. Зависимость удельного поверх- ностного сопротивления кремния Rs от температуры при продолжительности диф- фузии 30 мин для ТПИ А1 (РО3)з (7), PH-1050 (2), РН-1000 (3), La2O3-5P2O6 (4), Се2О3-5Р2О6 (5), Y2O3-5P2O6 (6) материалу добавляют инертный наполни- тель в виде кварцевых или алюмосиликат- ных нитей диаметром до 500 мкм и дли- ной до 10 мкм, а также порошок диокси- да кремния в количестве до 20 мае.%. Измерение удельного сопротивления на пластинах кремния с р=4...1О Ом-см по- сле диффузии с использованием источника на основе R2Oa-5P2O6 (рис. 4.45) показа- ло, что давление Р2Оа, создаваемое источ- ником, зависит в первую очередь от его активного материала и по степени его возрастания эти материалы располага- ются в следующем порядке: La2O3-5P2O6, Се2О3-5Р2О6, Y20a-5P20a. Чистый фосфид кремния, спрессованный в виде пластин диаметром 60 и толщиной 2...3 мм, используется для легирования кремния фосфором в диапа- зоне температур 1223...1323 К- Хотя Rs не зависит от состава газовой среды, ио наименьший разброс достигается при соотношении кислорода и азота 8 и 45 л/ч соответственно. Продолжительность диффузии ~30 мин позволяет достичь предельной растворимости при рабочей температуре. Высокая воспро- изводимость Rs достигается при температуре 1323 К, ио в этих условиях быстро разрушается сам источник. Жидкие источники диффузии фосфора в потоке газа. Наиболее часто применяют оксихлорид фосфора РОС13 при температуре 182
питателя источника 273,..313 К. На стадии загонки используется окисляющая смесь, в результате образуется Р2О3 в определенной точке системы перед зоной диффузии. Реакция образования Р2О5 следующая: 4POCI3+3O2—>-2Р2Оо4- 12С12 Присутствие кислорода на стадии загонки предотвращает подтравливание по- верхности галогеном, особенно при высоких концентрациях РОС13 в смеси. Жид- кий источник дает возможность с высокой точностью регулировать поверхност- ную концентрацию температурой питателя с источником. Альтернативным жид- ким источником является трибромид фосфора РВг3. Он обладает превосходными геттерирующими свойствами по сравнению с РОС13. Подходящая темпе- ратура питателя источника РВг3 443 К При высоких температурах и сравни- тельно низких концентрациях РОС13 (0 2 ..0,3%) получаются низкие значения Ra и результаты наиболее воспроизводимы. При малых концентрациях РОС13 (по- рядка 0,02%) и низких температурах имеется возможность получать R, поряд- ка нескольких сотен ом на квадрат. Газообразные источники диффузии в потоке газа. Наи- более часто используется фосфин в разбавленном виде с 99,9% аргона или азота. Применяется слабоокислительный газ-носитель 2РН3 + 4О2—>-Р2О5 + ЗН2О Как и в других источниках, P2Os доставляется к подложке. Возможность раз- бавления газообразного фосфина обеспечивает точный способ регулирования локальной концентрации Р2О6, в то время как при использовании твердых и жидких источников диффузанта такое регулирование обычно не осуществляется. Одной из главных причин, затрудняющих регулирование концентрации, яв- ляется влияние самой трубы из кварцевого стекла, которая поглощает некото- рое количество Р2О5 из газа-носителя в течение каждого процесса диффузия и является дополнительным наряду с фосфином источником примеси. Диффузия из фосфина позволяет воспроизводимо получать Д, от 0,2 до 200 Ом/Q в диа- пазоне температур 1173...1473 К, а при более низкой температуре (1023 К) и повышенном (50%) содержании кислорода можно получить Ra около 1000Ом/О. Диффузия мышьяка и сурьмы. Коэффициент диффузии мышьяка на поря- док меньше бора и фосфора. Следовательно, его целесообразно использовать тогда, когда требуется, чтобы примесь была неподвижна иа последующих вы- сокотемпературных операциях или для изготовления слоев с резким профилем легирования. Тетраэдрический радиус мышьяка идентичен кремнию, так что его можно вводить в больших концентрациях без искажений решетки. Максимальная кон- центрация электричесии активного мышьяка составляет 4-1020 см-3. Диффузия мышьяка обычно происходит по реакции трехокиси мышьяка As2O3 с кремнием 2As2O34-3Si 3SiO2+4 As Если используется твердый источник As2O3, то, чтобы получить подходящее давление паров, источник нагревается до 423...523 К. При проведении процесса окисел транспортируется в зону диффузии инертным газом, так как мышьяк очень сильно маскируется окислом, образующимся на поверхности кремния. Тем ие менее часто добавляют 0,5% О2, чтобы предотвратить образование пятен за счет накопления мышьяка на границе окисел — кремний. Поверхност- ная концентрация, полученная методом открытой трубы из твердого источника, не превышает (2...3) -1019 см-3 из-за быстрого обеднения легированного слоя за счет его испарения из кремния во время диффузии. Успешно используются системы с газообразным мышьяком. Они более удобны, чем системы, использующие As, но ие дают поверхностную концентра- цию выше 3-1019 см-3. Метод запаянной ампулы также применяется для диффузии мышьяка. Крем- ниевые пластины помещаются в кварцевую трубу вместе с источником мышья- ка, который состоит из легированного кремниевого порошка, содержащего 3% мышьяка. Трубу отжигают некоторое время при высокой температуре для уда- 183
Рис. 4.46. Фазовая диаграмма системы мышьяк — кремний ления паров воды перед откачкой и запайкой. После диффузии труба вскры- вается. Метод отпаянной ампулы по сравнению с открытой трубой для диффузии As имеет следующие преимущества: нет обеднения мышьяка на поверхности кремиия и достигается предельная концентрация (~1021 см~а; однородность поверхностной концентрации от пластины к пластине лучше 2%, так как си- стема закрыта. Ионная имплантация также используется для загонки As. Имплантация ионов высокой энергии производится глубоко в пластину, чтобы уменьшить обеднение поверхности при разгонке мышьяком. Фазовая диаграмма системы мышьяк — кремний приведена иа рис. 4.46. Сурьма часто используется вместо мышьяка, поскольку у них близкие коэф- фициенты диффузии. Тетраэдрический ковалентный радиус Sb 1,36-10—* мкм, и поэтому концентрация электрически активной Sb ограничивается значением (2...5) • 1019 см-3. Однако сурьма имеет более низкое давление паров, чем мышь- як, так что такую поверхностную концентрацию получают в открытой трубе. В качестве источника сурьмы чаще всего используют трехокись Sb2O3, ко- торая является твердым источником. Используется двухзонная труба, Sb2O3 размещается при температуре 873 ..923 К. В некоторых системах используют четырехокись Sb2O4 при температуре 1173 К. В этом случае следует применять газы, в которых полностью отсутству- ет кислород, так как сурьма относительно легко маскируется слоем SiO2 очень малой толщины на поверхности пластины. Системы с жидкими источниками используют пентахлорид сурьмы Sb3Cl5. В результате трехокись доставляется к поверхности кремния, откуда идет диф- фузия. Стибин SbH3 трудно использовать в системах с газовыми источниками, так как ои нестабилен. Широкое применение находят также легированные сурь- мой и мышьяком окислы, осажденные из раствора или пиролитические. Твердые планарные источники мышьяка и сурьмы. ТПИ, содержащие мышьяк, получают либо нанесением на графитовую подложку стекла, содержащего мышьяк, либо пропиткой пористого огнеупорного диска окислами. Пропиткой диска Sb2O4 получают ТПИ сурьмы. Первый метод имеет те же недостатки, что и ТПИ на основе фосфорво-силикатного стекла. 184
Режим и результаты диффузии Sb и As в кремний p-типа из дисков, про- питанных окислами, приведены в табл. 4.5. Таблица 4.5. Режимы и результаты диффузии сурьмы и мышьяка в кремнии р-типа Источник Удельное электриче- ское сопро- тивление, Ом-см Темпера- тура диффузии, К Время днффу- ЗИИ, МИИ Удельное электри- ческое поверх- ностное сопротив- ление. Ом/О ЗЬгОф 4700 1300 70 44 3600 1493 45 12 АзгОв 4300 1300 70 41 5000 1408 50 11 Твердые планарные источники для диффузии As получают пропиткой по- ристой основы тугоплавкими материалами CaeAsjOn, Ba6As20u и т. д. Другой способ изготовления нечувствительного к влаге, прочного и пригодного для многократного использования ТПИ заключается в том, что на обе стороны подложки из Si или SiO2 наносится слой толщиной 8...20 мкм, содержащий ли- гатуру, изготовленную по толстоплевочной технологии из порошка SiO2 и А120з (50...90%) и окисла одного из нескольких легирующих элементов Р, As, Sb и др. Порошок с дисперсностью 1 мкм смешивается с органическим раство- рителем и методом центрифугирования наносится на пластины, после чего спе- кается в течение 3...4 ч. Если диффузия проводится в инертной атмосфере, то требуется дополнительный отжиг в кислороде для его регенерации. Диффузия золота, платины и селена. Так как коэффициент диффузии золо- та иа 5 порядков больше, чем у бора и фосфора, то золото — обычно последняя примесь, которая вводится в микросхему. Иногда диффузию Au и разгонку эмиттера проводят одновременно. Рис. 4.47. Фазовая диаграмма системы золото — кремний 185
Рис. 4.48. Фазовая диаграмма системы платина — кремний Диффузия золота обычно выполняется из пленки золота толщиной 0,01 мкм, которая осаждается на кремнии в вакууме. Диффузия идет из жидкого сплава золото — кремний, что приводит к повреждению поверхности кремния на глу- бину в несколько микрометров. Поэтому золото осаждают на обратную сторону пластины. Концентрация примеси регулируется температурой 1073...1123 К, так как продолжительность диффузии (10...15 мин) более чем достаточна, чтобы золото продиффундировало на рабочую сторону. Для определения продолжи- тельности диффузии, подбираемой обычно опытным путем, используют, напри- мер, значение напряжения коллектор — эмиттер тестового транзистора в режиме насыщения. Процесс легирования золотом следует проводить строго определен- ное время, так как оно быстро диффундирует к поверхности пластины, где име- ется много дефектов. При изготовлении полупроводникового прибора диффузия золота является наиболее труднорегулируемым процессом. В отличие от золота результаты по диффузии платины из элементарного источника сильно варьируются, чаще всего используются примесные покрытия, например легированные окислы, нанесенные кистью или центрифугированием. Фазовые диаграммы систем Si — Au и Si — Pt приведены на рис. 4.47 и 4.48. С целью улучшения переключающих свойств транзистора применяют леги- рование кремния селеном. Время задержки п — р — «-транзистора, локально легированного селеном дозой 5-1015 см-2, на 5 порядков меньше, чем у обычных 186
транзисторов. Одиако при этом уменьшается коэффициент усиления транзистора (табл. 4.6), что связано с замедлением эмиттериой и ускорением базовой диффузии. Таблица 4.6. Параметры транзисторов, легированных селеном О Доза селена, см х Коэффициент усиления hFE- 1с •= 100 мкА. 1/С£-5 В Напряжение, В, BVCE„ ПРИ 1с = 100 мкА Концентрация селена, см“3 0 (обычный транзи- 190 59 1,5-10'5 crop) 1 -1016 87 62 1,8-Ю15 2 Ю'5 74 62 2-Ю15 510'5 42 53 2,9 IO'9 Введение селена в кремний создает несколько глубоких центров в запре- щенной зоне. Некоторые из них являются изолированными двойными донорами. В нейтральном состоянии эти доноры с энергией 0,23 эВ имеют большую ско- рость захвата. Селен также увеличивает энергию других уровней в запрещен- ной зоне и может изменять таким образом плотность свободных носителей в кремнии. Диффузия алюминия. Высокое значение коэффициента диффузии алюминия позволяет использовать его для изготовления глубоких диффузионных слоев в мощных приборах. Тетраэдрический ковалентный радиус алюмивия 1,26-Ю-4 мкм, максимальная предельная растворимость алюминия в кремнии 2-1019 см-3. Фазовая диаграмма системы Л1—Si представлена на рис. 4.49. При проведении диффузии алюминия из газовой фазы необходимо учиты- вать большую химическую активность чистого алюминия, который покрывается плотной окисной пленкой, затрудняющей сублимацию. Наиболее часто исполь- зуют метод открытой трубы, где диффузию алюминия проводят в потоке азота или аргона, или метод запаянной ампулы, причем ампулу предварительно от- качивают либо заполняют аргоном. Алюминий взаимодействует с кварцем, поэтому при проведении диффузии в кварцевых ампулах парциальное давление его уменьшается, а насытить ам- пулу алюминием за один цикл невозможно. Поэтому при температуре 1373..1623 К поверхностная концентрация атомов алюминия в полупроводнико- вых материалах не превышает 1017 см-3. Если диффузию проводить в алундо- вых контейнерах или керамических трубах, то при температурах 473 К поверх- ностная концентрация алюминия может достигать 2,7-1019 см-3. Кроме эле- ментарного алюминия в качестве диффузанта иногда используется сплав алюминия с кремнием эвтектического состава (82,3% Л1 и 12,7% Si), а также основания и соли алюминия А1(ОН)3; А1(МОз)2 и A12(SO4)3. Хорошие результаты по распределению концентрации диффундирующей при- меси получают, если алюминий предварительно наносят иа поверхность полу- проводниковой пластины распылением в вакууме при температуре подложки 673 773 К. Диффузия алюминия из легированных окислов и фоторезисторов диффузан- тов не дает поверхностных концентраций, близких к предельной растворимости, из-за образования в результате протекания на поверхности кремния химических реакций пленки SiO2, препятствующей попаданию на кремний атомов А1. Диффузия галлия. Галлий все чаще используется для изготовления p-обла- стей кремниевых мощных приборов. Тетраэдрический ковалентный радиус галлия составляет 1,36 10-4 мкм Его максимальная предельная растворимость в кремнии 410'9 см-3. Диффузию 187
Массовые доли Si. % Рис. 4.49. Фазовая диаграмма системы алюминий — кремний: / — Xj — 1.7Б мкм; 2— исходный кремний КЭФ-5 (ГДИф —1423 К, —15Б0 ом/d, Xj — — 1,3 мкм) галлия проводят методом открытой трубы или методом запаянной ампулы в вакууме из легированных окислов. Материалом для диффузии галлия из внеш- него источника является окись галлия Ga2O3, сплав галлия или чистый галлий. Галлий может диффундировать из Ga2O3, если в качестве газа-носителя ис- пользовать восстанавливающий газ, например водород или окись углерода: Са20з+2Н2.—»-Ga2O+2H2O; GaO3+2СО—-*Ga2O+2СО. Ga2O летуч, и именно его пары переносятся к кремнию. В азоте, аргоне, кис- лороде не происходит химического восстановления, поэтому отсутствует и пере- нос галлия. Присутствие паров воды в Н2 или СО препятствует диффузии галлия. Уве- личивая концентрацию паров воды, уменьшают поверхностную концентрацию галлия в слое. При проведении диффузии галлия по методу открытой трубы в потоке азота или аргона (400 см3/мин) в кварцевой или керамической трубе получена поверхностная концентрация галлия в кремнии при температуре 1404...1531 К 1,1 1017.. 4,4 -1016 см-3. В качестве диффузанта здесь используют навеску из элементарного галлия 99,999% чистоты. Диффузия галлия по мето- ду запаянной ампулы, дает поверхностные концентрации 1,4-1О19...2,1 -1020 см-3. 188
4.7. Примесные покрытия Диффузия примеси из примесных покрытий, предварительно сформирован- ных на поверхности пластины при низких температурах, позволяет избежать многих недостатков, присущих внешним источникам (необходимость в проведе- нии двухстадийных процессов для достижения более низких, чем предельная растворимость, поверхностных концентраций; необходимость термостатировать жидкие источники, низкая летучесть и гигроскопичность твердых источников — окнслов легирующей примеси; трудности, связанные с насыщением кварцевых труб окислами бора и фосфора, при использовании газообразных источников; вероятность травления поверхности жидкими галогенами во время диффузии). Это во многом обусловлено тем, что отсутствует необходимость однородного переноса паров диффузаита к подложке. Поверхностная концентрация примеси контролируется не предельной растворимостью в кремнии, а концентрацией при- меси в окисле. Кроме того, некоторые примесные покрытия (например, легиро- ванный окисел) можно легировать по крайней мере двумя примесями: при- месью-компенсатором и примесью-диффузантом. Примесь-компенсатор (сурьма, олово, германий) выбирается с таким тетраэдрическим ковалентным радиусом, чтобы при одновременной (или предварительной) диффузии скомпенсировать механические напряжения в кристаллической решетке полупроводника (Si), возникающие при введении больших до предела растворимости концентраций диффузаита (бора, фосфора), противоположными по знаку механическими на- пряжениями, создаваемыми примесью-компенсатором, и обеспечить получение структурно совершенных диффузионных слоев. Для аналогичных целей могут использоваться пары фосфор — германий, бор — германий, а также мышьяк как добавка для бора и для фосфора. Легированные окислы как источник примеси. Практическое использование пленок диэлектриков, осажденных из растворов гидролизующихся соединений, началось в конце 30-х годов и было связано с получением просветляющих по- крытий в оптике и различных электроизоляционных покрытий в электротехнике. Используют различные окисные пленки, содержащие или ие содержащие ма- лые количества SiO2, А120з, Fe2O3, SnO2, TiO2, Та2О5, SbO4, 7пгО6. Для осаж- дения таких пленок из растворов используют соответствующие химические со- единения, содержащие небольшие добавки тетраэтоксисилана (Si (ОС2Н5)4) или ие содержащие его вовсе. Тетраэтоксисилаи — наиболее доступное соединение, давно известное и широко применяемое во всех отраслях техники. Тетраэтокси- силан является основой для формирования SiO2. В качестве легирующих добавок в такие композиции вводят B2Os, Р2Оь POCI3, элемевтоорганические соединения прнмеси (триэтоксибор, триметнлборат, триметилфосфат, ацетилацетоиат галлия [GaCi0Hi4O4]NO3-4H2O, борную кис- лоту, ортофосфорпую кислоту, треххлористую сурьму). Растворы, из которых осаждаются силикатные пленки, примерно на 80% состоят из органических веществ. Одиако уровень загрязнений ионами Fe, Р, Mg, Си, Cr, А1 в иих не выше, чем в ВВг3, РС13, В2ОЭ, РОС1з, т. е. не превы- шает 210~4 масс. %. Содержание щелочных металлов в термообработанных пленках и различ- ных легированных пленках в среднем 5-10м см-2, при уровне загрязнений по- верхности исходных пластин 51013 см-2. Теоретически метод растворного осаждения ие должен давать углеродо- содержащие пленки, так как формирование пленки происходит в результате гидролиза тетраэтоксисилава и поликонденсации продуктов гидролиза Обнаружено, что концентрация углерода не превышает 0,01 масс.%, или 1019 см-3 в пленке SiO2, осажденной из растворной композиции К-20Т. При диффузии уровень легирования углеродом не превышает 5-1017 см-3, т. е. яв- ляется таким же, как в исходной эпитаксиальной структуре. Одиако наличие углерода не является отрицательным фактором, его содержание (примерно 10,в см-3) способствует уменьшению токов утечки переходов. Наличие редкоземельных металлов (РЗМ) в пленке улучшает характеристи- ки плевок. В табл. 4.7 приведены значения плотности поверхностных состояний N,,, удельной емкости Суд, электрической прочности Еп, удельного сопротивления р, 189
Т а б лица 4.7. Характеристики легированных окислов, содержащих редкоземельные металлы Редкоземельные металлы М;Оз • В;Оз : SiO2 X ю-и, м-2 f О X о е X CQ X е •Ц а х ? с 2 'о С О/Ж м!/с Гольмий технической 2:16: 82 8,7 4,2 2,5 12,0 1,451 11,0 ЧИСТОТЫ 5; 16:79 8,2 6.5 5,4 5,6 1,507 5,7 10:16:74 7,9 6,8 6,1 2,1 1,676 1,0 Гольмий сублимиро- 2: 16 : 82 6,5 3,1 12,3 24,0 1,480 4,5 ванный 5: 16 : 79 6,0 3,6 22,0 7,1 1,507 1,6 10 : 16:74 5,8 3,6 25,1 6,2 1,773 0,88 Самарий технической 2:16 : 82 8,2 5,9 5,9 15,5 1,506 3,8 ЧИСТОТЫ 5 : 16 :79 8,1 6,8 8,9 7,2 1,631 1,1 10: 16:74 7,7 7,2 9,5 4,4 1,826 0,9 Самарий сублимиро- 2: 16 : 82 5,3 6,2 31,7 38,1 1,492 1,5 ванный 5:16:79 4,0 6,5 39,0 10,8 1,504 0,81 10 : 16 : 74 4,6 6,5 41,5 7,7 1,825 0,16 Пленка без РЗМ 16:84 8,7 4,8 1,8 89,5 1,432 — показателя преломления или легированных бором пленок, осажденных из рас- твора Причина улучшения характеристик пленок с сублимированными РЗМ за- ключается в уменьшении содержания кислорода, углерода, фосфора, магния, ванадия, которые нарушают стехиометрию и ухудшают характеристики стекло- видной плевки. Исследования проведены на пластинах монокристаллического кремния 5-10—2 Ом-м ориентации (111). Кроме того, диффузией РЗМ, напри- мер, иттербия в кремний из легированных окислов можно формировать мелкие р—«-переходы. Из методов получения легированных окислов выделяются две основные группы. 1. Получение пленок с участием кремниевой подложки, включая анодное окисление кремния в электролитах, содержащих растворимые соединения леги- рующего элемента. 2. Осаждение легированных окисиых пленок на полупроводниковые под- ложки из внешнего источника: методом газотранспортных реакций; пиролизом металлоргаиических соединений; реактивным распылением в окисляющей ат- мосфере; в гидридном процессе, т. е. осаждением из парогазовой фазы при совместном контролируемом окислении гидридов кремния и примесей-диффу- зантов; осаждением из растворов. В методе анодного окисления электролитом служит деионизованная вода или раствор KNO3 в п-метилацетамиде, этиленгликоле, в который добавляют вещества, содержащие соответствующие примеси, например 15%-ную пирофос- форную кислоту в тетрагидрофуриловом спирте для получения пленок окисла с фосфором. При осаждении из растворов используется раствор, представляющий собой смесь, например, кремпийорганического соединения (тетраэтоксисилапа), воды и органического растворителя, в которую вводятся вещества, содержащие эле- менты III, IV групп. В процессе созревания раствора в присутствии воды и катализатора происходит гидролиз, раствор приобретает пленкообразующие свойства. Затем этот раствор центрифугированием наносят иа пластину, кото- рую отжигают при температуре 873...1023 К для образования стекла. В методе газотранспортных реакций пленки SiOa, легированные бором, фос- фором, мышьяком, сурьмой, галлием, получаются в результате использования следующих химических реакций: 190
SiO2(TB) +4HF(ra3)=p»SiF4(ra3) + 2H2O(ra3) Р2О5(тв) + 10HF(ra3)=f±2PF5(ra3)+5H2O(ra3) В2О3(тв) +6НР(газ) <*2ВР3(газ) +ЗН2О (газ) При наличии температурных градиентов (кварцевая ампула размещается в двухзонной печи) эти обратимые реакции применяются для переноса твердых окислов SiO2, PSO6, В20б и т. п. из одной части системы в другую (к подложке) через летучие фториды в диапазоне 773...1073К, транспортным агентом являются пары HF. Мышьяк, сурьма и галлий вводятся в SiO2 через хлориды, так как фториды этих элементов в указанном диапазоне температур нелетучие. Подоб- ный перенос осуществляется в отпаянных кварцевых ампулах. Толщина слоев составляет десятки микрометров. Легированные пленки SiO2, содержащие бор и фосфор, получают осажде- нием при разложении металлоорганических соединений (алкоксисиланов, алкил- боратов и алкилфосфатов) в диапазоне температур 973...1073 К. Этим же мето- дом получают пленки SiO2, легированные алюминием из тетраэтилортосиликата и триизобутилалюминия в атмосфере кислорода при температуре 523.773 К. сурьмой из триэтилстибина Sb(C2Hs)3 при температурах 523 ..773 К в атмосфере азота, мышьяком из тетраэтоксисилана и AsCls. В гидридном методе используются гидриды кремния и легирующих при- месей (фосфин, диборан, арсин и др.). В качестве легирующих веществ также используются элементоорганические соединения и хлориды. Скорость осаждения пленок составляет 0,05...0,1 мкм при температуре 523...773 К. Преимущества и недостатки различных методов проанализированы в табл. 4.8. Окисиые пленки, полученные осаждением из растворов. Преимущество леги- рованных пленок, осаждаемых из растворов, заключается в том, что для осаж- дения пленок ие требуется сложного технологического оборудования, как, на- пример, для гидридного процесса или газотранспортных реакций. Осаждением из растворов получают окисные пленки практически всех эле- ментов высших валентностей в виде одвокомпонентного слоя (т. е. слоя окисла лишь одного элемента) или многокомпонентного. Методы получения пленок из растворов: погружение покрываемого образца в пленкообразующий раствор; слив плеикообразующего раствора (покрываемая деталь остается непо- движной, а жидкость сливается); распыление или пульверизация раствора; центрифугирование — вращение покрываемого образца, обеспечивающее равномерное распределение раствора по поверхности. Это наиболее распростра- ненный метод, позволяющий создавать относительно тонкослойные покрытия (0,1...0,3 мкм) на плоских образцах и пластинах с неглубоким рельефом по- верхности. Толстые силикатные покрытия (до 1 мкм и выше) могут быть по- лучены путем многократного нанесения — наслаивания с промежуточным про- гревом. В процессе термообработки окончательно формируются окисиые пленки, осаждаемые из растворов, т. е. при температурах до 473 К происходит полное удаление растворителей и органических остатков, при температуре до 773 К удаление основной части влаги, разложение неорганических солей и кислот, полная дегидратация и окончательное формирование окисных плевок, стекло- образование в многокомпонентных пленках происходит при температурах свы- ше 773 К. Газовая среда, в которой производится термообработка пленок, оказывает существенное влияние при температуре свыше 773 К- Термообработка в вакууме позволяет резко снизить температуру, необхо- димую для окончательного формирования пленок. Для полупроводниковых приборов применяют слои толщиной 0,08...0,3 мкм. Важнейшими факторами состава, влияющими на толщину пленок, являются: концентрация пленкообразующей компоненты (тетраэтоксисилана); содер- жание воды в растворе; содержание примесного соединения; вид органического растворителя. 191
Таблица 4.8. Анализ методов получения легированных окислов Метод получения Преимущества Анодное окисление 1. Легированный окисел образуется только в об- ласти незащищенного полупроводника, а это сокращает количество последующих операций маскирования и травле- ния Недостатки Пиролитическое раз- ложение металлоорга- нических соединений 1. Легированные пленки могут состоять из окис- лов двух или более эле- ментов Газотранспортные ре- акции 1. Возможность получать слои толщиной в десят- ки микрометров Осаждение окислов из газовой фазы при контролируемом окис- лении гидридов SiH4, РНз, В2Н6, AsH3 Формирование окис- лов из растворов 1. Низкие температуры осаждения (523...773 К) и высокие скорости осаждения (0,05... ...0,3 мкм/мин) 2. Совместим с получе- нием силановым мето- дом нитрида кремния Si3H7, исключающего ис- парение примеси из пленки 3. Возможность получе- ния бинарных (SiO2—В2О3*, SiO2—А12О3 и т. д.) и тройных (SiO2—СеО2—As2O3; SiO2—Р2С5—As2Os) пле- нок 1. Широкий диапазон концентраций легирую- щей примеси в пленках 2. Высокая точность до- зировки примеси 1. Невозможность получе- ния источников большой толщины 01,0 мкм) ДЛЯ проведения высокотемпера- турных диффузий 2. Невозможность получе- ния нелегироваиного окисла поверх легированного для защиты от испарения и обеднения источника 1. Вследствие высоких тем- ператур разложения, метал- лоорганических соединений возможно образование уг- леводородных соединений, загрязняющих окисел 2. Затруднение регулировки поверхностной концентра- ции примеси в пленке 1. Токсичность применяемой фтористоводородной кисло- ты 2. Большие затраты време- ни на запайку ампулы 3. Необходимость в двух- зонной печи для создания градиента температур 1. Токсичность исходных ма- териалов, высокая стои- мость 2. Необходимость в допол- нительном сложном и доро- гом оборудовании для осаждения легированных окислов 3. Зависимость поверхност- ной концентрации примесей и ее однородности от при- менения 4. Затруднение регулировки поверхностной концентрации примеси в пленке 1. Часто высокие темпера- туры термодеструкцин пле- нок (773...1073 К) 2. Возможность создания только тонкослойных по- крытий (<1,0 мкм) 192
Окончание табл. 4.8 —— Метод получения Преимущества Недостатки 3. Возможность введе- ния широкого ряда при- месей 4. Возможность форми- рования бездефектных легированных слоев в широком диапазоне кон- центраций и глубин 5. Низкая токсичность, отсутствие побочных ре- акций, возможность ис- пользования установок с упрощенной газовой схе- мой Осаждение слоев из раствора обеспечивает получение пленок с воспроиз- водимыми параметрами точно заданного состава при фиксированных условиях осаждения и термообработки. Если допустить, что испарение примеси при по- лучении пленки незначительное, то содержание примеси в пленке определяется концентрацией примесного соединения в исходном растворе. Однако в процессе приготовления смешанных плеикообразующих растворов могут образовываться легколетучие примесные вещества, испаряющиеся вместе с растворителями и приводящие к заметным потерям примеси в пленке. Потери примеси могут происходить и в процессах осаждения и термообработки пленок. При этом изменение состава пленки подчиняется следующим закономерностям: потери примеси за счет испарения при нагревании пленок до 973 К нахо- дятся в прямой зависимости от начальной концентрации примеси в пленке; при повышении температуры нагрева (выше 973 К) скорость испарения примесей увеличивается, зависимость потерь от начальной концентрации со- храняется; на скорость испарения примесей из пленок при термообработке заметное влияние оказывает газовая среда (воздух, азот, кислород). Пленки, полученные из растворов, применяются для различных целей; в ка- честве источника примеси при диффузии в полупроводник; для генерирования быстродиффуидирующих металлов из полупроводника; для маскирования при диффузии; для получения защитных и пассивирующих покрытий. Диффузия акцепторов из легированного окисла. При температуре диффузии иа границе раздела кремний — легированный окисел происходит реакция вос- становления элементарной акцепторной примеси из его окисла: M2O3+l,5Si =2M+l,55SiO2, (4.26) где М — акцепторная примесь; М20з — ее окисел. Поверхностная концентрация примеси в кремнии определяется уравнениями lg2VM = 1gW*M-l-(> lgnMsoa, lg JV*M = lg A’mV4kPlP м +«. где fiM.o —содержание окисла примеси в источнике; №м—предельная рас- творимость акцепторной примеси при температуре диффузии; Л'*м — некоторая фиктивная величина, к которой стремится поверхностная концентрация Л/м при пМг0-»-1; —константа равновесия реакции (4.26); р°м—давление насыщенного пара акцептора. Коэффициенты а и b приведены в табл. 4.9. 13 Заказ № 1270 193
Таблица 4.9. Коэффициенты а и b для расчета поверхностной концентрации акцепторов в кремнии при диффузии из легированных окислов Акцептор «М2О3~мас- доля, % а ь в 5...Э 0,27 0,73 5.7,7 0,06 0,24 А1 7,7..9 0,89 7,54 5.7,7 0,03 0,2 Ga 7.7...Э 0,5 4,24 Для бора и галлия А*м>№м, а для алюминия Это означа- ет, что при диффузии бора и галлия из легированного SiO2 можно достичь предельной растворимости этих примесей в кремнии, но ее нельзя достичь для алюминия. Малые значения коэффициентов а и b для галлия и алюминия при лМаО3 ^0,77 указывают на слабую зависимость поверхностной концентрации этих примесей от содержания М2О3 в легированном SiO2, что наблюдается экспериментально. Диффузия бора из боросиликатных пленок. Для случая, когда диффузион- ная длина бора в окисле меньше толщины пленки и при отсутствии барьерного слоя для растворных пленок с низкой концентрацией бора, зависимость W,=f(N0I) (рис. 4.50) почти линейка, а коэффициенты диффузии в пленке и кремнии не зависят от концентрации бора в пленке Линейный участок кривой для пиролитических пленок находится ниже, поскольку коэффициент диффузии бора в иих меньше. При больших концентрациях бора в пленке зависимость Л^»=/(Мм) уже нелинейна, причем вид этой зависимости изменяется с изме- Рис. 4.50. Зависимость поверхностной кон- центрации бора от его концентрации в пленке: /, 2, 3 — растворная пленка; 4 — пиролитическая пленка; £7) — 1523. (2) — 1493, (3) — 1473. (4) — 1323 К Рис. 4.51. Фазовая диаграмма систе- мы В2Ог—SiO2 для состояния устой- чивого равновесия S1O2 20 ЬО 60 SO В2О3 Молярные дело- В2О3,% 194
ием температуры. Начало нелинейной области соответствует области стекло- вания боросиликатного слоя. В этой области коэффициент диффузии бора D зависит от концентрации бора в пленке. При температурах выше температуры стеклования D резко увеличивается с ростом температуры и может превысить соответствующее зна- чение для твердого состояния на несколько порядков. Если необходимо иметь поверхностную концентрацию бора, близкую к предельной, то температура диф- фузионного отжига должна быть больше температуры стеклования боросили- катного стекла. Требуемое значение N, можно получить также, увеличив тол- щину пленки, например, двухразовым нанесением плеикообразующего раствора с промежуточной термообработкой. Воспроизводимость поверхностного сопротивления и составляет по разным источникам 1...10, 5...7%. Для проведения диффузии бора при температуре ни- же 1373 К должны приниматься специальные меры по удалению промежуточ- ного окисного слоя или по строгому контролю его толщины, так как этот тонкий окисный слой является барьером при диффузии бора в кремний, что может привести к эффекту «запаздывания» при диффузии бора в окислительной среде. При содержании В2О3 в пленке около 30% влияние тонкого барьерного слоя уменьшается за счет того, что он быстро проплавляется слоем легированного стекла, находящегося в расплавленном состоянии. При исследовании диффузии из растворных пленок иа основе тетраэтокси- силапа 20% В2О3 на воздухе при температуре 1523 К в течение 1...20 ч обнаруже- но, что уменьшение поверхностной концентрации бора в кремнии в течение 1 ...5 ч связано с истощением легированной пленки из-за испарения и образованием барь- ерного слоя SiO2 при взаимодействии с кислородом воздуха. Первоначально диффузия бора в кремний идет из бесконечного источника легированной пленки, затем из источника на поверхности кремния, сформированного первоначально, поэтому распределение подчиняется ехр(—z1 2). При содержании бора в пленке от 27 до 81 мае. % Д'., резко уменьшается в первые 0,5 ч диффузии, так как при содержании В2О3>20% стекла становятся жидкими (рис. 4.51), что уве- личивает вероятность испарения примеси. Коэффициент распределения (сегрегации) бора дается уравнением 1 1—5 lg ~ lgAlB*—Igc— —— IgA's, о b где а=0,27; 5=0,73; c—Niln в 0 ; Д=5,6-1020 см3 4 * * * В для температур 400...1600 К. Как следует из этого уравнения, температурная зависимость коэффициен- та распределения бора отсутствует. Диффузией бора из борофосфорносиликатного стекла, содержащего 4,5 и 4 вес. % бора и фосфора соответственно, получают также мелкие п — р-переходы. Диффузия алюминия из легированного окисла. Диффузия алюминия имеет ряд особенностей по сравнению с диффузией бора Известно, что алюминий не восстанавливается кремнием из высшего окисла подобно бору из В2О3. При высоких температурах существуют устойчивые низшие окислы (субокислы) алю- миния, которые имеют более высокие давления паров, чем А12О3. Так, в ин- тервале температур 1373...1973 К термодинамически устойчивым является суб- окисел А12О. Термодинамический расчет показал, что восстановление А12О кремнием до свободного состояния по реакции 2Al2O+Si = SiO2+4Al (4.27) гораздо более вероятно, чем восстановление А12О3. Кроме того, предполагается, что в реакциях восстановления может принимать участие и моноокись крем- ния SiO, которая является термодинамически устойчивой при температуре выше 1453 К: Al2O+SiO = SiO2 +2А1; (4.28) Al2O3+3SiO=3SiO2 +2А1. (4.29) В случае, если имеет место реакция (4.27), т. е. взаимодействие между вещест- вами, находящимися в различных фазах, то окисление кремния тормозит пере- 13* 195
нос A12O к зоне реакции и восстановление А12О прекращается, как только на кремнии вырастает некоторый критический слой окисла. Если идут реак- ции (4.28) и (4.29), то они проходят в газовой фазе и рост окисла на кремнии не препятствует реакции, а препятствует лишь попаданию А1 иа поверхность кремния В любом случае при диффузии А1 из А12О3 на воздухе после нара- стания пленки SiO2 в течение ~/0 поток атомов из источника прекращается. Поэтому распределение алюминия в диффузионном слое должно соответство- вать ехр(—г2). Восстановление алюминия из окисла, проведенное в атмосфере инертного газа, дает более высокие значения N, как из-за отсутствия SiO2 на поверхности кремния, так и вследствие более высокого давления паров А12О в неокисляющей атмосфере (поскольку равновесие А12О3=г^А12О+О2 в инертной атмосфере сдвигается вправо). Однако значение Na даже в инертной атмос- фере остается ниже предельной растворимости алюминия в кремнии, которая при температуре диффузии составляет примерно 2-Ю19 см-3. Для продолжи- тельности диффузии свыше /0 и лА|2о «0,2 мае. доли профиль алюминия в кремнии описывается следующими уравнениями: А(х, г/)/Л\(0)=ехр(—x2)Re W(z), (4.30) х=х/2/Dt- y^B./t- z=y+ix- Re W(z), где X=xf2)/Dt; y—l'^i/t; z=y+ix; ReW(z)—действительная часть интервала вероятности комплексного аргумента; pi — коэффициент из уравнения ln(R«Xj)~ 1=ро+р1/; ___ Л/(х, 0= exp ] • (4.31) л r t—*o 4D (t—/q) J Из рис. 4.50 видно, что Na ие зависит от времени при f<0,5 ч, при этом As=6,5-1017 см~3. Кривая 2 для t^to показывает, что зависимость N, от 1/|Y описывается прямой, что свидетельствует о распределении А1 в кремнии по экспоненте. Коэффициент диффузии алюминия в кремний при температуре 1523 К равен (3,01+0,11)-1011 см2/с. Диффузия галлия из легированного окисла. Исследование диффузии галлия нз растворных пленок с массовой долей иОаОз в интервале 1,5...68,1 при тем- пературах диффузии 1423, 1473, 1523 К в течение 1, 3 и 6 ч показывает, что в зависимости от температуры и содержания Ga2O3 в пленке распределение при- меси определяется либо функцией erfc г, либо ехр(—г2). Достигнута поверхностная концентрация галлия N, в кремнии с распреде- лением ’ ехр(—z2) Аа=1 • 1О18...1,8-1019 см-3, с распределением erfczAa= =2,5-1018...2,7-1019 см-8. При пСа2Оз >22,6 мае. долей однородность и вос- производимость поверхностной концентрации не превышает 3% и для всех температур и продолжительностей диффузии глубина диффузии не зависит от содержания Ga2O3 в пленке. В табл. 4.10 сравниваются значения коэффициента диффузии, полученные диффузией Ga и СагОз в запаянной ампуле и из пленки. Диффузия проводилась при температуре 1473 К в среде азота в течение 16 ч. Известны композиции, содержащие кроме галлия примесь бора Это дает возможность получать глубокие диффузионные слои в сочетании с высокой поверхностной концентрацией (Аа>1020 см-3). Диффузия фосфора из фосфорио-силикатных пленок. При температуре диф- фузии иа границе раздела кремний — легированный SiO2, фосфор последний восстанавливается в соответствии с реакцией (4.25). Расчет зависимости поверх- ностной концентрации фосфора в кремнии Ns от концентрации Р2О6 в легиро- ванном SiO2, однако, затруднен, так как при температурах диффузии Р2О5 и Р существуют только в газовой фазе и отсутствуют термодинамические данные для легированного фосфором SiO2. На рис. 4.52 приведена зависимость Л'а= —f(Nie) Для растворных и пиролитических фосфорно-силикатных пленок. Так 196
Таблица 4.10. Сравнение температурной зависимости коэффициента диффузии галлия ——— Источник Закон распределения примеси д г, к Э-гИЭ ‘"(7 DQ О) Т, К X 2.-х < и Са20з erfc 1378...1633 3,6 3,51 1423 1,35 (метод открытой тру- бы) erfc 1473...1523 0,454 3,25 1473 1523 1423 3,55 8,81 1,67 (из легированного окисла) ехр 1423...1523 3,0 3,4 1473 1523 1423 3,9 10,8 2,78 (из легированного окисла) 1473 1523 6,49 18,0 как !//?., = 1/У< для пленок с содержанием Р2О5 от 0,004 до 0,624 мае. доли, то легированные пленки считаются неограниченным источником при температуре 1420, 1470, 1520 К и продолжительности диффузии 15 мин и 4 ч. Так же как и для бора, при низких концентрацих фосфора в пленке и тем- пературе ниже 1373 К наблюдается запаздывание диффузии, связанное с на- личием иа поверхности тонкого барьерного окисла. При высоких концентра- циях фосфора в пленке и температурах диффузии выше температуры стекло- вания для данного состава коэффициент диффузии фосфора в кремний сильно зависит от его концентрации. В этом случае имеет место иелииейная зависи- мость Nt=f (Л'ю). На рис. 4.53 представлен рассчитанный профиль легирования кремния фос- фором. Для низких поверхностных концентраций наблюдается хорошее совпа- дение теории и эксперимента. Для высоких концентраций отклонения от erfc г Рис. 4.52. Зависимость поверхност- ной концентрации фосфора от его концентрации в пленке: 1— для растворимой пленки 7==1473 К, толщина пленки й—0,2 мкм. продолжи- тельность отжига Г —15 мии; 2 —для рас- творимой пленки. 7'= 1323 К. п=0,2 мкм, 7=30 мии; 3— для растворимой пленки, 7™ 1073 К. / = 1 ч Рис. 4.53. Профиль легирования кремния фосфором, полученный диф- фузией фосфора из осажденного окисла при 7=1373 К 197
обусловлены увеличением коэффициента диффузии фосфора в кремнии с уве- личением концентрации. Интенсивность испарения фосфора из пленки леги- рованного окисла при отжиге в открытой трубе больше, чем для бора. Таи же как и для бора, получить низкие поверхностные концентрации фосфора в крем- нии с хорошей воспроизводимостью затруднительно. Если разброс R, при Л'е=5-1017 см-3 составляет ±10%, то при Л',=5-1016 см-3 уже ±50%. Для получения низких поверхностных концентраций фосфора в кремнии на уровне 10|6..,10’7 см~э с хорошей воспроизводимостью по R„ следует проводить диф- фузию в две стадии, используя растворы с повышенной концентрацией фосфо- ра. Отметим также, что воспроизводимость значений R, улучшается, если диф- фузионный отжиг проводить в газовой среде состава N2: О2= 10 : 1 вместо чистого азота. Можно также снизить активность источника, добавляя в реактор водород, который восстанавливает Р20з до элементарного фосфора, имеющего очень низкий коэффициент диффузии в SiO2. Воспроизводимость Rs эмиттерного слоя, полученного диффузией фосфора из сильнолегироваиных пленок, была на уровне 2...5%. Для уменьшения эффекта выдавливания базы за счет уменьшения гене- рации дислокаций во время эмиттерной диффузии в кремний вводятся атомы мышьяка. При этом используется растворная фосфоросодержащая легирующая композиция с добавкой мышьяка. Диффузия сурьмы из легированных пленок окислов. Диффузия сурьмы ис- пользуется для изготовления скрытых п+-слоев в кремниевых ИС. При диффузии сурьмы из сильнолегированной пленки наблюдалось пре- кращение потока примеси в кремний после 150... 180 мин, при дальнейшем от- жиге не изменялось. На рис. 4.54 изображены зависимости R, и Xj от продолжительности диф- фузии сурьмы из силикатных пленок с различным содержанием Sb20a. При диффузии сурьмы различными методами наблюдается эффект кристаллизации. Мышьяково-силикатные пленки меиее склонны к кристаллизации, чем сурьмяно- силикатиые. В оптимальных условиях (при температуре 1393 К в атмосфере кислорода) достигнуты значения Rs = 10 Ом/D при xj=5 мкм (для раствора, содержаще- го 60% Sb20a), что значительно превышает параметры при диффузии сурьмы бокс-методом. Применение силикатных пленок, осажденных из растворов, в качестве за- щитных и диэлектрических покрытий. Тонкие стекловидные пленки, осаждаемые из растворов, обладают маскирующей способностью, высоким удельным электрическим сопротивлением, влагостойкостью и стабилизируют исходные па- раметры полупроводниковых приборов. Состав выбирается таким, чтобы коэф- фициент термического расширения покрытия был близок к коэффициенту тер- мического расширения проводника. В качестве защитных и диэлектрических покрытий используют многоком- понентные покрытия SiO2— В2О3—А12Оз—PbO; SiO2—В2О3—А120з— ZnO— Рис. 4.54. Зависимость поверхностного сопротивления R, (а) и глубины диф- фузии Xj (б) от продолжительности диффузии сурьмы с содержанием Sb2Os в пленке: 1, 8 — КСС-60-20 Т, 2 — КСС-30 20 Т 198
CaO—MgO. Для приготовления пленкообразующего раствора такого состава в качестве исходных веществ брали тетраэтоксисилаи и водные растворы азотнокислых солей Al, Zn, Са и Mg. Бор вводили в раствор в виде борной кислоты. Свинцово-силикатные пленки обладают хорошими защитными свойствами и предназначены для создания покрытий с повышенной твердостью к меха- ническим воздействиям. Фосфорно-силикатпые пленки (ФСС) с низким содержанием фосфора (до 8 мае. %) используются для межслойной изоляции в технологии СБИС, для сглаживания резких ступенек окисла на поверхности и исключения обрывов металлизации. Температура оплавления такого стекла ~1323 К может при- вести к нежелательной диффузии легирующей примеси. Ее уменьшают либо увеличением содержания фосфора, что может привести к коррозии металла, либо применением борофосфорно-силикатпого стекла BPSG с малым содер- жанием фосфора (4% бора и 4% фосфора). Температура оплавления BPSG составляет 1023...1223 К. Методу осаждения пленок BPSG из растворов отдается предпочтение пе- ред методами химического осаждения из паровой фазы из-за простоты обо- рудования, отсутствия агрессивных компонентов (диборан, фосфин, моносилан), лучшей планаризации поверхности. Для планаризации используют осажденные из растворов пленки, которые наносят на фотошаблоны или на фоторезист. Такие покрытия предохраняют фотошаблоны от преждевременного износа, снижают коэффициент отражения хромовых покрытий в 2...3 раза. Полимерные пленки как источник примеси. Для обеспечения равномерного нанесения иа поверхность, адгезии к кремниевым подложкам, отсутствия дырок, проколов при формировании пленки нашли применение элементоорганические полимеры, например растворы триметаксибороксана и метилтриметоксилана, тетраэтокси- и тетрабутоксистибина, тетраэтоксиалюминия. Одиако малая мо- лекулярная масса таких композиций обусловливает их малую вязкость, низ- кую адгезию к подложке, высокую летучесть. Эти недостатки устраняются использованием для формирования гомоген- ных высокооднородных покрытий — источников диффузии — высокомолекулярных систем, содержащих в структуре соединение легирующего элемента, например цианоэтиллированной целлюлозы, содержащей равномерно распределенные мел- кодисперсные частицы Р2О5, BN; полиметилметакрилат; полиметакрилат, где С,—С6 алкил включает метил, этил, л-пропил, i-пропил, i-бутил, sec-бутил, n-амил и т. д., в которых равномерно распределены мелкодисперсные (0,1...1 мкм) частицы BxSiy, BxNy, PxSiy, AsxSiy, SbxSiy; полимер, основой ко- торого являются цепочки колец типа бензольных, где кольца составлены чере- дующимися атомами бора и азота, часть атомов бора и азота может быть заменена группами органических радикалов. Фоторезисты-диффузанты. Для получения диффузионных слоев с высокой однородностью поверхностной концентрации примеси в состав фоторезистов вводят химические соединения легирующих элементов и используют такие фо- торезисты в качестве диффузантов. Наиболее приемлемыми для совмещения свойств фоторезиста и диффузанта в одном материале оказываются негативные фоторезисты па основе полимеров в светочувствительных диазилов. Концентрацию легирующей примеси в композиции регулируют, меняя со- держание элементорганического соединения в пленке или варьируя природу используемого в слое элементорганического соединения, изменяя тем самым структуру и состав сшитого фоторельефа. Низкие концентрации легирующей примеси получают, вводя легирующий элемент в мономерный фотоструктури- рующий компонент, содержание которого в композиции относительно мало (5...10% от массы эластомера). Фотоструктурирование фиксирует равномерное распределение светочувствительного компонента в пространственной сетке. В свою очередь, это обеспечивает равномерное распределение малого количества легирующего элемента в фоторельсфе. Высоколегированные диффузионные слои получают при использовании композиций, содержащих легирующий элемент в составе полимера или в обоих компонентах. При этом величину поверхностной концентрации в определенных пределах (от максимального до относительно ма- 199
лых значений) регулируют разбавлением композиции растворителем или орга- ническим полимером, ие содержащим легирующего элемента. Формирование слоя полимерного диффузаита. Формиро- вание пленки на подложке проводится стандартным для обычных фоторезистов методом центрифугирования, который позволяет обеспечить высокую однород- ность слоя в толщине. Скорость центрифугирования (2...3,5 тыс. мии~') и про- должительность вращения центрифуги (30...60 с) подбираются эксперимен- тально, в зависимости от вязкости применяемого фоторезиста-диффузанта. Для каждой определенной концентрации раствора имеется соответствующая ско- рость, превышение которой не вызывает уменьшения толщины пленки. Формированию сплошного полимерного слоя по всей площади полупровод- никовой пластины достигается после испарения растворителя. При экспониро- вании происходит пространственная сшивка молекул полимера, приводящая к прочному и равномерному закреплению легирующего элемента в трехмерной сетке. После формирования слоя фоторезиста-диффузаита пластины проходят тер- модеструкцию. Во время термодеструкции органические соединения разлагают- ся, а окислы легирующих элементов образуют иа поверхности полупроводнико- вой пластины ровную и однородную пленку. После термодеструкции проводится диффузия в интервале температур 273...573 К в течение необходимого времени. Определение профиля концентрации диффундирующей примеси. Рвспределение бора и фосфора в кремнии при диффузии на воз- духе для количеств легирующего элемента 10м... 1018 см-2 не совпадает ни с распределением по дополнению к функции ошибок, ни с экспоненциальным рас- пределением, поскольку при диффузии в окислительной среде наблюдается пе- рераспределение примеси между кремнием и слоем SiO2. При этом наблюда- ется испарение примеси с поверхности. Расчеты показывают, что во время диф- фузии испаряется и перераспределяется в окисел, нарастающий на поверхности, от 60 до 90% атомои фосфора и примерно 50% атомов бора, находяшихси в составе резистов на поверхности. Особенности диффузии различных примесей III и V групп. Поверхностная концентрация бора и фосфора в широком диапазоне концентраций 10|,...1020 см-8 при заданном режиме диффузии линейно зависит от толщины пленки диффузаита (рис. 4.55). В случае использования фоторези- стов-диффузантои кремнеземнаи пленка отсутствует, в начале диффузии на по- верхности кремния имеется лишь некоторое количество В2О2 или P2Oj. Кремне- земная пленка нарастает в ходе диффузии. В ней не наблюдается та сложная картина изменения профиля концентрации примеси, зависящая от многих фак- торов, которая характерна для случая использования растворных стекол, что значительно повышает воспроизводимость результатов. Разброс значений по- верхностной концентрации составил ±10% для низких и ±(3...5%) для высоких значений концентраций примеси в диффузионном слое. Значение N, при диффузии алюминия остается на порядок ниже предель- ной растворимости алюминия в кремнии, составляющей 2-1019 см-8. Распреде- ление алюминия в p-слое близко к гауссовскому. Используя элементорганические соединения, можно получить смешанные композиции, содержащие фосфор и сурьму (мышьяк) и требуемом соотноше- нии. Это позволяет получать диффузионные слои, плотность дислокаций в кото- рых на 5 порядков ниже, чем в слоях, легированных одним фосфором (108 и 108 см-2 соответственно). Диффузия золота. Общепринятые источники диффузии золота в кремний (напыленный или химически осажденный слой золота) являются бесконечными, и диффузия золота зависит от многих параметров: температуры, скорости, ох- лаждения пластин, воспроизводимости. Применение полимера, содержащего элементорганическое соединение золо- та, позволяет равномерно наносить на кремний контролируемые малые коли- чества золота и проводить диффузию из ограниченного источника, достигая поверхностных концентраций 10l8...10IS см-8 и выше. Разброс по площади пластины диаметром 25...30 мм составлял 5...15%. Скорость охлаждения не влияет на результаты, так как при температуре, при которой оканчивается медленное охлаждение (1073...1123 К), раствор золота в кремнии в упомянутом 200
Рис. 4.55. Зависимость поверхност- ной концентрации N, бора (/^фос- фора (2), мышьяка и сурьмы (3) от толщины d пленки фоторезиста- диффузанта Рис. 4.56. Поперечный разрез тран- зистора, изготовленного диффузней из микрокристаллического кремния: 1 — контакт базы; 2 — контакт эмиттера (Ti—Al); 3— поли-Si; < —контакт кол- лектора; 5 — слой SiOj диапазоне концентраций еще не пересыщен (максимальная растворимость ато- мов золота в узлах кристаллической решетки при 1123 К составляет 1015см*3). Диффузия золота из ограниченного источника имеет то преимущество, что IV, (при постоянной толщине пластины) зависит лишь от одного параметра — количества нанесенного соединения золота, а из неограниченного источника — от многих параметров. Создание многослойных диффузионных кремниевых структур. Фоторезисты- диффузанты позволяют ввести в кремний практически все требуемые примеси. Пленки изученных фоторезистов гидрофобны, что дает возможность хранить пластины после формирования пленки длительное время. Важным моментом яв- ляется малая летучесть полимерного и тримеризованного слоя, содержащего такие элементы, как мышьяк и сурьма, что делает нетоксичным полимер, в то время как токсичность неорганических соединений этих элементов ограничивает использование последних в качестве источников примеси. Так как на пластинах нет избытка диффузаита, окисел служит маской при локальной диффузии в течение более длительного времени. В ряде случаев это позволяет проводить диффузию в одну стадию вместо двух. При соответствующей очистке фоторе- зисторы-диффузанты не вносят добавочных центров рекомбинации и позволяют получить высокие времена жизни неосновных носителей заряда (т=25...35 мкс для р — n-диодов, которые изготовлялись из пластин кремния п- и p-типа тол- щиной 300...900 мкм, р=40...200 Ом-см). Локальная диффузия из фоторельефа. Так как все органические соединения летучи, то при проведении локальной диффузии из фоторельефа следует пре- дусмотреть защиту свободных от диффузаита участков пластины, для чего в качестве защиты используется диоксид кремния, сформированный из раствора на основе тетраэтоксисилана. Результаты, полученные на высокоомном кремнии (р=1000 Ом см), свиде- тельствуют о попадании диффузаита на свободные от фоторезиста участки поверхности кремния как на стадии термодеструкции, так и на стадии диф- фузии. Для ряда технологических задач возможно создание полимерных источни- ков диффузии, обладающих свойствами фоторезистов для короткого ультра- фиолета, а также электронорезистов-диффузаитов. Примесные покрытии длн одновременного формирования мелких переходов и омических контактов к ним. Легированные пленки поликристаллического крем- ния позволяют создавать очень мелкие (<300 нм) слои с высокой концентра- цией примеси и одновременно служат омическими контактами к этим слоям. Диффузией из пленок, легированных мышьяком, фосфором, бором, сурьмой, изготовляют и базовые, и эмиттерные области самосовмещенных биполярных 201
ИС ей значительно улучшенной скоростью переключения, плотностью упаковки, выходом годных ИС. Высокий выход годных ИС является результатом уменьшения аномальных диффузионных эффектов и генерации дефектов, связанных с высокой концен- трацией примеси в эмиттере. Получают выигрыш и в усилении по току от 106 до 10е по сравнению с обычными транзисторами, который зависит от наличия слои SiO2 на границе поли-Si — моно-Si. Высокое удельное сопротивление поликристаллических пленок является главной проблемой при расчете приборов в области высоких токов и частот. Поэтому используют гидрогенизованный аморфный кремний а — Si: Н и микро- кристаллический кремний pic — Si, сопротивление которого меньше а — Si: Н, а ширина зоны 1,4 эВ. цс — Si является средним состоянием между поли-Si и а — Si: Н. Он получается нагреванием а — Si: Н до температур 873...973 К и рекристаллизацией. На рис. 4.56 изображен поперечный разрез транзистора, изготовленного диф- фузией из n+ Si. Диффузия бора, фосфора, мышьяка в поликремний описывается коэффици- ентами диффузии, состоящими из двух компонентов, обусловленных медлен- ной диффузией в объеме (Db) и быстрой диффузией на границе зерна Одв. В табл. 4.11 приведены значения Db/D^e. для разных примесей, однако со- стояние Dj^/Db у разных авторов различное и зависит от условий процесса осаждения и способа легирования. Таблица 4.11. Коэффициенты диффузии бора в объеме Db и на границе зерна £>дв поликристаллического кремния Примесь Темпера- тура, К см2/с Одв, см’/с д“сдв.Ой Фосфор 1313 3,3-10-15 2,6 10-9 8,0-10» Бор 1075 1,8-10-13 2,1-10-» 1,1410s Мышьяк 1000 4,0-10-16 8,9-10-12 1,78-10* Диффузия бора в аморфный гидрогенизированный кремний i — а — Si: Н и превращение его в a=Si|_.xBx подчиняется закону Фика из бесконечного источника. При термической активации распределение примесей в пленке опн- сываетси уравнением N(x, t) =fM(x0)(4nOOi/2exp io 4Dt где x0 — толщина пленки. Коэффициент диффузии бора зависит от способа получения покрытия и име- ет значительно большую величину во время осаждения (2 10~17 см2/с), чем при термической активации (2-Ю-21 см2/с) в диапазоне исследуемых температур 450...575 К Быстрая диффузия в неотожженных пленках объясняется переме- щением атомов бора по многочисленным порам в структуре, содержащей во- дород. При термической активации бор диффундирует по механизму замеще- нии с энергией активации 1,6 эВ. Толщина пленок составляет 30 нм. Анализ поликристаллических кремниевых источников бора, фосфора и мышьяка для высоких уровней концентрации примеси в кремнии, выполненный с помощью вторичной ионной масс-спектроскопии, выявил следующие особен- ности: 1. Поликремниевые легированные источники характеризуются термообласти- ми: собственно полнкремнием, границей раздела поликремний — монокристал- лическая подложка и монокристаллический кремний, в который диффундируют примеси. Граница раздела, как праиило, существует при температурах примеси, и в то же время эпитаксиальная переориентация поликремния отсутствует. 2. Концентрация фосфора и мышьяка в поликремиии постоянна благодаря высокому коэффициенту диффузии (~10,s см2/с) При 1223 К по границам 202
зерен. Для бора на границе раздела наблюдается незначительное увеличение концентрации по сравнению с мышьяком и фосфором. Пик концентрации на границе раздела обусловлен сегрегацией примеси по границам зерен (для бора) или захватом мышьяка кислородом либо другими примесями. 3. Диффузионные профили фосфора и мышьяка в подложке кремиия схо- жи. Если не учитывать пика концентрации фосфора и мышьяка на границе раздела, то можно считать, что профиль легирования непрерывно монотонный. Следовательно, если концентрация примеси превышает концентрацию электри- чески активной примеси в кремнии (Лал.акт), то электрически активные атомы фосфора и мышьяка очень мобильны. Профиль легирования бором имеет яв- ный скачок вблизи границы раздела, и, несмотря на то, что концентрация бо- ра в поликремнии Адоли-аг превышает Мал.акт примерно в 2 раза, кон- центрация его в монокристаллическом кремнии ие превышает А^л.акт из-за то- го, что электрически неактивные атомы бора неподвижны, образуют неподвижные комплексы, которые распадаются, если А'сМал.акт. 4. Поликремниевые диффузионные источники позволяют изготавливать очень мелкие переходы в монокристаллическом кремнии с предельно высокой кон- центрацией и резким градиентом. Если поликремний легируется ионной им- плантацией, то влияние нарушенной структуры, возникающей при ионном ле- гировании, ограничено, так как имплантация проводится только в поликремний. Если глубина диффузии xj не будет превышать размеров зерна de поли- кремниевого источника (dg«70...300 нм), то распределение бора в подложке можно считать одновременным и независимым от размера зерна. Наблюдаемое сильное концентрационное увеличение коэффициента диффузии объясняется тем, что примесь диффундирует без повреждения кристаллической решетки. Бориды редкоземельных металлов (РЗМ), например лантана, которые осаж- даются на поверхности подложки методом электронно-лучевого испарения, рас- пыления из составного источника или одновременного распыления металличе- ской и борсодержащей мишени, также служат хорошим источником бора для формирования мелких слоев и одновременно омическими контактами к ним. В табл. 4.12 приведены приближенные значения некоторых параметров боридов лантана и иттрия. Таблица 4.12. Приблизительные значения некоторых параметров боридов лантана и иттрия Параметр YB, La Be Точка плавления, К 2873 2873 Коэффициент термического расширения, ХЮ~6/К Удельное сопротивление, мкОМ-см: 6,1 6,3 для объема 40 17,4 для пленки 70... 120 50...120 Работа выхода, эВ 2,22 2,66 Для достижения требуемой глубины диффузии пластина с нанесенным сло- ем борида РЗМ подиергается термообработке. Достоинствами такой системы являются низкая работа выхода, малая высота барьера, стойкость к воздей- ствию кислот и высоких температур; легирование бором нижележащих областей подложки осуществляется без какого-либо измерения проводимости материала. Если в бориды РЗМ вводят кремний, LaBeSi илв YBeSi, то иа поверхно- сти покрытия получают термическую окисную пленку также без ухудшения про- водимости покрытия. При толщине боридов лантана ~200 нм достигнута поверхностная кон- центрации бора в кремнии 3-Ю*8 см-8. 203
Глава 5 Технология эпитаксиальных слоев 5.1. Физические основы процесса эпитаксии Эпитаксия — ориентированный рост слоев, кристаллическая решетка кото- рых повторяет структуру подложки. Если подложка и слой состоят из одного вещества, то процесс называют автоэпитаксиальным, если из различных—гете- роэпитаксиальным. Хэмоэпитаксия — процесс образования новой фазы при химическом взаимо- действии вещества подложки с веществом растущего слоя. Стремление произвольной системы к минимуму свободной энергии приводит к тому, что в процессе эпитаксии растущие слои ориентируются с некоторым соответствием по отношению к соприкасающейся атомарной плоскости подложки. Применимо к эпитаксии несоответствие кристаллических, решеток может быть определено как d= (aj—bt)Jal при i=l, 2. Индекс i использован для обозна- чения двух выбранных направлений на поверхности раздела; at, bt— нормаль- ные межатомные расстояния в пленке и подложке в направлении i. Когерентная поверхность раздела — поверхность, через которую осуществля- ется совершенное сопряжение атомов обоих веществ (aj=£>j). Обычно такие поверхности (плоскости) определяют в индексах Миллера. Существует несколько моделей, объясняющих характер сопряжений смеж- ных атомных плоскостей двух веществ. Если параметры решетки веществ раз- личаются несущественно, говорят о псевдоморфизме — результате приспособле- ния межатомных расстояний наращиваемого кристалла к межатомным расстоя- ниям подложки. Однако в большинстве случаев наблюдаются скачкообразное изменение параметра решетки на границе раздела и появление дислокаций, понижающих возникающие механические напряжения. Подложка оказывает существенное влияние на процесс кристаллизации наращиваемого вещества. Атом, приходящий из внешней фазы, может пере- дать ей свою кинетическую энергию. Силы связи между подложкой и адсор- бированными атомами удерживают последние на поверхности; кристаллохими- ческие особенности подложки влияют на скорость поверхностной диффузии ад- сорбированных атомов и тем самым на кристаллографическую ориентацию осаждаемой пленки. Несовершенства строения поверхности подложки также сказываютси на характере распределения и строении наращиваемого материала. В процессе проведения эпитаксиального роста при высоких пересыщениях легко образуются зародыши различных ориентаций и эпитаксия отсутствует. При увеличении температуры подложки может произойти и изменение ориен- тации роста. 5.2. Методы проведения эпитаксии Конденсации из паровой фазы в вакууме. Атомы полупроводника перено- сятся непосредственно от источника к кристаллу-подложке без промежуточного взаимодействия путем испарения, сублимации, распыления и др. Количество атомов или молекул, осевших на подложке, существенно зависит от темпера- туры подложки, состояния ее поверхности, природы оседаемых атомов, их кинетической энергии и угла падения (рис. 5.1, а). Кинетика процесса кристаллизации в значительной степени зависит от миграции адсорбированных атомов кристалла. Если бы оседающие частицы связывались с поверхностью в точках поступления, то всегда образовывался бы аморфный пористый слой вещества. Однако частицы обладают значитель- ной подвижностью. Тепловое рассеивание при эпитаксии осуществляется в момент соударения и во время миграции атомов по подложке. Тепловое равновесие характери- зуется временем жизни адсорбированных атомов т3. 2С4
Рис. 5.1. Испарение и нагрев подложки электронной бомбардировкой при эпи- таксии: 1 — нить накала источника; 2 — электростатический экран; 3— пары; кремния; 4 — элект- ронный пучок; 5 — нить подогрева подложки; 6 — кремниевая подложка; 7 — расплавлен- ный кремний; 8 — экран; 9 — основание с водяным охлаждением (нулевой потенциал) 10 — твердый кремний Эпитаксию следует проводить по возможности в более глубоком вакууме, так как интенсивность потока остаточного газа, бомбардирующего подложку в вакууме 0,13-10—3 Па, эквивалентна нарастанию одного монослоя в секунду. При автоэпитаксии кремния атомы, двигаясь по нагретой поверхности, занимают положения, соответствующие кристаллической структуре подложки, формируя плоскую двумерную решетку из островков, растущих вдоль поверх- ности (рис. 5.1,6). Конденсация из молекулярного потока на холодной подложке приводит к образованию поликристаллических или аморфных пленок. При по- догреве подложки свыше 1273 К получают монокристаллические пленки, их качество существенно зависит от состояния подложки и остаточного давления газов. Для обеспечения частоты процесса испарение крем- ния проводят путем прямого разогрева элект- рическим током пластины кремния, отстоящей от подложки на (1...10)102 мкм. Получаемые данным методом поли- и монокристаллические пленки имеют большие удельные сопротивле- ния. Зависимость скорости испарения кремния от температуры приведена на рис. 5.2. В зависимости от способа доставки крем- ния к подложке различают следующие методы получения эпитаксиальных слоев кремния в ва- кууме: 1) метод молекулярных пучков в ва- кууме; 2) сублимация; 3) катодное распыле- ние. Общим для данных методов является то, что кремний при испарении и переносе от ис- точника к подложке, а затем кристаллизации Рис. 5.2. Зависимость скорости испарении кремния от температуры 205
ие претерпевает каких-либо необратимых химических изменений. Отличаются они друг от друга методикой испарения кремния, условиями осаждения и ап- паратурным оформлением процесса. Метод молекулярных пучков в вакууме (МПВ). Данный метод основан на испарении кремния из жидкой фазы (источника) и после- дующей его кристаллизации на поверхности разогретой подложки, располагае- мой вблизи от источника. Способы испарения кремния в методе МПВ можно классифицировать как тигельные и бестигельные (или автотигельные). Для изготовления тиглей используют А12О3, ThO, SiO2 и графит. Слои кремния, полученные таким образом, обычно загрязнены материалом тигля из- за большой химической активности кремния в расплавленном состоянии. Для получения эпитаксиального кремния наиболее широкое распространение находят бестигельные способы. Подлежащий испарению кремний в виде стержня помещается внутри воль- фрамовой спирали, которая является источником электронов. Испарение крем- ния осуществляется нз капли, образующейся при электронной бомбардировке конца стержня. Каплю расплавленного кремния можно поддерживать в подве- шенном состоянии внутри высокочастотного индуктора или образовывать в виде перетяжки в месте контакта двух кремниевых электродов, по которым про- пускают электрический ток. Способ испарения кремния из жидкой фазы при пропускании тока через брусок кремния основан на сильной температурной зависимости электропро- водности кремния и скачке проводимости при переходе от твердого к жидкому состоянию (рис. 5.3). Охлаждение нижней грани бруска при пропускании через пего тока позволяет испарять кремний из жидкой фазы, образующейся в центре верхней грани бруска и покоящейся на твердой ннжней. Этот способ позволяет осаждать слои кремния со скоростью 0,05...0,1 мкм/мин. Испарители с электронно-лучевым нагревом кремния обладают лучшими технологическими характеристиками. Типичное устройство для электронно-лучевого нагрева кремния изображено на рнс. 5.4. Источник кремния заземлен и нагревается электронным пучком. Под действием высокого потенциала (на катоде 9 кВ), окружающего источник, электроны ускоряются и фокусируются на заданном участке слитка на аноде. Качество фокусировки пучка зависит от геометрии системы, но в правильно сконструированном устройстве нагрев ограничивается участком менее 3 мм в диаметре. Испаряемое в подложку вещество располагают в геометрической тени от- носительно источников электронов для ограничения загрязнений, выделяю- щихся из катода. Расплавленный кремний располагается на поверхности твер- Рис. 5.3. Схема устройства для ис- парения кремния: 1 — основание; 2 — изолирующая про- слойка; 3 — токопроводы; 4 — кремние- вый брусок; 5 — медные трубки Рис. 5.4. Схема устройства для элек- тронно-лучевого нагрева кремния: / — нагреватель подложки; 2 — заслонка; 3 — подложка кремния; 4 — кремниевый источник; 5 — электронный поток; 6 — вольфрамовая нить 206
лого кремния и контактирует только с ним. Слиток в целом находится на во- доохлаждаемой подставке, что предотвращает загрязнение испаряемого крем- ния материалом тигля. Этот способ нагрева позволяет поддерживать температуру источника на несколько сотен градусов выше точки плавления кремния, благодаря чему до- стигаются высокие скорости наращивания (до 4 мкм/мин) при минимальных загрязнениях. Нагрев подложек осуществляют различными способами: проволочными и ленточными нагревателями, изготовленными из тантала, молибдена или воль- фрама, или расфокусированным электронным лучом. Осаждению слоев кремния предшествует подготовительный этап, заклю- чающийся в последовательном обезгаживании нагревателей, источника и под- ложки кремния. После предварительной высокотемпературной обработки под- ложки (до 1623 К) ее температуру снижают до заданной. С момента открытия заслонки, расположенной между источником и подложкой, начинается процесс наращивания слоев кремния. Сублимация. Метод основан на испарении кремния с разогретой до высокой температуры (1623 К) поверхности твердого образца и последующей его кристаллизации иа располагаемой вблизи от источника поверхности под- ложки, имеющей более низкую температуру при давлении остаточных газов 133-(10-7.._10-10). Па. При сублимации кремния применяют следующие способы разогрева источ- ника: прямое пропускание тока через нить или брусок кремния, индукционный и электронно-лучевой нагрев. Нагрев подложек производится лампами накали- вания, установленными вне камеры, излучением от источника кремния, лен- точными нагревателями, пропусканием тока через кремниевую подложку илн расфокусированным электронным лучом. Схема одного из устройств для получения эпитаксиальных слоев кремния методом вакуумной сублимации показана на рис. 5.5. Подложка кремния устанавливается на подставке, изготовленной из моно- кристаллического кремния, которая является одновременно и источником крем- ния. Подставка нагревается с помощью высокочастотного индуктора (Р=ЗкВт; /=1,5 МГц) до температуры 1623...1653 К. При этом возможно нагревание подставки излучением до 1373 К. Скорость роста слоев составляет 0,3 мкм/мин. Независимо от метода осаждения слоев кремния выбранный способ нагрева подложки должен исключать возможность загрязнения слоев, обеспечивать рав- номерность нагрева всей поверхности подложки, обладать стабильностью в под- держании заданной температуры, позволять регулирование температуры в ши- роких пределах. Применение того или иного способа нагрева подложки большей частью обусловлено конструктивными особенностями используемого оборудования или выбранного метода осаждения. Так, в методе сублимации чаще используют нагрев подложки пропусканием тока аналогично нагреву испарителя или при- меняют проволочные и ленточные нагреватели. В последнем способе возможно загрязнение слоев примесями от нагревателей. Метод получения слоев кремния, основанный на сублимации кремния, про- ще в аппаратурном оформлении, проводится в сравнительно «чистых» вакуум- ных условиях, однако имеет низкие скорости осаждения (максимальная 0,3 мкм/мин), малый кпд и обладает ограниченными возможностями при из- готовлении полупроводниковых приборов. Самыми существенными переменными в процессе осаждения слоев крем- ния методами сублимации из МПВ являются давление паров кремния и тем- пература подложки. Давление пара, как правило, регулируется температурой источника. На плотность молекулярного пучка кроме температуры источника влияют также геометрические факторы (размер зоны испарения и расстояние до подложки). Отношением плотности падающего молекулярного пучка к плотности обратного потока, вызванного испарением с поверхности подложки при ваданной температуре, определяется пересыщение пара в области фронта кристаллизации. От степени этого пересыщения зависит и скорость осаждения слоев. Скорость осаждения регулируется также изменением расстояния под- ложка — испаритель. 207
лучения слоев кремния методом суб- лимации: 1 — кремниевая подложка; 2 — источник кремния (подставка); 3 — высокочастотный индуктор; 4 — держатель из кварца; 5 — рабочая камера из кварца Рис. 5.6. Схема устройства для ка- тодного распыления кремния: 1 — держатель подложки (анод); 2— на- греватель подложки; 3 — испаритель (ка- тод) Катодное распыление. Данный метод распыления отличается от двух вышеописанных тем, что процесс осуществляется в среде инертного газа (обычно аргона) при давлениях 133-(10-2...10-4) Па. Схема устройства для катодного распыления кремния приведена на рис. 5.6. Испаряемый материал в этом случае является катодом тлеющего разряда. Атомы и ноны материала, выбитые с поверхности катода положительными ионами аргона, конденсиру- ются на разогретой до заданной температуры подложке. Степень пересыщения при катодном распылении для различных температур подложки определяется по изменению ионного тока между электродами. Достоинства этого процесса — легкость очистки поверхности, простота обо- рудования, отсутствие тиглей, достаточная универсальность, неизменность со- става легированного кремния в процессе его осаждения, сравнительно низкая температура кристаллизации (1023...1173 К). Однако скорости катодного рас- пыления кремния очень низки. Кроме того, наличие газов в рабочей камере, необходимых для поддержания тлеющего разряда, приводит к загрязнению слоев в процессе распыления. Кристаллизация из газовой фазы. Атомы полупроводника переносятся в составе химического соединения, которое диссоциирует на подложке. При этом происходит перенос реагентов к поверхности кристаллической подложки; адсорб- ция и реакция реагентов на поверхности; десорбция продуктов реакции; перенос продуктов реакции из кристалла к основному потоку и упорядочение кристал- лизации адсорбированных атомов кремния в решетке. Рост достаточно толстых совершенных монокристаллических слоев осложняется образующимися дефекта- ми роста. Осаждение при нормальном давлении. В настоящее время в технологии полупроводников осаждение из газовой фазы при нормальном давлении применяется для эпитаксиального выращивания кремния, осаждения пленок Si О?, SiaNi, полукристаллического кремния и др. Этот метод обеспечи- вает достаточную однородность наносимых покрытий и может проводиться при низкой температуре. Для осаждения пленок поликристаллического кремния и нитрида кремния используются установки вертикального и горизонтального ти- пов с нормальным давлением. Схема горизонтального эпитаксиального реактора, использующего высоко- частотный нагрев, представлена на рис. 5.7. Основные газы, применяемые в реакторе, и их назначение приведены в табл. 5.1. Осаждение при низком давлении Установки, работающие при низком давлении, просты конструктивно, производительны, обеспечивают хоро- 208
Таблица 5.1. Газы и их назначение при использовании в процессе эпитаксиального осаждения Газ Назначение Примечания Na Г азоноситель Очищает от взрывоопасных и токсич- ных газов, имеющихся в реакторной трубе перед напуском воздуха н2 То же Используется для выращивания эпи- таксиальных слоев SiCl Источник Si Обычно жидкий источник Si испа- ряется в пузырьках Н2> пар корро- зионный, температурный интервал 1423...1473 К, скорость роста 0.2... ...10 мкм/мин SiH« То же Обычно газообразный источник S1, пирофорный газ, температурный ин- тервал 1273... 1323 К, скорость роста 0,2...1,0 мкм/мин HCI Травитель Si Используется для подготовки поверх- ности подложки, коррозионный ток- сичный газ РНз Легирование Si Используется как источник фосфора для легирования эпитаксиальных пленок, воспламеняющийся токсичный газ AsHe То же Свойства, аналогичные РНз Sh(CH3)8 » Жидкий источник сурьмы использу- ется как испаритель при концентра- ции нескольких сотен на миллион молекул Н2 вместо нестабильного SbH3, пар токсичен Свойства, аналогичные РНз в2н6 Легирование Таблица 5.2. Основные типы реакций осаждения, используемых в установках осаждения из газовой фазы при низком давлении Осаждаемая пленка Уравнения реакции осаждения Поликристаллический кремний Нитрид кремния 773...973 К SiH, —*-Si+2H2 923... 1173 К SiH4 + NH3 > S13N44-H2 1023...1073 К SiH2Cl2+NH3 > SisN4+HCl Диоксид кремния 723.873 К S1H4 + O2 + PH3 > S1O2+P2O5+H2 Si(ОСзН7)4 >973 к или 4- (СНзО)зРО у S1O2+P2O5+H2O Si(OC2H5)4 14 Заказ № 1270 209
т!я!1 \ ,т п__О__0_ ^^yneJJJWMCnpu Графит, покрытый SiO Выпуск и—сг Главный газопровод, измерение скорости потока газоо Стенка трубы n2 I i НС1 S1H4 PH, AsH3 В2н6 'пп^пк Турбулентный Поток_ рпой \Ж”Ии- неподбо^й^ой улодложка г/млмтт^т//с Па Стенка трубы н2 Па Выпуск Испаритель Sb(CH3)3 Эпитаксиальная _ пленка Грифит, покрытый SiO Рис. 5.7. Схема установки для выращивания эпитаксиальных пленок кремния с горизонтальным реактором высокочастотного нагрева Рис. 5.8. Схема установки осажде- ния из газовой фазы при низком давлении: 1 — печь реаистивного нагрева; 2 — реак- ционная печь; 3 —лодочка; 4 — пластина; 5 — пирометр; 6 — система регулировки газа; 7 — вакуумметр; 8 — вакуумный насос Рис. 5.9. Конструкция установки плазменного осаждения из газовой фазы конденсаторного типа: 1 — источник ВЧ мощности; 2 — вакуум- ный насос шую однородность пленки, имеют малое газопотребление На рис. 5.8 показана одна из таких установок, в которой в качестве газа-носителя используется ге- лий. При осаждении пленки поликристаллического кремния путем пиролиза силана можно легко одновременно обрабатывать 50 пластин диаметром 102 мм. Однородность по толщине составляет ±2%. С меньшей однородностью по толщине на этой установке возможно получение пленок Si3N4 (табл. 5.2). Плазменное осаждение. Метод плазменного осаждения нитрида кремния имеет существенные преимущества перед другими методами. За счет регулирования величины потока газа (рис. 5.9) в зависимости от уровня ВЧ-мощ- ности и условий распределения можно получить пленку с высокой однородно- стью на большой площади. В установке используется система газов 210
N2+NH4-f-SiH4 и осаждается пленка состава SuHyN2, температура обработки 473...573 К, вакуум 0,26 -102 Па, ВЧ-мощиость 500 Вт, скорость осаждения пленки 0,67 нм/с Наиболее часто используемым соединением для осаждения кремния явля- ется силан (SiH4), который вследствие высокой температуры осаждения мало- чувствителен к окислению, приводящему к возникновению поверхностных де- фектов. Разложение силана на поверхности кремниевой подложки происходит в соответствии с реакцией 1273 К SiH4(ras)----* Si(TB)_b2H2(ra3). Установки для эпитаксиального выращивания восстановлением тетрахлорида кремния имеют вертикальный или горизонтальный реактор. Перед осаждением пластины кремния обрабатывают в потоке хлористого водорода при 1473 К для удаления остаточной поверхностной пленки SiO2, затем в реактор подается водород, насыщенный парами тетрахлорида, и на пластине происходит восста- новление S1C14 до атомарного кремния SiCl+2H2T±Si+4HCl. Скорость роста пленки кремния пропорциональна парциальному давлению силаиа, ее температурные зависимости для вертикального и горизонтального реакторов представлены на рис. 5.10. Увеличение содержания SiCl4 до 5% приводит к увеличению скорости роста пленки (рис. 5.11); затем скорость умень- шается вследствие неполного восстановления SiCl4. При невысоких температу- Рис 5 10. Температурная зависимость скорости роста пленки кремния для вер- тикального (7) и горизонтального (2) реакторов рах подложки и больших содержаниях SiCl4 образуются рыхлые аморфные плен- ки Увеличение температуры и уменьшение молярной доли SiCl4 обусловливают уплот- нение пленок. Выращивание производят при температурах подложки 1473...1553 К, мо- лярном содержании SiCl4 1...3% и скорости протока водорода (2...3)-105 м3/с. Опти- мальная скорость роста 16...20 нм/с. Рис. 5.11. Зависимость скорости роста пленки кремния от молярного содержания SiCl4 Молярные доли S1C14.% 14* 211
Рис. 5.12. Схема жидкостной эпитаксии: /—• электрическая печь; 2— кварцевая труба; 3 — термопара; 4 — ограничитель; 5 — под- ложка; 6 — основной графитовый держатель; 7 — графитовый скользящий держатель раствора; 8 — толкатель Кремниевая эпитаксия широко применяется в технологии кремний на сап- фире (КНС), где на сапфировой подложке выращивается эпитаксиальный слой кремния толщиной 0,5... 1,0 мкм, в котором травлением получают островки для формирования р- и п-областей. В результате достигается высокая плотность упаковки элементов с хорошей изоляцией без паразитных связей. Жидкофазная эпитаксия. Заключается в наращивании монокристаллического слоя из металлического расплава насыщенного полупроводниковым материалом, рекристаллизующимся на поверхности подложки. При температурном равно- весии подложки с раствором наращивание происходит вследствие перенасыще- ния раствора. В качестве растворителя используют легкоплавкий компонент наращиваемого соединения, что снижает температуру кристаллизации, повышает чистоту наращиваемого слоя и уменьшает концентрацию вакансий. Для полу- чения эпитаксиальных слоев соединений AlnBv используются только моно- кристаллические подложки. На рис. 5.12 показана схема устройства, обеспечивающего получение гетеро- переходов (Ge-Si, GaAs-GaP) толщиной менее 1 мкм. При эпитаксии, управляемой током (электроэпитаксин), через выращивае- мый граничный слой пропускают электрический ток, температура системы под- держивается постоянной. Таким способом выращиваются полупроводниковые слои InSb, GaAs, InP, AkGai_xAs и слои гранатов. Совершенствование реакторов для жидкостной эпитаксии возможно путем пропускания тока через границу раствор — подложка (рис. 5.13), что сопро- вождается пельтье-нагревом или пельтье-охлаждеиием, в зависимости от на- правления тока. Кинетика роста пленки зависит от толщины слоя, образую- щегося на границе раствора. Твердофазная эпитаксия (ТФЭ). В основе ТФЭ лежат процессы твердофаз- ного взаимодействия в многослойной тонкопленочной системе, происходящие при изотермическом отжиге. При нарастании эпитаксиальных слоев могут при- нимать участие две или три твердые фазы, имеющие хороший контакт между собой. Одна из фаз — монокристаллическая подложка, другие могут быть аморфными, поликристаллическими или частично ориентированными. При эпи- таксии из твердой фазы происходит процесс перекристаллизации одной из фаз и ее ориентированное нарастание на поверхности подложки в процессе изотер- мического отжига многослойной композиции. Перед процессом ТФЭ на монокристаллических подложках кремния или германия с ориентацией (111) или (100) осаждают пленку металла с помощью 212
OJOfi 0,И 2 «6 ВЮ(-ЛТР).К 61 Рис. 5.13. Электроэпитаксиальное наращивание: а — конструкция ячейки для электроэпитакснального наращивания GaAs из растворов Ga^As; б — зависимость скорости роста пленки GaAs из раствора Ga^As от измене- ния температуры на границе; 1 — электрический контакт; 2— подложка, Ga—A* (n=min)'; 3 — раствор GaAs; 4 — изо- лятор; (------эффект Пельтье с электро ми грацией:-------только электромнграцня) электронно-лучевого испарения со ско- ростью 0.2...6 им/с и проводят процесс осаждения аморфного полупроводника со скоростью 1...10 нм/с. Давление в процессе осаждения составляет (0,67... ...1,33) -10-4 Па. Затем без развакуумиро- вания системы образцы подвергают изо- термическому отжигу в вакууме 10-4... ...10-8 Па или в потоке сухих инертных газов (Аг, N2). Молекулярно-лучевая эпитаксия (МЛЭ). Основана на взаимодействии не- скольких молекулярных пучков с нагре- той монокристаллической подложкой с осаждением на ней элементарных ком- понентов. Схема установки для выра- щивания пленок методом МЛЭ приве- дена на рис. 5.14. Эпитаксиальный рост осуществляет- ся в сверхвысоком вакууме посредством одновременной реакции многочисленных молекулярных пучков различной плот- ности и химического состава с нагретой подложкой и характеризуется малой контролируемой скоростью протекания эпитаксии (1 мкм/ч или 1 атом.слой/с), что позволяет модулировать молекуляр- ный пучок с точностью до монослоя. Низкая температура подложки (773... ...873 К) уменьшает число термодинамиче- ских дефектов (вакансий) в эпитакси- альном слое с высшим структурным раз- решением, превышающим традиционные методы на два порядка, и ничтожно Рис. 5.15. Структура монокристалли- ческой пленки кремния, полученной методом графоэпитаксии Рис. 5.14. Схема установки для вы- ращивания пленок методом МЛЭ: I — электронная пушка; 2 — система ди- фракции электронов; 3 — ионная пушка; 4 — масс-спектрометр; 5 — оптико-элек- тронная система; 6 — экран для дифрак- ции электронов низких энергий; 7 — крио- панель; 8 — ячейка Кнудсена; 9 — меха- ническая заслонка; 10 — система для крепления и перемещения подложек 213
малой диффузией легирующего материала даже внутри моноатомвого слоя. Молекулярно-лучевая эпитаксия позволяет проводить контроль химического со- става кристаллической структуры поверхности распределения концентрации эле- ментов по глубине непосредственно во время осаждения эпитаксиальных слоев. Возможность применения аналитических методов контроля в процессе роста позволяет управлять ими на атомарном уровне, получать структуры с низкой степенью загрязнения. В табл. 5.3 приведены методы измерений, осуществляе- мые при МЛЭ. Процесс МЛЭ используется для получения тонких (0,5...50 нм) структур с контролируемыми поперечными размерами для изготовления опти- ческих микроволновых приборов на основе GaAs и AlxGai-xAs. Таблица 5.3, Методы измерения, осуществляемые в процессе МЛЭ Вид измерения Методы измерения Определение химического состава по- верхности и профиля концентраций раз- личных элементов Определение кристаллической структуры поверхности Определение состава молекулярных пуч- ков Измерение температур источников и подложки Измерение интенсивности молекулярных пучков Измерение толщины эпитаксиальных слоев Оже-электронная спектроскопия Рентгеноэлектронная спектроскопия Ионная оже-спектроскопия Масс-спектроскопня вторичных ионов Дифракция электронов низких энер- гий Дифракция электронов высоких энер- гий Масс-спектроскопия Контактный и бесконтактный Оптический Элли псометрия Эпитаксия с использованием сканирования луча лазера. Служит для выра- щивания пленки монокристаллического кремния на монокристаллических крем- ниевых и сапфировых подложках. В технологии КНС монокристаллическую пленку кремния выращивают на сапфире методом МЛЭ, причем на границе раздела образуется аморфная пленка с большим числом дефектов. Ее кристал- лические свойства восстанавливают лазерным отжигом. Особенностью данного метода является то, что пленка монокристаллического кремния может быть вы- ращена на аморфных пленках плавленого кварца, окиси кремния, нитридов. На рис. 5.15 представлена структура пленки окиси кремния толщиной 0,5 мкм, выращенной на подложке из плавленого кварца. В результате лазерного отжи- га с применением аргонового лазера получена монокристаллическая пленка 1,5X1,5 мм, ориентированная в плоскости (100). Этот метод получил название графоэпитаксии. 5.3. Методы, стимулирующие эпитаксию Использование излучения при эпитаксии. Электромагнитное излучение в эпитаксиальных процессах может примениться как для обычного термического воздействия на реакционную систему (ИК-нагрев), так и для нетермической ак- тивации. Инфракрасный нагрев служит для получения эпитаксиальных слоев кремния и германия методами водородного восстановления их из тетрадихлори- 214
Рис. 5.16. Зависимость отношения мольных долей Ge/J(M) от темпера- туры в газовой фазе системы Ge= =J2=H2=He при отношениях моль- ных долей На/(Н2+Не), равных 0,0 (кривая 1), 0,1 (2), 0,2 (3), 0,3 (4), 0ь4 (5) и 0,5 (6); давление паров йода 400 Па Рис. 5.17. Зависимость скорости ро- ста эпитаксиального слоя кремния методом водородного восстановле- ния его тетрахлорида от температу- ры в координатах Аррениуса при воздействии излучения (штриховая линия) и в обычном процессе (не- прерывная линия). Объемная доля S1C14 в водороде 0,6%; реактор вертикального типа с индукци- онным нагревом; источник излучения — ртутная лампа высокого давления мощ- ностью 500 Вт да кремния, трихлорсилана, дисилангексахлорида, тетрахлорида германия, а также эпитаксиальных слоев арсенида галлия хлоридным методом и кремния пиролизом мопосилана. Активирующее воздействие излучения используется при получении эпи- таксиальных слоев германия методом диспропорционирования. На рис. 5.16 представлена температурная зависимость мольного отношения Ge/J в системе Ge=J2=H2=He. Каждая кривая на рис. 5.16 соответствует системе с посто- янным давлением паров йода, но с различным мольным отношением НгЩН-Не) в газе-носителе, используемом для переноса йода через источник германия. Па- рогазовая смесь йодидов германия, йодистого водорода, водорода и гелия равновесного состава с температурой около 873 К поступает в камеру осажде- ния. Температура в камере осаждения 620 К (см. рис. 5.16). Избыток германия в газовой фазе, соответствующий, например, кривой 1, осаждается на подлож- ку. Ультрафиолетовое излучение длиной волны 0,2...0,4 мкм вызывает разложе- ние йодистого водорода, и термодинамическое равновесие в реакционной системе изменяется так, что в газовой фазе, поступающей в камеру осаждения, ко- личество германия оказывается меньше равновесного и, следовательно, проис- ходит газовое травление германиевой подложки. В зависимости от того, вы- ключен или включен источник УФ-излучения, на поверхности подложки при неизменном составе подаваемой парогазовой смеси наблюдается соответствен- но эпитаксия германия или травление. Процесс эпитаксии можно вести попе- ременно с травлением, что позволяет получать эпитаксиальные слои высокого качества с числом дефектов 102...104 см-2. Плотность потока излучения, применяемого для термического воздействия, как правило, весьма велика и определяется необходимой температурой нагре- ва подложки. Обычно плотность потока некогерентного излучения составляет 1000...2000 Вт/см2, а мощность источников излучения 1...20 кВт. Здесь исполь- зуется широкий спектр излучении, в котором около 10% энергии ПК-источников приходится на излучение в УФ-диапазоне. Плотность потока УФ-излучения при этом составляет около 100 Вт/см2. Излучение в указанном диапазоне волн оказывает наибольшее воздействие на реакционные системы. Если некогерент1- ное ИК-излучение нагревает непосредственно- подложку, а не промежуточное 215
Таблица 5.4. Параметры технологического процесса получения эпитаксиаль Метод эпитаксии Состав газовой фазы Объемная концентрация соединения полупровод- ника, % Расход газа- носителя, дм8/с Температура процесса, К Водородное вос- становление тет- рахлорида крем- ния SiCh+Hj 0,6 0,6 0,003 0...32 0,26... 1 0,1...0,9 0,0083...0,025 0,083 0,017 0,017 0,005 1008 1008... 1473 973 „1373 1023 .1223 1473 1173... 1543 1073 ..1663 1193 .1543 1223... 1543 Водородное вос- становление диса- лангексахлорида S13C14-I-H2 0,0025 0,025 0,05...0,2 — 6 — 973 1183 .1403 623...972 Пиролиз моноси- лана S1H4+H2 — — 973 293 Водородное вос- становление тетра- хлорида германия GeCU+AsClH-Нг — — 723 Хлоридный метод получения слоев — — 0,0083 1003... 1023 Пиролитический синтез из эфирата триметилгаллия и арсина 673 .723 тепловоспринимающее тело (подложкодержатель), то происходит одновременно и нетермическое активирующее воздействие на процесс роста. При нетермической активации эпитаксиальных процессов имеются два раз- личных подхода к выбору используемого излучения. Поскольку скорость пер- вичных фотохимических реакций зависит от интенсивности излучения и степени его поглощения компонентами реакционной системы, то в ряде случаев нс пользовалось высокоинтенсивное излучение от источников мощностью 500.. ...1000 Вт и плотностью потока излучения больше 1 Вт/см2. Наиболее удобно для этой цели когерентное излучение. Соединения, применяемые в эпитаксиальных процессах кремния и германия, имеют пик резонансного поглощения в дальнем ИК-диапазоне. Это создает дополнительные трудности, так как наиболее рас- пространенные ПК-источники излучают в ближнем ИК-диапазоне. При использовании УФ-излучения для нетермического воздействия на эпи- таксиальные процессы происходит ориентированное нарастание при температуре несколько ниже обычной температуры эпитаксии. Ультрафиолетовое излучение влияет и на процесс эпитаксии кремния ме- тодом водородного восстановления его из тетрахлорида Скорость роста при облучении была больше, чем без облучения (рис. 5.17), и наибольшие различия наблюдаются в области низких температур. Так, при температуре подложки 1123...1173 К скорость роста при облучении на 25...3О7о превышает скорость ро- 216
них слоев кремния, германия и арсенида галлия с использованием излучения Температура начала эпи- таксии, К Диапазон длин волн излучения, мкм Плотность потока излучения, Вт/см2 Мощность источника излучения, Вт Структура слоя Скорость роста, НМ/С — (0,23...0,37) — 100 Отдельные кри- 1.7...33.3 стал литы 1008 УФ 10 500 Монокристалл 1,7...33,3 1273 (0,23 .0,37) —- 100 То же — УФ I0...20 500; 1000 » 5,1 ...16,6 - ик — — » 21,7 —— ПК, УФ — 17000 -— 1173 ПК, УФ 100 (УФ) 6000 х> 1,7...33,3 пк, уф — 17000 1,7...17 — ПК, УФ — — 0,333... 10,2 973 (0,23...0,37) — 100 х> 0,333 1223 ик, уф — 17000 1,7 — УФ — — — _ (0,23...0,37) —. 100 Поликристалл — — (10,6) — 20 Аморфный — слой — УФ — — Монокристалл — — ик — 16000 То же 1,7 673 УФ (0,3371) — 1000 —. ста в обычных условиях, а при температуре 1423...1473 К она практически не зависит от облучения, т. е. подвод дополнительной энергии не ускоряет осаж- дения. Воздействие излучения снижает пороговую температуру начала роста моно- кристалла. При автоэпитаксии кремния методом водородного восстановления его из тетрахлорида, воздействуя на подложку УФ-излучением, можно получить автоэпитаксиальные слои кремния при температуре 1008 К. Без освещения по- роговая температура составляет 1040,7±2 К, что примерно на 40 К выше, чем при облучении. Использование излучения снижает не только пороговую температуру эпи- таксии, но и энергию активации процесса. Так, энергия активации процесса эпитаксии кремния методом водородного восстановления его из тетрахлорида с использованием УФ-излучения составляет 96 кДж/моль (рис. 5.17, штриховая линия), а без излучения—104,6 кДж/моль (непрерывная линия). Параметры процессов эпитаксии, в которых для активации или нагрева использовалось излучение, приведены в табл. 5.4. При эпитаксии с использо- ванием излучения примениют разнообразные источники излучения. Лазеры слу- жат для перевода реакционных компонентов в возбужденное состояние или для локального термического воздействия. При этом они генерируют излучение в широком диапазоне длин волн: от ультрафиолетового до инфракрасного, причем 217
в каждом случае выбор длины волны, а следовательно, и типа лазера зависит от реакционных материалов и от того, на какой объект реакционной системы должно воздействовать лазерное излучение. Плотность потока излучения у ла- зеров значительно больше, чем у ламп, и составляет до 105...107 Вт/смг, хотя н у ламп она может достигать больших значений (5-104 Вт/см2). Наиболее часто источниками излучения являются ртутные лампы, основная доля излучения которых приходится на УФ-диапазон. Максимум излучения ртутных ламп при давлении паров ртути в лампе до нескольких атмосфер соответствует резонансным длинам волн 0,185 и 0,2537 мкм. При более высоких давлениях спектр излучения изменяется, резонансные линии сильно уширяются, а интенсивность излучения на этих длинах волн уменьшается — в спектре излу- чения образуется провал. Этот провал, например, равен нескольким наномет- рам у резонансной линии 0,2534 мкм в лампе ДРШ-250 при давлении паров ртути 36-10л Па. Ртутные лампы, как правило, применяются для нетермиче- ской активации процессов, в том числе для эпитаксии. Источники некогерентного излучения ИК-диапазона (в основном ксеноно- вые и йодидные лампы) используются преимущественно для радиационного нагрева при эпитаксии. Большинство этих источников имеют спектральные ха- рактеристики с максимумом в диапазоне длин волн 0,8...1,1 мкм. Материалом реакционных камер или окон, через которые в реакционную зону поступает ИК-излучение, является чаще всего кварц, интенсивно поглощающий излучение с длиной волны более 3,5 мкм. Применение источников с максимумом излучения в диапазоне 1,5...2,5 мкм увеличивает долю энергии, поглощаемую стенками реакционной камеры, что приводит к нежелательному повышению их темпе- ратуры. Аппаратуру с использованием излучения по относительному расположению источника излучения и реакционной камеры можно разделить на две группы: 1) источник излучения находится вне реакционной камеры (рис. 5.18, а) и внутри нее (рис. 5.18,6). В аппаратуре 1-й группы излучение обычно вводится в реакционную зону через окно из материала, прозрачного для данного излу- чения. При этом энергия излучения поглощается и рассеивается воздушным промежутком источник — реакционная камера (£,), через который проходит излучение (£’2), и промежутком окно — подложка (Е3). Последний вид потерь учитывают, если излучение воздействует на поверхностный слой газовой фазы у подложки или только на поверхность подложки. При излучении источником в направлении подложки энергии Ео подложка подвергается воздействию энер- гетического потока Е=Е0=Еп=Е0—(Е^Ез+Ез). Если излучение воздействует на газовую фазу реакционной зоны, то его энергия Е=ЕВ—Еп =Е0— (Е,+£2) В аппаратуре 2-й группы потери энергии излучения меньше, так как в этом случае исключаются потери на поглощение в воздушном промежутке и в окне, и доходящая до подложки энергия излучения Е=Е0—Еа—Е0—Е3. Энергия из- лучения, воздействующая на газовую фазу, Е=ЕВ—Еа— Eq. Рис. 5.18. Схемы устройств с расположением источника вне (а, в) и внутри (б) реакционной камеры 218
Таким образом, с энергетической точки зрения более выгодным является расположение источника излучения внутри реакционной камеры. Однако нали- чие агрессивной среды, используемой в большинстве технологических процессов, не позволяет ввести в реактор источник излучения и оптическую систему. Ис- точник излучения сам может стать дополнительной причиной загрязнения ре- акционной зоны, кроме того, его необходимо защищать от осаждения непро- зрачных пленок продуктов реакции. Следовательно, аппаратура 2-й группы мо- жет применяться для технологических процессов с неагрессивной средой, а также при использовании излучателей, приспособленных к воздействию агрессив- ной атмосферы, либо специальных мер защиты источников излучения. Так, для предотвращения появления на источнике излучения непрозрачных пленок вы- бирают излучатель с соответствующей длиной волны излучения или в реак- ционной камере с помощью специального охлаждения создают градиент темпе- ратуры, препятствующий распространению продуктов реакции в направлении к излучателю. На рис. 5.18, а изображена установка для газофазной эпитаксии германия. Излучение от источника 1 с помощью оптической системы направляется в ре- акционную камеру 2 через кварцевое окно 9. Оптическая система содержит рефлектор 4, светофильтр 6, прозрачный только для УФ-излучения, конденса- торные 10 и фокусирующие 7 линзы, а также маску 5. Подложка 3, располо- женная на подложкодержателе 11, нагревается резистивным нагревателем 8 до температуры 723 К, что на 50 К ниже температуры восстановления четы- реххлористого германия, подаваемого в реакционную камеру в смеси с водо- родом и арсином. В результате воздействия УФ-излучения на засвеченных местах подложки формируется эпитаксиальный слой германия. Аппаратура для выращивания дендритных кристаллов кремния (рис. 5.18, б) относится ко 2-й группе. Источник излучения 1 с рефлектором 4 расположен внутри реакционной камеры 2. Инфракрасное излучение, отражаясь от реф- лекторов, попадает в основном на торцевую сторону подложки 3, имеющей по крайней мере одну двойниковую плоскость. Торцевая сторона подложки нагре- вается до температуры, несколько превышающей температуру диссоциации га- зообразного соединения полупроводникового вещества, так что кремний осаж- дается иа торцевую сторону подложки. Для того чтобы поверхность роста на- ходилась в ф0КУсе излучения, подложка опускается со скоростью роста ден- дритного кристалла. Предотвращение роста кристалла на других участках подложки обеспечи- вается с помощью сопел 13, имеющих ширину, равную ширине подложки. Че- рез сопла поступает инертный газ, например азот, который обтекает подложку, разбавляя реакционную смесь и предотвращая рост на ее боковых поверхно- стях. Для предотвращения загрязнения источника излучения перед зоной роста параллельно плоскости роста установлена трубка 12 с охладителем. Кроме то- го, за счет охлаждения в газовой фазе возникает температурный градиент, который способствует дендритному росту. На рис. 5.18, в представлена схема устройства для травления и получения пленок из газовой фазы путем разложения соединений, содержащих материал пленки. Устройство сочетает принципы построения аппаратуры 1-й и 2-й групп. Источник излучения 1 расположен вне реакционной камеры 2, но окна, обяза- тельного для аппартуры 1-й группы, нет. Ультрафиолетовое излучение от источ- ника 1 системой фокусирующих линз 7 направляется через маску 5 на подлож- ку 3. Для воздействия на адсорбированный подложкой слой газообразного соединения излучением с определенной длиной волны предусмотрен свето- фильтр 6. Реакционная камера 2 имеет трубчатый отросток 14 с соосно рас- положенными входным 15 и выходным 16 патрубками, через которые продувают инертный газ, например гелий или аргон. Это необходимо для создания газо- вого барьера, препятствующего попаданию атмосферы в камеру, а также вы- ходу реакционных газов в атмосферу. Для поддержания подложки при не- обходимой температуре реакции в непосредственной близости от нее располо- жен нагреватель 8 в охлаждающая трубка 12. В данном устройстве источник излучения с оптической системой защищен от осаждающихся продуктов реак- ции, а подложка с газовой фазой — от проникновения атмосферных газов. Как и в аппаратуре 1-й груццы, обеспечивается легкий доступ к источнику излуче- 219
Рис. 5.19. Схемы устройств с использованием излучения для стимуляции тех- нологических процессов: / — источник излучения; 2— реакционная камера; 3— подложка; 4 — рефлектор; 5 — фо- тошаблон; 6 — фокусирующая система; 7—подложкодержатель; 8—нагреватель; 9 — окно; 10— лодочка; И — индуктор; !2— зеркало; 13— термопара; 14— сетка; 15 — патрубок; 16— нагреватель окна; 17—водяное охлаждение; 18— маска; 19— маскодержатель; 20. 21 — металлические фланцы; 22— светофильтр; 23— кварцевая труба ния и оптической системе, что упрощает управление процессом. Кроме того, здесь отсутствуют ограничения на применяемые длины волн. Излучение в технологических процессах используется для нетермического воздействия на реакционную систему (рис. 5.19) и для ИК нагрева (рис. 5.20). Особенностью этих устройств ивляетси то, что излучение от источника / попадает в реакционную камеру 2 через торцевое кварцевое окно 9 благодаря тому, что ВЧ-индуктор 11 (рис. 5.19,а) и печь сопротивления 8 (рис. 5.19,6), расположенные вокруг реакционной камеры, закрывают доступ к подложке 3 по кратчайшему пути — через боковую стенку реакционной камеры. Это зна- 220
I Рис. 5.20. Схемы устройств с ИК-нагревом рабочей зоны: / — источник излучения; 2 — реакционная камера; 3— подложка; 4 — рефлектор; 5 — подложкодержатель; 6— окно; 7 — водяное охлаждение; 8 — графитовый блок; 9— тепло- изолирующая прокладка; 10 — лодочка; 11— термопара; 12—осаждаемый слой; 13— дюза; 14 — кожух; 15 — источник; 16 — нагреватель; 17 — зажим; 18 — торцевой отража- тель; 19—полусферическая выемка; 20— световод 221
чительно увеличивает расстояние от источника излучения до подложки, что, в свою очередь, повышает потери излучения на поглощение в воздухе. В уст- ройстве для получения эпитаксиальных слоев германия (рис. 5.19, в), как и в устройстве, изображенном иа рис. 5.19,6, нагрев подложки 3 осуществляется печью сопротивления, состоящей из кварцевой трубы 23 н нагревателя 8. Для того чтобы излучение от источника 1 поступало в реакционную камеру, в стен- ке трубы 23 предусмотрено дополнительное кварцевое окно 9. В этом случае также не удается избежать увеличения потерь излучения (за счет второго окна н увеличения длины оптического пути), хотя, возможно, они и меньше, чем в предыдущих схемах (см. рис. 5.19, а, б). Использование излучения для активации технологических процессов огра- ничивает применимость индукционного нагрева или нагрева в печи сопротив- ления. Предпочтительным является резистивный нагрев, когда подложка нагре- вается от контакта с подложкодержателем, через который проходит электри- ческий ток. На рис. 5.19, г изображено устройство с герметичным закреплением под- ложки 3 таким образом, что одна сторона ее соприкасается с газовой фазой реакционной камеры, а другая — с атмосферой, т. е. подложка одновременно является окном. Внутренняя сторона подложки нагревается до температуры, со- ставляющей 0,3...0,7 от температуры плавления ее материала. Затем излуче- нием лазера 1 воздействуют на слой газовой фазы у поверхности подложки, причем длину волны излучения выбирают такой, для которой материал подлож- ки прозрачен, но которая является резонансной для соединения осаждаемого вещества. Данный способ применим, когда длина волны резонансного поглоще- ния соединения полупроводника совпадает с диапазоном прозрачности мате- риала подложки. Преимуществом устройства является отсутствие необходимо- сти защищать окно от пленок продуктов реакции. На рис. 5.19,6 представлены схемы реакционных камер с устройствами для защиты окон. В реакционной камере 2 для защиты окна 9 через патрубок 15 параллельно потоку парогазовой смеси подается струя газа, аналогичная по составу газу-носителю используемой парогазовой смеси. Между окном н реак- ционной зоной создается газовый барьер, толщина которого регулируется рас- ходом газа через патрубок 15. Этот метод наиболее универсален и может ис- пользоваться во всех процессах, где есть вероятность загрязнения окна. На- дежность метода велика, окно остается прозрачным длительное время, а ус- ложнение реакционной камеры и газовой системы незначительно. На рис. 5.19, е показано устройство для получения полупроводниковых струк- тур с защитой окна-световода 9 за счет поддержания последнего прн опреде- ленной температуре. Для этой цели предусмотрены нагреватель 16 и охлаж- дающий элемент 17. Если пленка образуется на нагретых поверхностях, вклю- чается охлаждающий элемент (полая спираль, по которой циркулирует вода). При росте пленки на холодных поверхностях используется нагреватель. Устрой- ство позволяет осуществлять вакуумное напыление материала из лодочки 10 через маску 18 на подложки 3, закрепленные в поворотном подложкодержате- ле 7, а после перевода подложкодержателя в другое положение воздействием излучения от источника 1 может быть проведено газовое травление подложек или нанесение диэлектрических либо металлических пленок. Характер операций зависит от того, какие газообразные соединения подаются в реакционную ка- меру 2. Во всех рассмотренных выше устройствах формирование слоев по требуе- мой топологии на подложке осуществляется с использованием масок. Устрой- ство, показанное на рис. 5.19, ж, позволяет получать необходимую топологию без масок. Здесь излучение от лазера 1, пройдя через фокусирующую систе- му 6 и кварцевое окно 9, попадает в реакционную камеру 2 на подложку 3. Подложкодержатель 7 имеет возможность перемещаться по координатам х и у в плоскости, перпендикулярной лазерному лучу. В зависимости от состава газовой фазы в реакционной камере 2 происходит травление подложки или осаждение металлических, полупроводниковых и днэлектрнческнх пленок. Со- став газовой фазы зависит, в частности, от испаряемого из лодочки вещества. Поскольку травление нли осаждение происходит только в зоне воздействия ла- 222
юго луча, то характер перемещения подложки должен совпадать с задан- ной топологией. Устройство, изображенное иа рис. 5.19, а, предназначено для осаждения иэлектрических пленок фотополимеризацией газообразного соединения на по- верхности подложки. Реакционная камера 2 устройства состоит нз кварцевой оболочки, прозрачной для излучения источника /, которая снабжена двумя ме- таллическими фланцами 20 и 21, что облегчает эксплуатацию устройства. Под- ложки 3 располагаются на охлаждаемом подложкодержателе 7. Фланец 20 имеет металлокерамическое уплотнение с кварцевой частью реакционной ка- меры. На рис. 5.20 представлены схемы устройств, в которых излучение исполь- зуется для ИК-нагрева подложек. От рассмотренных на рис. 5.19 устройств онн отличаются обязательным наличием рефлекторов, фокусирующих излучение в рабочей зоне, и отсутствием других элементов оптической системы. Кроме то- го, источниками излучения здесь являются только лампы, излучающие боль- шую часть мощности в ИК диапазоне, в то время как в аппаратуре для не- термнческой активации источниками излучения являются лазеры или лампы, излучающие в основном в УФ-диапазоне. Еще одно отличие заключается в том, что число источников УФ-излучения обычно не превышает одного-двух, а в аппаратуре с ИК-нагревом оно может достигать нескольких десятков. Радиационный нагрев обладает рядом преимуществ по сравнению с тради- ционными способами нагрева в печах сопротивления и токами высокой частоты. При осаждении из газовой фазы желательно, чтобы процесс происходил в ка- мере с более холодными, чем реакционная зона, стенками. Это предотвращает зарастание стенок и обеспечивает чистоту получаемых пленок, так как одним из источников прнмеси является нагретая оболочка реакционной камеры. На рис. 5.20, а представлена схема устройства для получения эпитакси- альных слоев типа «сэндвич» сульфида кадмия на большой площади. Реакцион- ная камера 2 состоит из соединенных конусным шлифом внутренней н внешней кварцевых оболочек, которые прозрачны для сфокусированного рефлекторами 4 ИК-излучения. На выступах внутренней оболочки реакционной камеры смонти- рованы графитовые тепловоспринимающие блоки 8, на которых фокусируется излучение от источников 1. Подложка 3 контактирует с верхним блоком и от- делена от источника 15, установленного на нижнем блоке 8, теплоизолирующи- ми прокладками 9. Расположение подложки над источником предотвращает воз- можное загрязнение поверхности подложки частицами из источника. Термопа- ры 11 управляют работой излучателей таким образом, что температура источ- ника несколько превышает температуру подложки. В устройстве, изображенном на рис. 5.20, б, ИК-нагрев применен совмест- но с резистивным. Кварцевую подложку 3 устанавливают на подложкодержа- теле 5, нагреваемом за счет прямого прохождения тока. От подложкодержа- теля подложки нагреваются до температуры несколько ниже точки плавления осаждаемого полупроводникового материала. Источник ИК-нзлучения / с помо- щью рефлектора 4 нагревает подложку в узкой зоне до температуры выше точки плавления осаждаемого полупроводникового материала. В эту зону из щелеоб- разной дюзы 13, имеющей ту же ширину, что и подложка, подается реакционная газовая смесь, из которой на подложку осаждается полупроводниковый материал, сразу переходящий в жидкую фазу. Дюза 13 окружена кожухом 14, через кото- рый подается инертный газ, защищающий расплавленный материал. Передвиже- ние дюзы, источника излучения и рефлектора вдоль подложки позволяет форми- ровать на подложке гетероэпитаксиальный слой 12. Так как подложкодержатель 5 остается нагретым, то при охлаждении осажденного слоя поддерживается тем- пературный градиент, который обеспечивает первоначальную кристаллизацию верхней приповерхностной области слоя, что улучшает качество гетероэпитак- сиальных слоев Кроме параболических рефлекторов в устройствах с ИК-нагревом приме- няются эллипсоидальные рефлекторы (рис. 5.20, в, г). В этом случае источник излучения 1 располагают в одном из фокусов рефлектора 4, а подложку 3 — в другом. В устройстве, показанном на рис. 5.20, в, внутри рефлектора установ- лена реакционная камера 2 с графитовым подложкодержателем 5. Особенно- стью аналогичного устройства (рис. 5 20, г) является то, что реакционной каме- 223
рой 2 является нижняя половина эллипсоидального отражателя, отделенная от верхней его части окном (кварцевой пластиной) 6. Эта пластина защищает ис- точник излучения, расположенный в верхнем фокусе отражателя, от осаждения пленок, например, прн получении эпитаксиальных слоев кремния пиролизом мо- носилана. Расположение кварцевой перегородки эквидистантно между фокуса- ми рефлектора соответствует минимуму концентрации лучистой энергии и от- сутствию осаждения на ней кремния. Другой особенностью этого устройства является расположение подложки 3 относительно подложкодержателя 5 на газовой подушке, что значительно уменьшает тепловую энергию при нагреве подложки. Для получения более равномерного нагрева подложкодержатель сдвигают вверх или вниз относительно ннжнего фокуса рефлектора, т. е. на- гревают подложку расфокусированным излучением. Повышению равномерности нагрева подложки способствует и то, что наибольшая интенсивность излучения приходится на центр подложки, а инертный газ, продуваемый через подлож- кодержатель и устраняющий его контакт с подложкой, обеспечивает наиболь- ший отвод тепла также от центра подложки. Это приводит к уменьшению ра- диальных и азимутальных градиентов температуры по поверхности подложки. На рис. 5.20, д изображено устройство для осаждения поликристаллических пленок нитрида алюминия в вакууме. Источник излучения 1 и рефлектор 4 обеспечивают поверхностную плотность потока излучения до 200 Вт/см2. В этом устройстве наряду с ИК-нагревом подложки 3 применяется и нагрев от печн сопротивления 16 части кварцевой реакционной камеры 2 с лодочкой 10, содер- жащей испаряемое вещество. На рнс. 5.20, е представлена схема печи с ИК-нагревом для выращивания кристаллов. Поперечное сечение рефлектора 4 выполнено в виде двух эллипсов с одним общим фокусом, а в двух других расположены лампы. Вся печь имеет форму тела вращения. Две трубчатые лампы 1 изогнуты в виде полуколец и установлены так, что образуют замкнутую окружность, совпадающую с окруж- ностью, на которой находятся внешние фокусы. Прн такой компоновке в не- большом объеме в центре печи концентрируется большое количество энергии. Торцы ламп подсоединяются к зажимам 17, которые экранируют их от излу- чения. На рнс. 5.20, яс изображена схема наиболее распространенной конструкции диффузионной печи с ИК-нагревом, осуществляемым источниками излучения 1 в виде трубчатых ламп, обычно имеющих максимум излучения на длине волны 1 мкм. Эти лампы расположены вокруг кварцевой реакционной камеры 2. Чис- ло ламп и их мощность зависят от требуемых характеристик печи. Лампы ок- ружены общим цилиндрическим рефлектором 4, который охлаждается водой. Стенкн торцевого отражателя 18 также имеют отражающее покрытие, которое уменьшает перегрев токовводов ламп и одновременно увеличивает длину изо- термической рабочей зоны. При использовании ламп повышенной мощности предусматривают также водяное охлаждение торцев печи. Цилиндрические от- ражатели обеспечивают высокую плотность энергии в рабочем объеме, доста- точную для нагрева подложек до температуры 1523...1673 К. Особенностью реактора для получения эпитаксиальных слоев диэлектриче- ских пленок (рис. 5.20, з) является расположение источников излучения 1 внут- ри цилиндрического рефлектора 4 в его полусферических выемках 19, являю- щихся дополнительными отражателями. В качестве источников излучения при- менены расположенные вертикальными параллельными рядами лампы, излу- чающие в ближнем ИК-диапазоне. Подложки 3 располагаются ярусами на вращающемся подложкодержателе 5, имеющем форму пирамиды. На рис. 5.20, и показана схема устройства для получения эпитаксиальных слоев кремния из газовой фазы с использованием ИК-нагрева подложек. Осо- бенностью этого устройства является применение плоских рефлекторов 4, кото- рые образуют замкнутый объем. В полусферических выемках 19, являющихся дополнительными отражателями, размещены источники излучения 1, излучаю- щие, как и в предыдущем устройстве (рис. 5.20, з), в ближнем ИК-днапазоне. Подложки 3 располагаются на непрозрачном для ИК-излучения подложкодер- жателе 5 внутри кварцевой реакционной камеры. Особенностью устройства, изображенного на рис. 5.20, к, является нагрев подложки 3 потоком излучения, сконцентрированным с помощью световода. 224
Излучение источника длиной волны 0,45...1,5 мкм примерно соответствует диа- пазону поглощения подложки. От источника / излучение по световоду 20 кон- центрированным пучком доходит до подложки, нагревая ее с обратной стороны „о необходимой температуры. Световод, изготавливаемый из кварца или сап- фира, для большей равномерности нагрева подложки вращается относительно продольной оси. Форма световода может быть цилиндрической илн конусной; последняя обеспечивает большую концентрацию излучения и может использо- ваться для локального нагрева подложек. Влияние электрического поля на скорость роста эпитаксиальных слоев. Воздействие внешнего электрического поля на эпитаксиальный процесс, как правило, сопровождается значительными изменениями скорости роста, которая зависит как от напряженности поля, так и от полярности приложенного к под- ложке напряжения. Так, при эпитаксии германия, легированного галлнем, в электрическом поле скорость роста в поле увеличивается примерно на 20%, когда к подложке прикладывают отрицательное напряжение. Электрическое поле требуемой напряженности в реакционной зоне можно создать двумя способами; разместить реактор между электродами или раз- местить электроды в реакторе. Первый способ проще, так как отпадает необходимость в герметичных вы- соковольтных токовводах. Электроды в этом случае могут изготавливаться практически из любого электропроводного материала, так как они не подвер- гаются воздействию высоких температур н агрессивной среды. Однако внешнее расположение электродов имеет и существенные недостатки: вследствие боль- шого расстояния между электродами для создания требуемой напряженности поля необходимы весьма высокие напряжения на электродах. Применение внеш- них электродов возможно только в том случае, когда реакционная камера из- готовлена из диэлектрического материала (например, кварца), поскольку метал- лическая оболочка экранирует электрическое поле. Однако наличие в межэлект- родном промежутке кварцевой оболочки, на внешней н внутренней поверхности которой могут образовываться заряды, уменьшает и искажает электрическое по- ле в реакционной зоне. При эпитаксии германия методом диспропорционирования дийодида гер- мания в электрическом поле (рис. 5.21, с) используется реактор 1 в виде квар- цевой запаянной трубки с молибденовыми электродами 2, которые закрепляют- ся на вплавленных в кварц молибденовых вводах 15. Эпитаксию кремния в электрическом поле проводят и в системах открытой трубы (7 и 8— вход н выход системы). На рис. 5.21,6 представлена схема с реактором I, который имеет пьедестал 5, выполненный из высокоомного (300 Ом см) кремния n-типа проводимости, с диаметром 30 и высотой 40 мм. В другом реакторе (рис. 5.21, в) пьедестал отсутствует и подложка 3 уста- навливается на площадку в нижней части реактора. В обоих случаях необхо- димую для реакции тепловую энергию обеспечивает графитовый блок 6, кото- рый нагревается токами высокой частоты от катушки генератора 4. Для со- здания в реакционной зоне постоянного электрического поля над подложкой на расстоянии 15...25 мм устанавливается танталовый электрод 2 в виде диска с радиальными прорезями. Электрод противоположной полярности, который представляет собой танталовую полоску 9, размещается в нижней части реак- тора и присоединяется к пьедесталу или непосредственно к подложке. Устройство, схема которого представлена на рис. 5.21, а, предназначено для получения автоэпитакснальных слоев кремния в хлорндном процессе одновре- менно на нескольких подложках 3, которые устанавливаются вертикально из резистивном графитовом нагревателе 12. К этому нагревателю помимо напря- жения накала присоединен один из полюсов источника высоковольтного на- пряжения. Электродом противоположной полярности является графитовый эк- ран 11, который образует с резистивным нагревателем реакционные зоны, под- вергаемые воздействию электрического поля. В установке, показанной на рис. 5.21,6, для эпитаксиального наращивания структур типа «сэндвич» в качестве электродов используются источник и под- ложка. Точность установки зазора между источником и подложкой составляет ±5 мкм. В процессе эксперимента можно менять зазор, а также вращать ис- точник и подложку. Процесс осуществляется в кварцевом реакторе 1 с соосно 15 Заказ № 1270 225
1 цмкм/мин 01_____i---1----1---1----1---L— 0,60 0,76 0,80 О,SO Ю3/Г,К установленными кварцевыми держате- лями 13, иа которых закреплены графи- товые блоки 6 с подложкой 3 и источни- ком 10. Печь сопротивления 14 с неза- висимым электропитанием верхней и нижней секций нагревает блоки 6, что обеспечивает заданную температуру под- ложки и источника. Для проведения процесса в электрическом поле напря- жение к подложке и источнику подают через специальные токовводы 15. Герме- тичное уплотнение между врашаюши- Рис. 5.22. Температурные зависимости скорости роста автоэпитаксиальных сло- ев кремния при отсутствии напряжения на подложке (/) и при напряжении 2 кВ (2) 226
мися держателями 13 и неподвижным реактором 1 обеспечивается с помощью слоя жидкого галлия. Для совместного воздействия УФ-излучения и внешнего поля на процесс эпитаксии арсенида галлия из смеси эфнрата триметнлгаллия и арсина создана установка, показанная на рис. 5.21, е Реактор 1 изготовлен из синтетического кварца с окном из кварца марки КУ-1, пропускающего УФ-излучение от ис- точника 16. Прямоканальный нагреватель — подложкодержатель 12 из стекло- углерода служит одновременно нижним электродом. Вторым электродом 2 яв- ляется молибденовая сетка с прозрачностью не менее 80%, что позволяет осу- ществлять комплексное воздействие на зону роста излучения и электрического поля с малыми потерями потока излучения и при сохранении однородности электрического поля. Температурные зависимости скорости роста эпитаксиальных слоев кремния в электрическом поле и в отсутствие его представлены на рнс. 5.22. 5.4. Легирование в процессе эпитаксии Легирование в процессе эпнтаксни можно проводить из примесей, раство ренных в кристалле, нз паров, находящихся в элементарной форме, из газов и паров, содержащих примесные элементы. Первый способ позволяет изменять тип н концентрацию примеси в широ- ком диапазоне. При использовании летучих примесей — фосфора, мышьяка, сурьмы — нх атомы не могут быть перенесены нз источника до тех пор, пока не испарятся окружающие нх атомы полупроводника. Таким образом можно регулировать концентрацию примеси. Введение примесей в состав газообразных веществ производят с исполь- зованием фосфина РНз, арсина AsH3, диборана В2Нв н некоторых других газов, добавляемых в водород илн инертные газы в концентрациях 5-10~4 1%. В методе открытой трубы применяют легирование нз паров трехбромистого бора ВВгз, треххлорнстого фосфора РС13 или оксихлорида фосфора РОС13, до- бавляемых в сосуд с SiCl4, либо испаряемых нз отдельных резервуаров. При росте пленки происходит перераспределение атомов примеси нз подложки в пленку. Процесс легирования пленки за счет диффузии атомов примеси из под- ложки называют автолегированием. Существует макроавтолегнрованне, при ко- Рис. 5.23. Распределение концентрации примеси в эпитаксиальном слое при создании п—п+=(а) и р—« переходов (б) 15* 227
тором нежелательные легирующие атомы перемещаются внутри реактора от одной пластины к другой, и микроавтолегирование, при котором посторонние примесные атомы внедряются в локальные области эпитаксиального слоя той же самой пластины. Распределение концентрации прнмеси на границе пленка — подложка Сх=Соехр (— /L) ± Се [ 1 —exp (—xt [L) ], где Сх — концентрация примеси в пленке на глубине хг, Со — концентрация примесн на границе пленки с подложкой; Се — равновесная установившаяся концентрация примеси в эпитаксиальном слое; L — параметр наклона функции распределения, зависящий от коэффициента и времени диффузии. Первый член равенства характеризует легирование пленки примесью под- ложки, второй — легирование газовой фазы. Результирующая концентрация оп- ределяется суммой обоих членов, если пленка и подложка одного типа элект- ропроводности, н разностью, если разного. Соответствующие кривые распределе- вия примесей показаны на рис. 5.23. Положение р— «-перехода х в эпитаксиальном слое можно вычислить, ло- гарифмируя приведенное выражение с учетом условия Сх=0 при x=xj:x,= = L In (С0/Се-]-1). 5.5. Контроль параметров эпитаксиальных слоев Определение толщины. Для определения толщины эпитаксиальных пленок одним из наиболее точных является метод интерференции ИК-лучей. Отражение ИК-лучей в диапазоне длин волн 10...35 мкм происходит не только от поверх- ности пленки, но и от границы раздела пленка — подложка вследствие раз- личия показателей преломления света пленки и подложки. С уменьшением кон- центрации примесн в подложке растет пропускание ею лучей н уменьшается отражение их на границе. Разность хода лучей б, отраженных от пленки и подложки, определяется толщиной пленки Д, ее показателем преломления све- та п и углом преломления света <р: 6=2пД cos гр. Измеряя разность хода лу- чей, определяют толщину пленки. Толщину эпитаксиального слоя можно так- же найти при наблюдении за дефектами упаковки. Выявление дефектов. Эпитаксиальные слои содержат в основном дефекты двух типов— дислокации и упаковки. Причиной появления дислокаций может быть подложка (дислокации кото- рой «наследуются» растущей эпитаксиальной пленкой), а также сам процесс эпитаксии. Дислокации могут вноситься и во время подготовки подложки (ме- ханическая обработка, окисление, диффузия, сопровождающие процесс получе- ния эпитаксиальных структур со скрытыми слоями), и во время процесса эпи- таксии. Повышенная концентрация дислокаций нередко сопровождается обра- зованием линий скольжения, на которых сосредоточено около 98% дислокаций. Основной причиной генерации дислокаций являются напряжения, возникающие в кремнии во время механической обработки, а при термообработках — вслед- ствие градиентов температур по площади пластины. Во время изготовления структур со скрытыми слоями источником напряжения могут быть несоответ- ствия в атомных радиусах кремния и легирующих примесей. Одним из спосо- бов уменьшения концентрации дислокаций несоответствия в структурах со скры- тыми слоями может служить введение электрически нейтральной «компенсирую- щей» примеси. Для уменьшения напряжения во время термообработок используют два способа а) «мягкие режимы» термообработки в диффузионных печах. Применяются для того, чтобы уменьшить радиальные градиенты температуры по пластине, возникающие при нагреве пластин до рабочей температуры и охлаждении нх после завершения термической операции. Так как пластины устанавливаются в лодочке вертикально, на небольшом расстоянии одна от другой н экранируют тепловое излучение, то края пластин быстрее нагреваются прн повышении тем- пературы и быстрее охлаждаются при извлечении лодочки из рабочей зоны. 228
Рис. 5.25. Зависимость Клс от диа- метра пластин при разных темпера- турах эпитаксии Рис 5.24. Зависимость Клс от тем- пературы эпитаксии (диаметр пла- стин 76 мм) при ВЧ-нагреве (/) и ИК-нагреве (2) что приводит к возникновению радиального градиента температуры. Для умень- шения этого эффекта предлагается медленный нагрев и охлаждение; б) специальную закрытую конструкцию лодочки и экранирование холодной зоны печи фольгой. Это в значительной степени уменьшает радиальный гради- ент температур при нагреве и охлаждении пластин. На рис. 5.24 показана зависимость коэффициента заполнения пластины ли- ниями скольжения Клс от температуры эпитаксии при разных методах нагрева (ВЧ- и ИК-нагрев), на рнс. 5.25 — зависимость Кт от диаметра пластин при тем- пературах 1273 К (7) и 1473 К (2). Основные причины появления эпитаксиальных дефектов упаковки: примеси металлов, органические загрязнения, остатки растворителей на по- верхности подложки, т. е. неудовлетворительная очистка поверхности пластин перед эпитаксией; механические повреждения поверхности (нарушенный слой, царапины, ско- лы); линии скольжения, скопления дислокаций и т. д.; остатки окисной пленки, всегда присутствующей на поверхности кремния после пребывания подложек на воздухе и полностью не удаляемой во время предварительного нагрева подложек в атмосфере водорода перед началом осаж- дения эпитаксиальной пленки (недостаточная длительность прогрева, низкая температура, следы влаги илн кислорода в реакторе — все это препятствует пол- ному удалению окисной пленки); наличие загрязнений в атмосфере реактора перед началом и во время осаж- дения пленки (углеводороды, прнмесь кислорода и влаги). Особенно заметно Рис. 5.26. Зависимость концентрации эпитаксиальных дефектов упаковки от режимов эпитаксии в увлажненном (о) и очищенном (б) водороде (С-концент- рация) 229
это влияние при уменьшении температуры эпитаксии. Рисунок 5.26 иллюстри- рует влияние условий осаждения на концентрацию дефектов упаковки для хло- ридного процесса в присутствии влаги (точка росы 333 К, рис. 5.26, а) и при использовании водорода, очищенного на установке палладиевой очистки (рис. 5.26,6). Концентрация ЭДУ увеличивается при уменьшении температуры, повышении концентрации SiCl4, наличии влаги в атмосфере реактора. Характерная особенность бездислокацнонного кремния — скопления (класте- ры) точечных дефектов вокруг включений SiO2 или SiC: атомы примесей, меж- узельные атомы кремния, вакансии. Эти кластеры возникают уже на этапе выращивания монокристаллов и могут быть выявлены травителем Сиртла. Раз- личают две разновидности—крупные (1...3 мкм) A-кластеры и мелкие (0,05... ...0,08 мкм) В-кластеры. Первые после травления имеют вид бугорков, вторые — вид ямок. Радиальная неоднородность распределения этих микродефектов по- казана на рис. 5.27. Прн наличии дислокаций, которые являются эффективным стоком для точечных дефектов, концентрация кластеров уменьшается. Дефекты упаковки встречаются в форме равносторонних треугольников и прямых лнннй, иногда образуются более сложные формы: комбинации тре- угольников и линий. В конце каждой линии присутствует линия дислокации. Рисунок 5.28 иллюстрирует образование трехмерных геометрических дефек- тов. Образование дефекта происходит в точке N, расположенной на подложке или вблизи нее, и развивается вдоль трех наклонных плоскостей. На поверхно- сти пленки появляется треугольник, а на поперечном срезе — V-образная фигу- ра. Линейный дефект также начинается в точке N, но развивается только вдоль одной из трех плоскостей. Более сложные формы образуются в процессе взаи- модействия нескольких дефектов. Зарождаются дефекты упаковки преимуще- ственно на границе пленка — подложка и образуют правильные тетраэдры с вы- сотой, равной толщине плеикн: Д=0,816£, где L — длина стороны равносторон- него треугольника (рис. 5.28). Выявление дефектов упаковки в кремнии производят в травителях: 40 см3 HF, 20 см3 HNOs, 40 см3 Н2О, 20 г AgNO8, 30 см3 HNO3, 120 см3 СН3СООН, 10 см3 HF. Травление ведется 5...20 с. Измерение удельного сопротивления. Если пленка образует на границе с под- ложкой р— «-переход, то изменение удельного сопротивления производится че- тырехзондовым методом или его упрощенной модификацией — двухзондовым методом. Для контроля структур п — п+- н р — р+-типа применяют трехзондо- вый метод. Среди других методов контроля эпитаксиальных слоев наиболее широко используют измерение электрофизических параметров эпитаксиальных пленок. Распределение концентрации примеси. В эпитаксиальной пленке с противо- положным подложке типом проводимости определение распределения концент- рации примеси проводят последовательным удалением тонких слоев и измере- нием проводимости четырехзондовым методом. Для пленок одного типа про- Рис. 5.27. Распределение А- н В-кла- стеров по диаметру пластины Рис. 5.28. Дефекты упаковки: DE — линейные; АВС — треугольные; N — зародышевая точка образования де- фектов 230
водимости с подложкой на разной глу- бине создают р — «-переходы, к которым прикладывают небольшое обратное сме- шение и с помощью мостовой схемы измеряют емкость. Затем эталонную ем- кость моста изменяют примерно на 1% и, изменяя смещение, добиваются балан- са моста. Это позволяет определить на- клон иольт-фарадной характеристики dC/dU —C./U при данных С и U. На ос- новании формулы Шотки находят кон- центрацию примеси в пленке (/V) и ши- рину области объемного заряда (w): Са / 1 \ N= ----( — -----1, ш——, ге \ dC/dU) Св где е — абсолютная диэлектрическая про- ницаемость; е — заряд электрона; Сд — удельная емкость перехода. На рнс. 5.29 приведена номограм- ма для кремния, построенная на осно- вании приведенных выражений По из- вестным Сд, dC/dU определяют кон- центрацию примеси и ширину области w для контроля возможной погреш- ности. Для контроля профилей мно- гослойных эпитаксиальных структур при- меняют электрохимические методы. Рис. 5.29. Номограмма для опреде- ления концентрации прнмесн на ос- новании вольт-фарадной характери- стики р—«-перехода Глава 6 Ионная имплантация 6.1. Физические основы ионной имплантации Ионная имплантация — процесс внедрения в твердотельную подложку иони- зированных атомов с энергией достаточной для проникновения их в припо- верхностные области подложки (от кило- до мегаэлектронвольт). Наиболее общим применением ионной имплантации является процесс ион- ного легирования материалов, так как технология ионной имплантации позво- ляет с высокой точностью управлять количеством легирующей примеси. Ион- ная имплантация характеризуется универсальностью и гибкостью процесса, что позволяет получать необходимые концентрации примеси в случаях, когда дру- гие методы неприемлемы (легирование бором и фосфором в алмазах). Маски при данном методе легирования могут быть изготовлены из фоторезистов, окис- лов, нитридов, поликристаллического кремния и др. Процесс ионной импланта- ции может осуществляться при низких температурах (вплоть до комнатных)', благодаря чему сохраняются исходные электрофизические свойства кристаллов. При ионной имплантации возникает обилие радиационных дефектов в об- лученном материале вплоть до образования аморфного слоя. Такие дефекты устраняют почти полностью путем кратковременного отжига (в кремнии при 900...1100 К). На рис. 6.1 показана схема устройства для ионной имплантации. Имплан- тируемые ионы получаются путем ионизации соответствующего пара или газа и экстрагируются нз источника потенциалом 10 кВ Пучок ионов формируется о помощью системы электростатических лииз. Для его совмещения в щелью магнита используются отклоняющие пластины (3, 4, 6). Увеличение энергии 211
Рис. 6.1. Схема устройства для ионной им- плантации с магнитной сепарацией пучка ионов: / — источник ионов; 2— электростатические лин- зы; 3 — вертикально отклоняющие пластины; 4, б — горизонтально отклоняющие пластины; 5 — выходная щель камеры; 7 — камера; 8 — имплантируемые мишени; 9 — печь для подо- грева имплантируемых мишеией; 10— легирую- щий материал (газ); 11 — нить накала: 72 — диафрагма; 13, 16 — трехэлектродные лиизы; 14 — управляющая пластина; 75 — полюсы маг- нитного сепаратора; 17 — источник питания до значений, превышающих 10~16 Дж, осуществляется ускорением ионов путем подачи высокого напряжения на ускоряющие электроды и мишень (пласти- ны) Геометрия системы позволяет получать пучок сечением 4...5 см2 Расходи- мость пучка составляет ±2°, плотность тока — 0,3.10 мкА/см2 Давление в си- стеме поддерживается на уровне 10~3 Па в камере источника ионов и 10-5 Па в камере имплантируемой мишени. В технологии МЭУ при имплантации используются трн вида материалов: аморфные, поли- и монокристаллические Аморфные и поликристаллическне материалы служат в качестве масок при имплантации ионов. В монокристалли- ческих материалах (полупроводниковых) создаются структуры с заданным про- филем концентрации примесей При внедрении в мишень быстрые ионы в результате столкновений с атом- ными ядрами и электронами теряют свою энергию и останавливаются. Длина пути ионов от поверхности мишени (точка 0) до точки внедрения называется длиной пробега R, а ее проекция на направление первоначального движения — Рис. 6.2. Длина пробега внедренных ионов (R— полная длина пробега; Rv — проекция полной длины пробега) 232
проекцией пробега RP (рис 6 2), ко- торая является экспериментально определяемой величиной. Распределение пробега ионов в атмосферном теле зависит главным образом от их энергии и атомной массы, а также вещества мишени. Для монокристаллических мишеней на распределение пробега влияет ори- ентация их граней относительно пуч- ка ионов и наличие эффекта кана- лирования — движение ионов по ка- налам, образованным атомными плос- костями. При движении ионов в твердом Рнс. 6.3. Теоретические кривые для ядерного (/) и электронного (2) тор- можений (р и е—безразмерный пробег и энергия соответственно) теле внедряемые в подложку ионы меняют направление своего движения из-за столкновений с атомами мишени, ко- торые могут покидать свои первоначальные положения в узлах кристаллической решетки. В результате вдоль траектории внедренных ионов образуются многочис- ленные вакансии и междоузельные атомы. Возникают целые области, в которых нарушена кристаллическая решетка, вплоть до перехода монокристалла в аморф- ное состояние. При этом обычно оценивают два вида потерь энергии ионами — в результате взаимодействия их с электронами (как связанными, так и сво- бодными) и ядрами. В первом приближении считается, что оба вида потерь не зависят друг от друга и действуют одновременно. Характерные теоретиче- ские кривые ядерного и электронного торможений изображены на рис. 6.3. Ядерное торможение более существенно при малых энергиях, электронное тор- можение преобладает при высоких энергиях ионов С увеличением массы внед- ряемых ионов возрастают потоки энергии за счет столкновений с ядрами ми- шени Среднее значение удельных потерь энергии для одного бомбардирующего иона можно представить в виде суммы ядерной S„ и электронной S« состав- ляющих процесса торможения: -d£/dx=yV(S„+Se), (61) где Е — энергия нона в точке х, расположенной на его пути; W — среднее чис- ло атомов в единице объема. Согласно (6.1) ______1_ dE__ dX= N Sn+S„ ‘ Таким образом, полная проекция пробега Rp для ионов с энергией Е опре- деляется как 1 С dE N J S„+S. ’ о (6.2) Ядерная тормозная способность не зависит от энергии движущихся ионов: S„=4,3-10-3» z,z2 Af| z1/3 Л4|-1-Л!2 (6.3) где zb z2— атомные номера движущегося иона и атома мишени; г’/3=« ~ (г2 3 +22 Mi, М2— молярные массы иона и материала мишени. Электронная тормозная способность пропорциональна скорости движущихся ионов: 5е=й£1/2. (6.4) Для аморфной мишени коэффициент k определяется природой ионов и ма- териала мишени. Поскольку S« изменяется с увеличением энергии Е, a S„ ос- 233
тается постоянной, должна существовать некоторая энергия ЕкР, при которой S«=Sn. Тогда для кремниевой мишени (Л12—28, z2=14) 1421 =6’5-10-’ Г(14)^ +-(Z,^.A (65> При имплантации в кремний ионов бора (г,—5, Л11 = 10) ЕнР==2-10~16 Дж, а при имплантации ионов фосфора (zi = 15, Л41=30) ЁкР=3-10~и Дж. Если начальная энергия бомбардирующего атома значительно меньше Екр, т. е. Sn>>S«, то преобладает торможение на ядрах. Для этого случая урав нение (6.1) можно записать в виде -dE/dx~NS„ (6.6) Проекция пробега ионов для кремниевой мишени (A'Si=5-1022 см'3) соглас но (6.2) z1/3 Ali+AU Яр=1,12-10-и--------Е, Z|Z2 М । где Цр — в м; Е — в Дж. Если начальная энергия движущихся ионов много больше Е„р, то преоб ладает электронное торможение. При этом проекция пробега для кремниевой мишени (6.7) (?р<=5Е'/2. (6.8) Эта формула удобна для оценки максимальной длины R-, быстрых бомбарди рующих ионов в кремниевых мишенях при отсутствии каналирования. Напри- мер, при энергии падающих ионов 1,4-10-1* Дж Рр=5-(1,4-10_,4),/2«6-107 м®> «0,6 мкм. Радиационные нарушения в мишени создаются главным образом при !>Se. Поэтому при внедрении ионов малых энергий радиационные дефекты об разуются вдоль всей траектории, а при высокой энергии ионов — только в кон- це их пробега. Средние значения проекции пробега и нормального отклонения проекции пробега для ионов III и V групп с различной энергией в кремниевой мишени приведены в табл. 6.1. Зависимости dE/dx и длины пробега Rp от энергии для Рис. 6.5. Зависимость проецирован- ной длины пробега Rv ионов As, Р и В от энергии, полученная расчет- ным путем As" Энергия. кэВ Рис. 6.4. Зависимость dE/dx от энер- гии, полученная расчетным путем, для As, Р и В (точками отмечены значения энергии ионов, при которых составляющие ядерного и электрон- ного торможения равны) 234
Таблица 6.1, Средние значения проекции пробега А>р и нормального отклонения проекции пробега Л£р для ионов 111 и V групп в кремниевой мишени, нм 200 ТО СП Ю ТО сч сч О СО- o' ь-' ’Ф о то —< о сч со о СП ь- сч оо то"-* то со сч со"-* — сч 110,1 21,9 Г--, сч сч'то~ то -* о^то о"сч оо — 180 665,4 121,3 LOCO. сч'сч" СП О СЧ—* coin СО СО сч Ь^СО г-" со" СЧ 1.0 сч то 1ПСЧ о сч in cd о осч 75,6 12,1 о in то—Г ТО СО О — СО —« 443 96,9 то то тосп СО ТО сч 201,4 51,8 СП ТГ СП СП -и 89,1 18,2 sd СП tn со сэ со -* , кэВ 140 тг со tdcn со о то — СО то сч'о" СП СП со ТО -Ф 1П -ф о то сч сч со то со СПО сч"г< то -* СП То к ю — о со — О То спел то пряжение 120 со то то"сч" со ° о то -ф оо со ТО СО °0. СЧ tn ^Rtn °°СП то то то ТО ’* сч"то" то X <У § 2 к о 100 397,7 93,9 ТО -* tJ^TO 00 г- сч СО ОО то тг то »п СЧ то —Гео" то —. ^Что со" ь-" ТОfb*" >» S ТО СП то то СЧ СО со СП сч S сч СО О0_ — то in ТО СП спсч сч in o'—Г то -* — то ТОО* то СП то" то то то" со то СО со со то о' сч тосч_ ото со то ТО ТО тог-" тосч сч —* то то г- сч сч — СП о то 37,7 8,4 сч то 55й5' 00.-* ото то 9 со —« то" СО то СО со —."сп —< со со in со о ТО —* СП со" 27,1 6,2 ТО хГ СЧ СП то сч'то сч СЧ row' г- со — СП т!*"сч" тосч со cd сч то со —> о то то 14,1 2,5 ’ФСЧ •—< Показатель в. оЛ iaj < р, Rift: io: < я А Rift: lftr< я. Я.ЮС •о; < Л Др R Ион ta z о. Ga As с »—* Sb 235
и В, Р в кремнии приведены на рис. 6.4 и 6.5 На рис. 6.5 представлены ре- )ультаты имплантации в аморфную кремниевую мишень и термический окисел SiO2 (плотность 2,27 г/см3). Если известны значения Rp и Д£р и полная ионная доза облучения, мож- но определить профиль концентрации примеси в кремниевой мишенн. Полной дозе облучения соответствует поверхностная концентрация ионов Ne, бомбарди- рующих мишень: Na = Qotn/q, (6.9) где фоб.»—доза облучения, Кл-см-2; q— заряд иона, Кл/ион. Распределение концентрации примеси в кремниевой мишени, легированной методом ионной имплантации, подчиняется гауссовскому закону N. Nx—------—I exp Д/?р F 2л х—RP SRP исх. (6.10) где й/исх — исходная концентрация примеси в кремниевой мишени. Профиль распределения концентрации примесей ионов, внедренных в аморфную мишень, приведен на рис. 6.5 Максимальная концентрация примеси, соответствующая наиболее вероят- ной проекции пробега Rp (х=7?р), R max — O,47Vs/A/?p. Глубина залегания p— «-перехода (6 11) Xj=Rp±A/?p 1 / 2 In------ * (6.12) ' ARpNucxV 2л При извлечении корня следует учитывать оба знака, так как концентрации оп- ределяются в объеме полупроводника. При этом возможно одновременное об- разование двух р — «-переходов (рис. 6.6). Распределение пробегов ионов в монокристаллических мишенях отличается от их распределения в аморфных тем, что в монокристаллах направление па- дающего пучка ионов может совпадать с одним из основных кристаллографиче- ских направлений мишенн (рис. 6.7,а), что связано с эффектом каналирования. Движение ионов строго по центру канала маловероятно. Однако может существовать траектория, осциллирующая около оси канала, если имплантиро- ванные ионы передвигаются (постоянно сохраняя импульс, направленный к центру канала) с помощью последовательных легких соударений с атомами, образующими «стенки» канала. Такая траектория движения ионов показана на рнс. 6.7, б, где направление пути иона составляет угол ср с осью канала. Максимальный угол ср, прн котором исчезает направляющее действие ато- мов мишенн, называется критическим углом каналирования фКр. Он определяет возможность каналирования. Для большой энергии падающих ионов Ef когда ztz^2d 2ле0с2 (6.13) а для энергии, меньшей чем £0, Рис. 6.6. Профиль распределения концент- рации примесей ионов, внедренных в аморфную мишень 236
a) Рис. 6.7. Схема, поясняющая процессы ка- налирования и распределения падающих ионов по глубине (а), н траектория дви- жения каналированного иона (б) А — область, в которой распределение леет такой же вид, как и в аморфной мншен* , В — распределение ионов, создаваемое канал..,кова- нием; Б — область деканалирования г^е2 са 1/2 ^•2лео£/ ^2d Е<1/\ (6.14) где Zi, г2 — атомные номера ионов и атомов мншени; е — заряд электрона; во—• диэлектрическая постоянная вакуума; d — расстояние между атомами мишени; с — постоянная, зависящая от потенциальной энергии; а — радиус экранирова- ния; Ej — энергия падающих нонов. В табл. 62 приведены критические углы каналирования в кремнии для ио- нов бора, азота, фосфора и мышьяка. Таблица 6.2. Критические углы каналирования в кремнии для ионов бора, азота, фосфора и мышьяка Ион Энергия, кэВ фкр, град Ориентация кристалла ЦЮ| | ПН) 11001 В 30 4,2 3,5 3,3 50 3,7 3,2 2,9 N 30 4,5 3,8 3,5 50 4,0 3,4 3,0 Р 30 5,2 4,3 4,0 50 4,5 3,8 3,5 As 30 5,9 5,0 4,5 50 5,2 4,4 4,0 Расчет концентрации внедренных ионов для каналированного пучка ослож- нен действием деканалирующнх факторов (тепловые колебания атомов мише- ни, несовершенство структуры и др., рис. 6.8). 237
Рис. 6.8. Зависимость рассеяния Д/?р и A/? j от энергии, полученная расчетным путем для ионов As, Р н В, имплантированных в кремний Если известны экспериментальные значения Se, то Rp max — 2£ч/!В, (6.15) где B=NSeE^2 —постоянная, зависящая от S«, измеренной при некотором фиксированном значении Е$. Если предположить, что все ионы идеально каналированы, то распределе- ния концентрации ионов в мишени будут иметь два максимума: один для нека- налированных ионов согласно (6.11), другой дли идеально каналированных ио- нов в соответствии с (6.15) (рис. 6.9). В полупроводниковой технологии эффект каналирования дает возможность получать более глубокие легированные слои и уменьшать число радиационных нарушений. На образцах кремния с ориентацией поверхности [НО] относительно пучка с точностью до 0,1° вероятность каналирования с ростом энергии ионов возрас- тает, а с увеличением дозы облучения Рис. 6.9. Схема образования реаль- ного профиля концентрации приме- сей в твердом теле: 1 — для неканалнрованиых ионов; 2 — реальное распределение; 3 — для идеаль- но каналированных ионов; 4— декаиали- рованные ионы. 5 — остаток каналирован- ного пучка падает. При углах разорнентации пучка ионов в мишени около 8° профили рас- пределения концентраций меняются ма- ло. Увеличение температуры мишени вызывает деканалированне ионов вслед- ствие тепловых колебаний кристалличе- ской решетки, значение Rp при этом почти не изменяется. На рнс. 6.10 и 6.11 приведены кри- вые, характеризующие влияние дозы и температуры облучения на распределение ионов фосфора в кремниевой мишени. Профиль распределения концентрации нонов фосфора при имплантации с энер- гией 1,6-10~13 Дж (рис. 6.12,а) указы- вает на хорошее соответствие расчет- ных и экспериментальных данных. Кри- вая распределения ионов фосфора при имплантации (рис. 6.12,6) имеет макси- 238
Рнс. 6.11. Влияние температуры на профиль распределения ненов фос- фора 31 р+ при имплантации в крем- ниевую мишень с ориентацией fill] и энергией 6- 10-ls Дж: 1 — Т -673 К; 2 — Г-293 К Рнс. 6.10. Влияние дозы облучения на распределение иоиов фосфора 31Р+ прн имплантации в кремниевую мишень с ориентацией fl 10] и энер- гией Е=6 10-15 Дж: 1 — Л',-1,2-1013 см-2; 2 — Na-8,9-IO,S см-2; 3 — 7,25-10й СМ-2 Рис. 6.12. Профили распределения концентрации ионов фосфора 31Р+ при им- плантапии в кремниевую мишень с ориентапией [111] для ТОтж=973 К и 1отжв30 мии: а — N,- 4.10“ СМ-2; Е—1,6-10—13 Дж; б — 0о6л-4-1D-3 Кл/сма, Е-4,8-10-1’ дЖ; 1 — теоретическая кривая; 2 — экспериментальная мум при /?»=0.04 мкм, что соответствует теоретическим расчетам (0,037 мкм). Одиако глубина залегания р— «-перехода при yVHCr= 1,5-1017 см-3, равная 0,19 мкм, существенно отличается от расчетной (0,125 мкм), что объясняется эффектом каналирования. 6.2. Образование радиационных дефектов Прн внедрении в кристаллическую подложку ионы подвергаются электрон- ным и ядериым столкновениям, однако только ядерные взаимодействия приво- дят к смещению атомов подложки. Поэтому ионная имплантация сопровожда- ется возникновением в материале мишени множества разнообразных радиаци- онных дефектов. Наряду с простейшими точечными дефектами (межузельные атомы и вакансии) могут образовываться более сложные дефекты в виде комп- лексов точечных дефектов, а также линейные и плоскостные дефекты (дисло- кации, дефекты упаковки). Число и вид радиационных дефектов, их распреде- ление по глубине, заряд и подвижность зависят от массы и энергии ионов, дозы 239
Рис. 6.13. Расчетные профили распределения плотности дефектов, вводимых имплантацией: а — бора; б — мышьяка. Значения энергии осаждения QD получены умножением нормированной плотности де- фектов на Ed/Rp, эВ/нм. Отношение EdjRp для В равно 129 при 10 кэВ и 61 при 100 кэВ; для As — 914 при 10 кэВ и 993 при 100 кэВ облучения, материала мишени, ее температуры и кристаллической ориентации, наличия на ее поверхности загрязнений и т. п. При определенных температурах может происходить релаксация точечных дефектов и объединение их в класте- ры. На рис. 6.13 показаны профили нормированного распределения плотности дефектов (QdR-p/Ел, где Qd— смещение атомов, эВ/нм), в зависимости от рас- стояния (x/Rv) для имплантации бора и мышьяка в кремнии. Концентрация смещенных атомов кремния /V, см~3, приблизительно равна ®Qi>/E<io, где Ф— фаза имплантации, ион/см2, а Е<ю— энергия смещения атомов мишени, эВ. Для кремния 15 эВ. Общее число смещенных атомов кремния на один падающий ион N(E) обш^Еп/2Ем, (6.16) где Е — энергия, достаточная для прохождения процессов ядериого торможения. Количество радиационных дефектов растет по линейному закону с увели- чением дозы имплантации до наступления насыщения, когда ранее смещенные атомы опять поглощают энергию от других имплантированных ионов. Если концентрация смещенных в устойчивое положение атомов достигает Wst = =5-1022 см-3, т. е. каждый атом мишени смещен в устойчивое положение (есте- ственно, в течение определенного времени), то материал мишени меняет свое состояние и становится аморфным. Для низкотемпературной имплантации критическую энергию, которую необ- ходимо сообщить кристаллу для того, чтобы сделать его аморфным, можно оценить по выражению £Kp~f-1024, эВ см3, где коэффициент f для кремния при- мерно 0,1...0,5. На накопление радиационных дефектов значительное влияние оказывает температура подложки. Зависимость критической дозы £>кР, необходимой для со- здания непрерывного аморфного слоя в кремний, от температуры мишени для В, Р и Sb приведена на рис. 6.14. 240
W'JI—I—I—1 ..1 1—1—1_i_>_I— 01 23956789 10 кРп,*-* Рис. 6.15. Зависимость отношения концентрации свободных носителей заряда (Л'холл) к дозе импланта- ции бора (Ф) от температуры от- жига (ТА) для трех значений дозы бора при £BMnn = 150 кэВ, Т=248 К и 7=30 мин (при 7Л>1173 К кон- центрация свободных носителей при- ближается к дозе) Рис. 6.14. Зависимость критической дозы DKB, необходимой для созда- ния непрерывною аморфного слоя кремния, от температуры мишени для различных ионов (температура мишени, при которой кремний не переходит в аморфное состояние, выше для ионов с более высокой массой) 6.3. Отжиг легированных структур Исследование процесса отжига имплантированных структур приводит к вы- воду о том, что влияние отжига на аморфные слои и на точечные и линейные радиационные дефекты различно. Одной из основных проблем технологии ионного легирования является оп- ределение минимальных температуры и времени отжига, необходимых для пол- ной активации доноров и акцепторов прн условии полного устранения остаточ- ных дефектов. В связи с этим возникает и другая проблема — достижение пол- ной электрической активности легирующих примесей без значительного проте- кания диффузии атомов примеси. Изохронный отжиг. Проводится при постоянном времени и различных тем- пературах. На рис. 6.15 приведена зависимость скорости твердофазной эпитак- сиальной перекристаллизации аморфного кремния от температуры для различ- ной кристаллической ориентации. Весь диапазон температур отжига разбит на три области. В случае ионной имплантации с малой дозой электрическая ак- тивность внедренной примеси монотонно возрастает с увеличением температуры отжига, для двух более высоких доз наблюдается так называемый отрицатель- ный отжиг, т. е. уменьшение электрической активности имплантированного бора в области II при возрастании температуры отжига от 773 до 873 К. Для области I характерно наличие точечных радиационных дефектов, кон- центрация которых больше концентрации свободных носителей заряда. Установ- лено, что в этой области отсутствуют линейные дефекты (дислокации). Повыше- ние температуры отжига от комнатной до 773 К приводит к ликвидации таких точечных дефектов, как дивакансии. При этом концентрация бора в узлах кри- сталлической решетки также уменьшается при увеличении температуры до 773 К. 16 Заказ № 1270 241
Однако, если концентрация бора снижается примерно в два раза, то концентра- ция свободных носителей заряда увеличивается на порядок, что приводит к уничтожению дефектов-ловушек. Для области II характерна структура, содержащая дислокации, что объ- ясняется удалением атомов замещения. Дислокации образуются при темпера- туре выше 773 К. По сравнению с областью, соответствующей температуре 773 К, область II при 873 К содержит меньшую концентрацию атомов бора в узлах кристаллической решетки кремния и большую концентрацию межузельных атомов бора с неопределенным положением в кристаллической решетке. Таким образом, атомы бора могут образовывать преципитаты или локализоваться вблизи дислокаций. В области III концентрация активных атомов бора увеличивается. Энергия активации этого процесса примерно 5 эВ. Такое значение соответствует гене- рации и миграции собственных вакансий кремния при повышенных температу- рах. После генерации вакансии двигаются к межузельным атомам бора (пре- ципитатам), что приводит к распаду последних. В случае имплантации бора с меньшими дозами, когда не происходит уменьшения концентрации активных атомов примеси с увеличением температуры отжига в области II, атомы бора замещают кремний в узлах кристаллической решетки без участия термически генерированных вакансий. При дозах имплантированных ионов около 1012 см-2 полный отжиг происходит при температуре 1073 К в течение нескольких минут. Изохронный отжиг слоев фосфора, имплантированных при комнатной тем- пературе мишени, производится следующим способом. При дозе около 10й см-2 имплантированные слои не являются аморф- ными. Увеличение дозы имплантируемого фосфора от 3-1012 до 3-1014 см-2 требует проведения отжига при более высоких тем- пературах для устранения более сложных радиационных дефектов по сравнению с от- жигом слоев, имплантированных бором. На рис. 6.16 прерывистые кривые соответствуют имплантированным слоям без аморфной структуры, а сплошные кривые относятся к отжигу аморфных имплантированных сло- ев. После того как имплантированный слой фосфора становится аморфным при дозе выше 3-Ю’4 см-2, начинает действовать другой механизм отжига. Для больших Рис. 6.17. Концентрационные профи- ли атомов бора (получены методом масс-спектрометрии вторичных ио- нов) и соответствующие профили концентрации свободных носителей Рис. 6.16. Зависимость концентрации свободных носителей заряда от тем- пературы отжига для различной дозы имплантации фосфора при Еимпл = 280кэВ, 7=298 К и/=30мин заряда (данные холловских измере- ний) для температур отжига 1073 и 1173 К при Еи МИЛ =70 кэВ, Ф=16” см-2, /=35 мм. Сплошная линия — профили бора, штрих- пунктир — свободных носителей 242
доз имплантации, температура отжига постоянна (около 873 К) Эта темпера- тура ниже температуры отжига кристаллических имплантированных слоев (доза около 1014 см"2). Такой эффект связан с протеканием процесса твердофазной эпитаксиальной перекристаллизации аморфных имплантированных слоев на монокристаллической подложке. Поскольку в процессе перекристаллизации атомы примесей V группы в основном не отличаются от атомов кремния, импланти- рованные атомы внедряются в узлы кристаллической решетки кремния. F Ионно-имплантированные при комнатной температуре мышьяком или сурь мой слои ведут себя при отжиге подобно слоям, ионио-имплантированным фос- фором, за исключением того, что образование областей с аморфной структурой происходит при меньших дозах имплантированного мышьяка или сурьмы. Изотермический (кинетический) отжиг. Отжиг при постоянной температуре в течение различного времени. По мере увеличения времени отжига импланти- рованного бора электрическая активность легирующей примеси возрастает от- носительно медленно, при этом доля электрически активных атомов бора в те- чение нескольких порядков величины времени повышается от начального до значения, составляющего более 90% от начального. Это происходит вследствие того, что прежде всего отжигаются области, соответствующие участку профиля распределения с низкой концентрацией имплантированных атомов, а затем уже область, соответствующая центральной части профиля распределения импланти- рованной примеси. После отжига в течение 35 мин имплантированные атомы бора, соответствующие «хвостам» профиля распределения с концентрацией бо- ра 101Б см-2, становятся электрически активными, тогда как атомы бора цент- рального участка профиля распределения остаются неактивными (рис. 6.17). Аморфные имплантированные слои могут быть перекристаллизованы с ис- пользованием процесса твердофазной эпитаксии. При имплантации кремния в кремний с образованием аморфного слоя скорость перекристаллизации va прн температуре 823 К для кристаллографической ориентации поверхности подлож- ки от плоскости (100) составляет приблизительно 10 нм/мии, а для ориентации по плоскости (111)—0,3 нм/мин (рис. 6.18). Перекристаллизация сопровожда- ется образованием дефектного (двойникового) слоя, что, очевидно, происходит благодаря эпитаксиальному росту вдоль наклонной плоскости (111). Энергия активации процесса перекристаллизации, определяемая из зависимости скорости перекристаллизации от обратной температуры, составляет 2,3 эВ. Диффузия имплантированных примесей в кремнии является сложным про- цессом даже. при отсутствии радиационных рефектов. При этом важную роль играют термические вакансии кремния и межузельные атомы кремния, посколь- ку они действуют в качестве стоков примесных частиц Если предположить, что коэффициент диффузии является постоянным и, следовательно, не зависит от расстояния, времени, концентрации дефектов и т. д., то можно записать простое решение гауссовского распределения им- плантированной примеси. При гауссовском первоначальном распределении имп- лантированной примеси решение диффузионного уравнения для диффузии из ограниченного источника также описывается функцией Гаусса. Таким образом, если заменить Д/?р2 на &Rp2+2Dt, решение второго уравнения Фика dnfdt= = Dd2n/dx2 может быть представлено в следующем виде: "(*. 0= ".____ /_ — .. ехр (617> V 2л bRp2+2Dt +2D0 Граничным условием, например, может являться отсутствие обратной диф- фузии примеси с поверхности подложки. Получены также решения уравнения диффузии для случая, когда поверхность подложки покрыта слоем окиси. Лазерный отжиг. Имплантированные слои могут быть подвергнуты лазер- ному отжигу с плотностью энергии в диапазоне 1. 100 Дж/см2. Этот метод имеет ряд преимуществ по сравнению с термической обработкой. Вследствие короткого времени иагрева имплантированные слои могут быть термообработа- ны без заметной диффузии имплантированной примеси. Имплантированные аморфные слои толщиной 100 нм перекристаллизуются в течение нескольких секунд при температуре 1073 К по механизму твердофазной эпитаксии. Диф- фузионнаи длина легирующих примесей составляет значение линии в ие- 16* 243
Рис. 6.18. Зависимость скорости твердофазной эпитаксиальной пере- кристаллизации аморфного кремния от температуры для различной кри- сталлографической ориентации Глубина мк время распыления,с Рис. 6.19. Профиль распределения мышьяка, имплантированного в крем- ний и термообработанного с исполь- зованием лазера непрерывного дей- ствия и с применением стандартной методики отжига: 1 — термический отжиг (1273 К. 30 мин): 2 — лазерный отжиг сколько десятых долей нанометра. На рис. 6.19 показаны профили концентрации мышьяка, имплантированного в кремний, по глубине имплантированных слоев, подвергнутых термообработке обычным методом и лазером непрерывного дей- ствия для осуществления процесса твердофазной эпитаксии. Значительным преимуществом метода термообработки импульсным лазером является то, что после расплавления и кристаллизации аморфных слоев по методу жидкофазной эпитаксии в них отсутствуют линейные дефекты. Полага- ют, что в процессе импульсного лазерного отжига происходит расплавление аморфного кремния и его кристаллизация из жидкой фазы на монокристалли- ческой подложке. Однако в перекристаллизованных после ионной имплантации слоях содержатся точечные дефекты значительной плотности, появление которых связано с протеканием быстрого процесса кристаллизации. Применение отжига при низких температурах (673 К) в среде водородной плазмы уменьшает плот- ность точечных дефектов. С использованием технологии лазерного отжига со- здают биполярные и МОП-транзисторы, кремниевые солнечные батареи. В технологии быстрого термического отжига в настоящее время нагрев осуществляют лучом импульсного лазера (с временем обработки в несколько пикосекунд), импульсными электронными и ионными пучками, сканируемым электронным лучом, сканируемым лучом лазера непрерывного действия, высоко- интенсивной имплантацией и широкополосными спектральными источниками (высокоинтенсивными лампами с быстрым (около 50 с) программируемым от- жигом). Диапазон плотности энергии каждого источника в зависимости от вре- мени отжига показан на рис. 6.20. Величины плотности энергии многих источ- ников локализуются вдоль линии, соответствующей 1 Дж/см2. При использовании широкополосных спектральных источников и нагреве электронными и ионными пучками отсутствуют эффекты оптической интерфе- ренции и тем не менее сохраняются преимущества быстрого термического от- жига. 244
рис. 6.20. Зависимость плотности энергии от времени отжига (про- должительности импуль- са) для различных ме- тодик быстрого терми- ческого отжига Импульсный лазер Импульсный лазер,электронный луч, ионный пучок 10е 10 6 Е 10 4 Е ю7 Лазер непрерывного / действия Сканируемый электронный пучок Термический отжиг некогерентный \отжиг Имплантация пучком с большой плотностью тока 1 , ! , I , I ! I i । X __I_।—L_ Ю-'г 10 ю 10 s10~е 10-'' W 7 10° 10г 10° Время отжига, с 6.4. Технологическое оборудование для ионной имплантации Установки ионной имплантации, как правило, содержат ионный источник, экстрагирующую и фокусирующую оптику, ускоритель, сепаратор масс, устрой- ство сканирования ионного пучка, систему источников питания, приемную ка- меру, вакуумную систему, а также устройства контроля и управления техноло- гическим процессом (рис. 6.21). Источниками ионов называют устройства, в которых на основе различных принципов ценообразования создается определенная концентрация заряженных частиц — ионов Ионы образуются из нейтральных молекул или атомов вслед- ствие ионизации электронным ударом, фотоионизации, химической или термиче- ской ионизации, ионизации в результате соударений с другими ускоренными ионами и т. д. Источники иоиов с поверхностной термической ионизацией. Наиболее про- стым по конструкции является источник с поверхностной термической иониза- цией. Принцип его действия основан на явлении поверхностной ионизации, когда адсорбирующиеся на разогретой поверхности атомы, имеющие меньший потен- циал ионизации, чем работа выхода электронов из адсорбента, отдают ему свой внешний электрон. После этого адсорбированные атомы могут покинуть поверх- ность уже в виде положительных ионов. При отсутствии влияния внешнего электрического поля согласно правилу Саха—Ленгмюра зависимость степени ионизации а+ от температуры /, потенциала ионизации /и адсорбированных атомов и потенциала выхода металла ионизатора <р имеет следующий вид: a+=N+[N0=A ехр[е(ф—/я)/(^7')], (6.18) где N+ — число ионов; 7V0 — число атомов, десорбирующихся с поверхности (без ионизации); А— константа, характеризующая отношение статических ве- сов ионного и атомного состояний, е — заряд электрона; k — постоянная Больц- мана. Рис. 6.21. Схема уста- новки ионного легирова- ния: 1 — ионный источник; 2 — системы вытягивания и ус- корения ионов; 3 — анали- затор ионов по мвссам; 4 — щель; 5 — система фокуси- ровки, 6 — система сканиро- вания; 7 — приемное уст- ройство; в. н. — вакуумный насос 245
Конструкция такого источника состоит из прямоканального вольфрамового керна, выполненного из ленты. На ленту помещают запас щелочного металла или соединения его в виде соли. Обычно на поверхность ионизатора наносят галоидную соль щелочного или щелочно-земельного металла (например, KJ, NaCl, СаС12 и др.). Источники позволяют получать с помощью дополнительного вытягивающего электрода потоки положительных ионов, Na+, Cs+, Rb+, К+, Li, а также потоки отрицательных ионов J-, F-, Вг~, С1~. Ионные потоки ха- рактеризуются очень малым энергетическим разбросом ионов (0,1...0,3 эВ), от- сутствием миогозарядных ионов, относительной чистотой состава. Однако общие точки сравнительно невелики. Плотность ионных токов ие превышает 10~4... ...IO-3 А/см2. Источники с ионизацией электронным ударом. Ионизация электронным уда- ром характеризуется передачей молекуле газа энергии от ускоренных элект- ронов, для чего эта энергия должна быть больше величины eVi, где V. — ио- низационный потенциал данной молекулы. Используемые электроны создаются термо- или автоэлектронной эмиссией либо образуются в газовом разряде. Электроны ускоряются при помощи электростатического или высокочастотного поля и удерживаются в ограниченном объеме магнитным полем. Число ионизирующих соударений, претерпеваемых электроном при прохож- дении единичного пути в газе при единичном давлении, называется дифферен- циальным коэффициентом ионизации Se. Коэффициент зависит от энергии элект- рона Ue и типа газа. Электроны, энергии которых много больше или меньше энергий ионизации атомов и молекул, не могут эффективно ионизировать эти частицы. Оптимальной для ионизации электронным ударом является энергия, в несколько раз превышающая энергию ионизации. Число ионов на квадратный сантиметр в секунду п+, образованных элект- ронным током плотностью А/см2, проходящим расстояние I, см, через газ при давлении р, Па, определяется из соотношения n+=(j-plSe)/e. (6.19) Когда электроны с высокими энергиями теряют энергию в результате со- ударений (приводящих к ионизации или рассеиванию), их коэффициент иони- зации меняется. Если энергия электрона становится меньше минимальной энер- гии ионизации любого атома в объеме, он больше не может ионизировать, и его называют конечным электроном. Электроны с высокими энергиями вызы- вают эффективную ионизацию только в том случае, когда их число в иони- зационном объеме поддерживается в течение промежутка времени, достаточно- го для того, чтобы они потеряли свою энергию в результате ионизирующих столкновений. Для увеличения пути ионизации и времени жизни электронов часто используют силы, создаваемые удерживающими магнитными или высоко- частотными полями. Схемы источников, использующих эти положения, изобра- жены иа рис. 6.22. Высокочастотные источники ионов. Ускоряясь в электрическом высокоча- стотном поле, электроны внутри объема источника приобретают энергию, до- статочную для ионизации атомов газов и паров рабочих веществ. В диапазоне давлений 10... 10~2 Па в рабочем объеме источника от внешнего высокочастот- ного генератора поддерживается разряд. Плазма этого разряда и является поставщиком ионов. Если на область высокочастотного разряда наложить внеш- нее магнитное поле, то эффективность источника повысится. Высокочастотные источники различаются по способу возбуждения разряда (емкостные, индуктивные), по направлению внешнего магнитного поля (про- дольное или поперечное по отношению к оси разряда в источнике), по мощно- сти и общим выходным токам (от единиц микроампер до сотен миллиампер в импульсном режиме). Ионный источник с магнитным полем, совпадающим по направлению с ион- ным пучком, представлен на рис. 6.23. От внешнего высокочастотного генера- тора мощность (для исследовательских установок 40...100 Вт) подается на ин- дуктор, между витками которого расположена кварцевая колба. Внутри колбы поддерживается разряд в рабочем газе. Размеры области высокочастотной плаз- мы, плотность заряженных частиц в ней, а также начальные условия зажига- 246
6) Вытягивающий электрод ~ Фокусирующая линза Ионы Восковое 6) Рис. 6.22. Источники ионов с ионизацией электронным ударом: в —источник с осциллирующими электронами; б — источник Пеннинга с холодным като- дом; в — высокочастотный источник ионов 247
ся положительный потенциал 2... 10 кВ. Рис. 6.23. Высокочастотный ионный ис- точник: /— индуктор; 2 — колба; 3 — зова раздела; 4 — катушка электромагнита; 5 — экран; б — анод; 7 — вытягивающий электрод: 8 — изо- лятор; S—ионный пучок; 10 — система на- пуска газа ния разряда можно изменять, регулируя давление рабочего газа, индукцию В поперечного внешнего магнитного поля и выходную мощность генератора В рас- сматриваемой конструкции реализуется разряд /7-типа, наведенный рариальной составляющей электрического поля ин- дуктора. Движущиеся электроны внутри колбы ионизируют газ. На анод подает- Для исключения прямого взаимодействия плазмы с металлическими электродами и загрязнения ее посторонними ионами поверхность анода экранирована кварцевой дисковой вставкой с центральным от- верстием. Соосно с этим отверстием юстируется цилиндрический канал в вытя- гивающем электроде. Непрерывная откачка источника и удаление потока посту- пающего рабочего газа проводятся через канал в вытягивающем электроде и от- верстие в аноде. Высокочастотные источники при относительной простоте конструкции на- дежны в работе, удобны в эксплуатации, однако создают высокочастотные помехи и наводки на измерительные тракты. Общие ионные токи в непрерыв- ном режиме зависят от типа ионов, и, например, для источника ускорителя ИЛУ-200 их значения составляют 50 ..400 мкА. Ионные источники на основе дугового разряда (плазмотроны). Эффектив- ными ионными источниками являются дуговые разряды с дополнительным сжа- тием или контрагированием плазмы дуги. При максвелловском распределении скоростей заряженных частиц в плазме дуги ее поверхность способна эмитти- ровать ионный ток плотностью /+=0,4пср/Г*7’е/А1, (6.20) где п — концентрация ионов у границы плазмы; е—заряд иона; Те—темпера- тура электронного газа в плазме; М — масса иона. Концентрацию увеличивают или механическим путем, пережимая разряд сужением внутреннего канала специального электрода, или формируя плазмен- ный разряд и уменьшая его поперечное сечение воздействием внешнего магнит- ного поля с необходимой конфигурацией силовых линий. Такой способ двойного сжатия плазмы разряда реализуют в дуоплазмотронах. В дуоплазмотроне, схематически изображенном на рис. 6.24, а, плазма сжи- мается также неоднородным магнитным полем, создаваемым магнитной линзой. Полюсы магнитной линзы изолированы друг от друга. Один из них может использоваться в качестве промежуточного электрода, а второй — анода Основным достоинством дуоплазмотрона является повышенная эффектив- ность (5О...95%). Однако в вытягиваемом интенсивном пучке ионы ускорены почти до 70 кВ. В случае, когда требуется пучок низких энергий, необходима система замедления, которая может уменьшить ток пучка на мишени. В им- пульсных дуоплазмотронах получены плотности тока протонов до 65 А/см2, что соответствует току около 1 А. Форма вытягиваемого пучка ионов может быть изменена при помощи конического наконечника. Если магнитное поле в плазме очень велико, вокруг пучка образуется «ореол», однако использование конической вставки в наконечнике позволяет сделать пучок снова однородным, как это видно иа рис. 6.24, б, в. Для работы с химически активными газами целесообразно применять дуо- плазмотроны с полыми бесподогревными катодами. Широкое распространение в промышленных установках ионного легирования получили источники с дуговым разрядом в парах рабочего вещества. Для под- 248
Рис. 6.24. Схема дуоплазмотрона (а), формирование пучка с ореолом при помощи продольного магнитного поля (6), устранение ореола при помощи конического наконечни- ка (в) держания стабильного дугового разряда при пониженных давлениях в них ис- пользуется ионизация ускоренными термоэлектронами с наложением на зону дуги дополнительного внешнего магнитного поля. Отбор ионов обычно осу- ществляют со значительно большей площади плазмы, чем в дуоплазмотронах, при этом формируют потоки иоиов с необходимым поперечным сечением: круг- лые, квадратные, прямоугольные. Например, в установке ИЛУ-4 формируется ленточный пучок с сечением 80x2 мм. На рис. 6.25 схематично приведена конструкция источника с дуговым раз- рядом Конструкция подобного типа используется в отечественных установках ионного легирования Особенностью их является заземление основного вытя- гивающего электрода. В этом случае весь источник находится под высоким вытягивающим потенциалом (положительным по отношению к земле), и поэто- му его монтируют иа высоковольтном изоляторе. Разряд поддерживается элект- ронами, эмиттировапными катодом и ускоренными по направлению к газораз- рядной камере. Сменный массивный катод разогревается электронной бомбар- 249
Рис. 6.25. Схема ионного ис- точника с дуговым разрядом: 1 — система напуска газа; 2_____ фланец крепления источника: 3____ вакуумная камера установки; 4 — высоковольтный изолятор; 5 — эк- ран; 6 — нагреватель; 7 — тигель с рабочим веществом; S — паро- провод; S — дополнительный тер- мокатод; 10 — основной катод; 11 — разрядная камера; 12 — фокусиру- ющий электрод; 13 — вытягиваю- щий электрод; 14 — запирающий электрод; 15 — ионный поток; 16 — плазменный шнур; 17 — магнит дировкой от дополнительного катода — нити накала. За счет этого достигается большая долговечность источника. Если источник должен генерировать пучок ионов металла, то для малых токов используют пеннннговский источник в режимах интенсивного распыления катода, для больших токов — источник с разрядом при низком давлении. В последнем металл нагревается в специальном тигле внутри камеры иониза- ции до температур, обеспечивающих давление его паров над поверхностью не менее 10-2...1 Па. Если необходимы интенсивные потоки ионов металла, то используют источник с дуговым разрядом в парах материалов его электродов. Дуговой разряд в высоком вакууме (р< 1 • 10~* Па) зажигается дополнитель- ным инициатором пробоя, а затем горит за счет непрерывного испарения из катодных пятен и ионизации атомов металла катода. Такой источник может генерировать металлическую плазму как в непрерывном, так и в импульсном режимах. Степень ионизации плазмы близка к 100%- Один из таких плазмен- ных источников ионов (торцевой холловский ускоритель) схематично показан на рис 6 26. Устройства для разделения ионов. Вытягиваемый из источника поток ионов обычно неоднороден по составу: в нем присутствуют ионы различных элемен- тов и соединений, многозарядные ионы. Ионы также имеют разброс по энер- гиям и скоростям. Все это затрудняет или делает невозможным проведение управляемых технологических процессов и прецизионных анализов, в которых рабочим инструментом является ионный поток. Для разделения ионов по Mi/q, выделения ионов необходимой массы Mt и заряда q применяют различного вида сепараторы. Сепарация основана на взаимодействии движущегося иона с магнитными и электрическими полями или с комбинацией этих полей. В уст- ройствах используются как постоянные, так и переменные высокочастотные электрические поля. Наибольшее распространение в установках получили следующие устройства для разделения ионов, с постоянным магнитным полем, с взаимно ортогональ- ными магнитными и электрическими постоянными полями (фильтр Вина), с од- новременным воздействием постоянного и переменного электрических полей. Рис. 6.26. Ионный источник на основе ускорителя: I — держатель катода; 2 — пружина; 3 — катод; 4 — изолятор; 5 — промежуточный электрод; 6 —- анод; 7 — катушка электромагнита; 8— поток плазмы; 9 — зарядный блок; 10 — блок управ- ления 250
Рис. 6.27. Траектория движения ио- нов в поперечном магнитном токе Рис. 6.28. Устройство сепарации ионных пучков (фильтр Вина): / — конденсаторные отклоняющие пласти- ны; 2 — магнит; 3 — траектории отклонен- ных ионов; 4 — коллектор ионов Фильтрацию тока по энергиям ионов осуществляют в энергоанализаторах в ос- новном электростатического типа. В однородном постоянном магнитном поле, имеющем составляющую индук- цию, нормальную к направлению скорости движения иона, на него действует сила Лоренца (рис. 6.27). В результате ионы движутся по окружности с радиусом г: 143,95 10* / м I/ г^~в--------(6 21) где В — магнитная индукция, Тл; 17Уск— ускоряющее напряжение, В; М,- — мас- са иона, а. е. м.; п — кратность заряда иона. Как следует из (6.21), ионы различных масс при одинаковых других па- раметрах процесса сепарации двигаются по различным траекториям. На рис. 6.27 вектор напряженности магнитного поля направлен перпендикулярно плоскости хОу В точке 0, которую условно будем рассматривать как точечный источник ионов разных масс, начинается разделение траекторий ионов. Сплошные полу- окружности соответствуют массам All и М?. Поместив на определенной выбран- ной траектории коллектор ионов, можно последовательно подводить к нему ионные потоки разделенных в пространстве масс. При этом применимы два ви- да развертки по массам: медленное изменение во времени магнитного поля или ускоряющего напряжения. В статических магнитных сепараторах одновре- менно с разделением общего потока иоиов происходит фокусировка каждого выделенного потока, при этом вследствие его начальной расходимости точечный источник фокусируется в линию АВ. Одна из возможностей снижения такого рода геометрической аберрации — применение неоднородных магнитных полей. Например, если ослабить магнитное поле ниже траектории до ординаты I и выше до ординаты П, то траектории ионов одной массы, движущихся по внутренним и наружным окружностям (штриховые линии), несколько распря- мятся, т. е. их радиусы увеличатся. В результате можно достичь практически безаберрационной фокусировки в точке А. В большинстве промышленных и исследовательских установок применяются секторные магнитные системы, в которых поворот пучка происходит на углы, меньшие 180° (например, на 45, 60 или 90°). Так, в установках типа «Везувий-2» применяется секторный С-образный постоянный магнит, обеспечивающий угол поворота потоков ионов бора на 90е, фосфора иа 60° в одной вакуумной камере, располагаемой между полюсами этого магнита. В ряде установок для сепарации общего ионного потока смонтированы устройства (фильтры Вина) со скрещенными ортогонально магнитными и элект- рическими полями (рис. 6.28). Движущиеся ионы в этом устройстве проходят пространство, ограниченное отклоняющими пластинами конденсатора и полю- сами постоянного магнита. На ионы действуют две противоположно направ- 251
ленные силы со стороны электрического и магнитного полей. Если эти силы уравновешены, то ион продолжает движение по прямой вдоль оси устройства При выбранных напряженностях полей такую траекторию способны сохранить только ионы определенной массы и скорости, все другие вынуждены будут дви- гаться по искривленным траекториям. Для выделяемого отношения спра- ведливо соотношение Mi/9=L'yCK(B/£)2, (6.22) где В и Е — напряженности магнитного и электрического полей соответственно. В последние годы все интенсивнее стали использоваться динамические масс- сепараторы на квадрупольных системах. В одиночном квадрупольном сепара- торе дополнительно происходит фокусировка потока в линию в одной плоскости и дефокусировка в плоскости, ей ортогональной. К преимуществам такого фильтра масс следует отнести простоту конструкции и слабую зависимость раз- решающей способности М/&.М от энергетического разброса ионов. Промышленные установки для ионной имплантации. При обработке ионным пучком полупроводниковой пластины ее необходимо облучать равномерно. Этого можно достичь двумя способами. При первом способе диаметр ионного пучка, облучающего поверхность об- разца, больше диаметра образца, при этом распределение плотности ионного тока по образцу должно быть равномерное. В этом случае используют дефоку- сирующую линзу. При втором способе диаметр пучка делают малым, а затем сканируют его по поверхности образца. Для этого используют систему фоку- сирующих линз. Данный способ позволяет не только равномерно обрабатывать пластины, но и направлять пучок в нужную ее часть. На рис. 6.29 показаны различные схемы построения установок для ионной имплантации, отличающиеся взаимным расположением функциональных эле- ментов. В зависимости от расположения элементов ускорения ионного пучка относительно сепаратора масс все системы ионной имплантации можно разбить на три группы. Установки ионной имплантации, относящиеся к первой группе (рис. 6.29, а —г), осуществляют ускорение уже отсепарированного изотопно чистого ионного пучка. Эта группа установок ионной имплантации предназна- чена для работы с высокоэнергетическими пучками с энергией ионов более 100 кэВ Во второй группе установок ионной имплантации ускоритель расположен между ионным источником и сепаратором, т. е. ускоряется несепарированный пучок (рис. 6.29, д — ж). Поскольку в этом случае производится сепарация ai I - г - 3 ионного пучка, уже предвари- тельно ускоренного до заданной максимальной энергии, установ- ки, построенные по такой схе- ме, используются, как прави- ло, для работы с энергиями до 100 кэВ. К третьей группе относят установки с комбинированным ускорением как несепарирован- Рис. 6.29. Схемы построения установок ионной имплантации с ускорением сепарированного пучка (а—г), с ускорением не- сепарированного пучка (д—ж) и с комбинированным ускоре- нием (з): 1 — ионный источник; 2 — сепара- тор масс; 3 — приемная камера; 4 — ускоритель; 5 — система фоку- сировки; 6, 1 — устройства скани- рования 252
рис 6 30. Установка имплантации с повышенной энергией ионов «Ве- зувий-9»: 1 — ионный источник; 2 — масс-сепаратор; о - корректирующие пластины; 4 — оди- ночная электростатическая линза; 5 — ва- куумный затвор; 6 — заливная азотиая ловушка; 7 — ускорительная трубка; 8 — магнитная ловушка электронов; 9 — ци- линдр Фарадея; 10 — устройство контроля юстировки ионного пучка; // — подав- ляющий электрод; 12 — приемная камера; 12 _ контейнер с обрабатываемыми пла- стинами; 14 — лучевой нагреватель; 15 — привод механического сканирования кон- тейнера; 16 — обрабатываемые полупро- водниковые пластины ного, так и сепарированного ионного пучка. В этом случае используются два ускорителя (рис. 6 29, з); рас- положенные после ионного источни- ка и перед приемной камерой, что по- зволяет получать пучок с высокой энергией за счет его предваритель- ного ускорения до энергии 100 кэВ перед сепарацией масс и финишного ускорения до заданной максимальной энергии. Отечественной промышленностью выпускаются промышленные установ- ки типа «Везувий». Установка «Всзувий-8» предназначена для имплантации боль- ших доз ионов с массой до 200 а. е. и током миллиамперного диапазона (2.. ...5 мА) при энергии до 100 кэВ в производстве ИС на пластинах диаметром 76, 100 и 150 мм. Предусмотрена возможность работы установки в двух режи- мах: полуавтоматическом (с участием оператора) и автоматическом (от внеш- ней управляющей ЭВМ). «Везувий-9» (рис. 6.30) имеет расширенный диапазон энергии ионов и предназначен для использования ионной технологии в новых классах приборов микроэлектроники, не допускающих высокотемпературных обработок. Повышение энергии ионов на установке достигается за счет исполь- зования двух- и трехзарядных ионов. Ионный инжектор находится под потен- циалом +300 кВ, приемное устройство — под потенциалом — 300 кВ Таким образом, суммарное ускоряющее напряжение на установке равно 600 кВ. Мак- симальная энергия двухзарядных ионов составляет 1,2 мэВ, трехзарядных — 1,8 мэВ. Для получения многозарядных ионов легирующей примеси используется источник дугового типа с катодом косвенного накала, с извлечением ионов поперек магнитного поля. Установка комплектуется сменными источниками, по- зволяющими получать следующие значения ионных токов: до 600 мкА (двух- зарядные ионы фосфора, мышьяка, сурьмы); до 100 мкА (двухзарядные ионы бора); до 150 мкА (трехзарядные ионы фосфора, мышьяка, сурьмы). Технические характеристики установки ионной имплантации типа «Везу- вий» приведены в табл. 6.3. Уникальный по своим параметрам эмиттер представляет собой лазерная плазма, которая может быть использована при создании источника ионов и установки для целей ионной имплантации материалов. К основным параметрам лазерной плазмы как эмиттера ионов необходимо отнести широкий энергетиче- ский спектр ионов (несколько сотен электронвольт, практически изотропное уг- ловое распределение ионов, частотный характер эмиссии ионов, высокие плот- ности ионного тока, высокую чистоту получаемых ионных пучков, большую яркость ионного источника). 253
Таблица 6.3. Техническая характеристика установок ионной имплантации типа «Везувий» Показатель „Везувий-141 „Везувий-ЗМ“ „Везувий-8“ „Везу вий-9“ Диапазон энер- гии ионов 200 кэВ 15... 150 кэВ 10... 100 кэВ 1,2 мэВ для двухзарядных ионов, 1,8 мэВ для трехзаряд- ных ионов Виды легирую- щих ионов Ток ионного пучка: В*. Р+ В+, Р+, Zn+ As* В*, Р+, As+, Zn+, Sb+ B+*,P++, As++ Sb**, Р+4-+ As+++, Sb+++ в+ 20 мкА 300 мкА 2 мА р* As+ Zn+ Sb+ В++ Р++ As+* Sb+ + p+++ As+++ Sb++* Производитель- ность для пла- стин диаметром 76 мм при до- зе: 6,25-10'5 см-« 110" см-2 Неоднородность дозы импланта- ции Режим обра- ботки Сканирование по оси: к У Температура подогрева ле- гируемых пла- стин встроен- ными нагрева- телями 200 мкА 1000 мкА 300 мкА 300 мкА 400 шт./ч 2% Механическое Электрическое 5 мА 2 мА 2 мА 2 мА 100 шт./ч 2% Групповой Механическое Электрическое 673 К 100 мкА 600 мкА 600 мкА 600 мкА 150 мкА 150 мкА 150 мкА Механический Механическое Электрическое 254
Окончание табл. 6.3 Показатель .Везувнй-1" .Везувий-ЗМ“ .Везувий-8- .Везувий-9" Давление в ва- куумной систе- ме, Па Число одновре- менно закла- дываемых в ка- меру пластин диаметром, мм. 75 100 150 Диапазон изме- рения дозы им- плантации 96 54 24 1О1в...1О16 иои/см2 54 36 Схема разработанной установки для ионной имплантации с лазерно-плаз- менным источником ионов (ЛПИИ) представлена на рис. 6.31 Она состоит из лазера /, вакуумной камеры 2, оптического ввода и системы линз 3, мишени 4, укрепленной на подвижной площадке 5, системы управления движением пло- щадка 6, расположенной за вакуумной камерой, ионизационной камеры 7, от- верстие которой закрыто мелкоструктурной сеткой 8, вытягивающего электрода (одноэлектродная линза 9), системы крепления легируемого образца It), системы контроля набора дозы 11, состоящей из цилиндра Фарадея, осциллографа или интегратора, системы откачки 12. В установке использован лазер ЛТИ-5 на иттрий-алюмиииевом гранате, ак- тивированном Nd, с импульсной накачкой и модулируемой добротностью. Пара- метры излучения лазера: X — длина волны излучения (1,06 мкм), W7— макси- мальная энергия в импульсе (20-Ю-2 Дж), т — длительность импульса (10~8 * * * * * * *с), Ч>—угловая расходимость излучения (3-10-3 рад), ДР — флуктуация выход- ной мощности (10%), F— частоты следования импульсов (12,5; 25; 50; 100 Гц). Плотность подвижной площади и соответственно мишени может устанав- ливаться под разными углами к оси мишеиь — образец. Площадка должна пе- ремещаться с такой скоростью, чтобы кратеры от каждого импульса лазера иа мишени ие перекрывались. Рис. 6.31. Схема установки для ионной имплантации о лазерно-плазменным источ- ником ионов 255
Мишень с площадкой и ионизационная камера находятся под ускоряющим потенциалом, который можно плавно менять от нуля до 80 кВ. Ускорение ионов происходит в промежутке между сеткой и вытягивающим электродом. Вытя- гивающий электрод и легируемый образец находятся под нулевым потенциа- лом. Это обеспечивает широкие возможности для манипуляций с легируемой подложкой (нагрев, охлаждение, перемещение, вращение). Установка работает следующим образом. Излучение лазера проходит через кварцевый оптический ввод в вакуумную камеру, откачанную до 1,33-(10~3. 10~5) Па, и через систему линз, которая фокусирует лазерное излучение и обес- печивает плотность падающего излучения на мишени 5-(108...101°) Вт/см2 Фо- кусное расстояние системы линз выбирают обычно в пределах 3...10 см. Зная расходимость лазерного излучения <р, энергию в импульсе W, длительность импульса лазерного излучения т, легко оценить диаметр пятна лазерного излу- чения на мишени по формуле d=(q>, где f — фокусное расстояние системы линз, и плотность лазерного излучения на мишени по формуле P=4lT/nd2t. Необходимую плотность падающего на мишень излучения (порядка 109 Вт/см2) обеспечивают твердотельные лазеры с модулированной доброт- ностью. Энергия лазерного излучения контролируется с помощью стандартного измерителя ИКТ-2. Плотность лазерного излучения на мишени в пределах 5-(108...10'°) Вт/см2 обеспечивает начальную ионизацию любых материалов, включая тугоплавкие. Лазерное излучение нс вносит загрязнений в лазерную плазму; кроме того, не происходит разогрева ионизационной камеры и других частей установки, что обеспечивает чистоту ионного пучка, определяемого лишь чистотой исходного образца. Чистоте процесса имплантации способствует малое расстояние, про- ходимое ионом до внедрения (0,2...0,5 м). Мелкоструктурная сетка также не вносит загрязнений в ионный пучок. Величина ионного тока, которую можно получить на установке для ионной имплантации, является важным параметром. Особенностью установки с ЛПИИ является импульсный характер ее работы. В режиме параллельного пучка длительность импульса тока на полувысоте 0 зависит от геометрии источника и мощности падающего на мишень лазерного излучения и обычно составляет 5...15 мкс. Частота следования ионных импуль- сов F равна частоте следования импульсов лазерного излучения. Значение мак- симального тока /max достигает в импульсе нескольких сотен миллиампер. Сред- ние по времени значения токов определяют по формуле /=/тахН). Средние по времени значения токов для различных элементов при частоте следования импульсов лазерного излучения 100 Гц, 0=5 мкс, §=109 Вт/см2 приведены в табл. 6.4. Таблица 6.4. Средний ток, мкА, для различных элементов при импульсном лазерном легировании Эле- мент Значение Эле- мент Значение А1 70 Cr 75 Си 65 С 65 Ag 75 Zr 72 Zn 60 P 50 W 62 Mo 75 Pb 60 Ta 65 Si 76 Разброс значений средних токов обусловлен слабой зависимостью мгновен- ных токов от типа ионизируемого вещества. 256
6.5. Ионная имплантация как технологический прием при создании МЭУ рис 6.32. Зависимость толщины маскирую- щего слоя, задерживающего 99,9% ионов бора (/). фосфора (2) й мышьяка (3), с энергией 100 кэВ от типа маскирующего материала Применение ионной имплантации в ди- апазоне энергий 10... 100 кэВ и доз 10* *...1О18 см-2 позволяет создавать широ- кую гамму различных дискретных прибо- ров и микросхем. Режим ионной имплан- тации технологически характеризуется параметрами: энергией ионов, плотностью тока в пучке на поверхности пластин, дозой имплантируемых ионов и временем экспозиции, ориентацией образца по отно- шению к пучку, термическим состоянием пластин и уровнем стерильности, определя- емым давлением и спектром остаточных газов в ионном тракте и приемной камере, а также материалом и конструкцией держателей пластин. На рис. 6.3? схематически показана связь этих параметров с характеристиками изделий и режимами последующих обработок изделий Энергия ионов e—veU, где v — кратность ионизации атома; е — заряд элект- рона; U — ускоряющее напряжение, определяет глубину их проникновения в материал мишени (Др) и характер распределения остановившихся атомов по толщине пластины. Доза имплантации G>=jt (в единицах заряда или в количестве ионов на 1 см2) отражает поверхностную концентрацию легирующей примеси и при конк- ретном виде термообработки определяет электрофизические свойства ионно- имплантированных слоев. Доза имплантации примеси существенно влияет иа скорость окисления ионно-имплантированных слоев. В табл. 6.5 приведены ре- жимы окисления кремния во влажном кислороде при легировании элементам» III и V групп. Таблица 6.5. Режимы окисления кремния во влажном кислороде при легировании элементами III и V групп Легирующий элемент Доза, ион см2 Энергия ионов, кэВ Режим окисления 1 Толщина окисла, нм г, к | /, мин | Мышьяк 2-10'» 200 1123 30 240 1,0- 10,в 200 1123 162 410 1,0-10’® 200 1193 48 250 1,0-10'» 200 1273 21 240 Сурьма 1,2-10'» 80 1123 20 132 Г аллий 1,2 10'» 80 1123 60 165 - Скорость окисления зависит от уровня легирования и дефектности ионно- имплантированного слоя. Ее следует учитывать при формировании микрорелье- фа, необходимого для совмещения в процессах фотолитографии. Плотность тока в пучке j=nvve, где п — число ионов в единице объема пучка; v — скорость ионов; v = ]2qU/M, М — масса ионов; v — кратность ионизации атомов, опре- п Заказ № 1270 257
Рис. 6.33. Зависимость максимальной толщины маски от энергии Е падаю- щего пучка ионов: а — SUN*; б — SiO2; в — фоторезист KTER деляет предельную производительность ионно-лучевой установки при заданных размерах приемной камеры. Увеличение тока при постоянной энергии ведет к появлению термических ударов и, как следствие, к генерации полос дислокационных сеток. Отводят тепло от пластин теплоотводящим контактом их с держателем или рассеиванием его через излучение. Интенсивность нагрева пластин зависит от массы ионов. Температура пластин, облучаемых легкими нонами, выше, чем при облучении тяжелыми ионами при той же плотности «внедряемой* энергии. Совмещенный с имплантацией подогрев пластин обеспечивает отжиг радиа- ционных нарушений. Нагрев кремниевых подложек во время имплантации до температуры 773...873 К приводит к получению совершенной кристаллографиче- ской структуры ионно-имплантированного слоя. При более высоких темпера- турах (вплоть до 973 К) кристаллографическая структура слоя ухудшается Подогрев пластин во время имплантации («горячая» имплантация) влияет и на распределение примеси по глубине. Совершенная кристаллографическая структура в течение всего процесса набора дозы является условием постоян- ного каналирования ионов в течение всего времени имплантации. Профиль кон- центрации примеси при этом оказывается растянутым в глубь пластины. Кроме каналирования при «горячей» имплантации профиль примеси деформируется ра- диационно-стимулированной диффузией и процессами синтеза комплексов и химических соединений. Так, при имплантации ионов азота (или углерода) крем- ниевые подложки нагреваются до 1073 К, в них одновременно происходит син- тез нитрида (или карбида) кремния. Процесс синтеза связывает примесные атомы, уменьшая на несколько порядков их эффективный коэффициент диф- фузии. Ориентация подложек существенно определяет глубину проникновения ио- нов при имплантации, кроме того, слои различной кристаллографической ори- ентации аккумулируют различное количество примесей. При ионной имплантации применяются металлические маски из Mo, А1, диоксида кремния, фоторезистов и других материалов, которые выдерживают дозы ионов не менее 10й см-2. Некоторые характеристики типичных материа- лов для масок показаны на рис. 6.33. По приведенным данным можно сравнить толщины маскирующих слоев различных материалов, поглощающих 99,9% падающих ионов. 6.6. Методы исследования ионно-легированных слоев При управлении характеристиками ионно-легированных слоев необходима информация об их химическом элементном составе, электронном состоянии ато- мов, распределении дефектов и кристаллической структуре решетки Для ис- следования физико-химических свойств поверхности твердых тел используют; 258
Рис. 6.34. Методы для исследования ионно-легированных слоев полупроводников метод резерфордовского обратного рассеяния частиц (ОРЧ), оже-электронную спектроскопию (ОЭС), электронную спектроскопию для химического анализа (ЭСХА), вторичную ионную масс-спектроскопию (ВИМС), ионный микроанализ (ИМА), рентгеновскую трехкристальную дифрактометрию (РТД), внешний фо- тоэффект (ВФ) и рентгеновскую флуоресценцию (РФ) при брегговской дифрак- ции. Применяют также электрофизические методы: инфракрасную спектроско- пию (ИКС), электронно-парамагнитный резонанс (ЭПР), просвечивающую элект- ронную микроскопию (ПЭМ), электронографию (ЭГ), дифракцию медленных электронов (ДМЭ). На рис. 6.34 представлен комплекс методов исследования ионно-легирован- ных слоев полупроводников. Методы количественного анализа поверхностных слоев. Для исследования количественного состава поверхностных слоев толщиной в несколько сотен на- нометров необходим послойный анализ н соответственно послойное стравлива- ние. Использование для этих целей методов анализа поверхности связано с раз- рушением образца. Основные параметры методов приведены в табл. 6.6, где s — объемная чувствительность; г — глубина сбора информации; d — диаметр исследуемой области; /5Оо—время исследования слоя толщиной 500 нм; Е — диапазон анализируемых энергий; М — диапазон анализируемых масс; ДЕ — энергетическое разрешение; М/ДМ — разрешение по массе. Таблица 6.6. Параметры методов количественного анализа поверхностных слоев * Метод Г, нм а, см <500 > мин Е, эВ М эВ М/ДМ ОЭС ЭСХА ВИМС ИМА 1О-3...1О-4 0,5...3,0 <ю-3 30 0...2000 10 10Л..10-4 3,0 >10-' 500 0...1300 0,5 10-4...10-е <5,0 10-* 10...15 1...200 3000 10-4...10-о <5,0 <10-3 1...15 1...200 3000 Число атомов на единицу объема примеси принято за I022 атом/см3. В табл. 6.7 приведены значения чувствительности методов ОЭС, ЭСХА и ВИМС для В, Р и As в кремнии. В настоящее время это единственный метод, который позволяет исследовать распределение легирующих и загрязняющих эле- ментов практически на всех уровнях легирования, представляющих интерес в технологии полупроводниковых приборов. Однако интерпретация результатов, полученных методом ВИМС, может быть затруднена из-за флуктуаций коэффициента вторичной ионной эмиссии. 17* 259
Таблица 6.7. Количество примесей В, Р и As (в атомные доли), обнаруживаемое в кремнии методами ОЭС, ВИМС и ЭСХА Примесь ОЭС ВИМС ЭСХА в 10-3 IO”8 ю-2 р 10s IO-6 10“2 As ю-2 ю-4 ю-4 Методы ОЭС и ЭСХА обладают преимуществом, обусловленным однозначным соответствием концентрации уровню сигнала. В связи с этим для проведения послойного количественного анализа оптимальным является сочетание методов ВИМС, ОЭС и ЭСХА, которое позволяет с достаточно высокой чувствительно- стью перекрыть весь диапазон легирующих примесей. При проведении послойного анализа в каждом из перечисленных методов используют травление поверхности ионами инертных газов (чаще всего Аг+) с энергией 1...5 кэВ и плотностью тока 100...1000 мкА/см2 Процесс ионного травления сопровождается интенсивным дефектообразованием, однако порог дефектообразовання в большинстве твердых тел не превышает 10...20 эВ. Кроме этого доза облучения поверхности ионами инертных газов при ис- пользуемых токах на много порядков превышает обычно применяемые дозы ион- ного легирования. Количественный состав анализируемых слоев при таком ин- тенсивном воздействии может изменяться на десятки и даже сотни процентов, что совершенно необходимо учитывать при проведении количественного послой- ного анализа. Методы определения профиля радиационных нарушений кристаллической решетки полупроводника. Достаточную информацию о параметрах ионно-леги- рованных слоев можно получить методами резерфордовского обратного рассея- ния частиц Н+ или Не+ (ОРЧ), рентгеновской трехкристальнон дифрактомет- рии (РТД), внешнего фотоэффекта при брегговской дифракции (Вф), просве- чивающей электронной микроскопии (ПЭМ) и электронографии (ЭГ). Основные параметры перечисленных методов приведены в табл. 6.8, где s — чувствитель- ность (для РТД и ВФ 5=ВДеф/В8кст и «=£Деф/£ал соответственно); £деф—тол- щина нарушенного слоя; £экст — характерная глубина формирования рентгенов- ского изображения; £эд— характерная глубина формирования электронного изображения; 1\а/а — чувствительность к деформации решеткн; d—диаметр исследуемой области; t — время анализа одного образца. Таблица 6.8. Основные параметры методов исследования радиационных нарушений Метод у ^деф» мкм Ьа}а ^экст » мкм ^ЭЛ ’ мкм ^’СМ t, мин ОРЧ 10-2...10-4 >0,03 ю-1 10 РТД ю-2 >0,1 IO-8 1...10 — 10-1 10.30 ВФ ю-2 >0,01 IO-3 — 0,1.1 ю-1 10...30 ПЭМ — — io-4 0,01 — ю-7 10...30 ЭГ — <0,01 >ю-4 0,01 <0,01 >10-4 10 ДМЭ ю-2 <0,001 I0-4 — <0,001 10-4 30 При меч ав ие. Для методов ОРЧ, РТД, ВФ и ДМЭ обязателен эталон; для методов ПЭМ, ЭГ и ДНЭ необходима специальная подготовка образца. Методы определения положения внедренных атомов в кристаллической ре- шетке. Целью большинства исследований по ионному внедрению является вве- 260
не в кристаллическую решетку примесных атомов, которые должны зани- деН в ней определенные положения (обычно это положение типа замещения). паТсвязи с этим определение положения примесных атомов в кристаллической В шетке является одной из важнейших проблем в исследовании процесса ион- ного легирования. Для этой цели используют методы ОРЧ и РФ. Н Измерив выход рассеянных частиц в зависимости от ориентации кристалла относительно падающего пучка (произвольная или параллельная ориентация ка- кой-либо кристаллографической оси), можно определить число примесных ато- мов находящихся в узлах кристаллической решетки или межузлиях. Кроме метода ОРЧ для определения местоположения примесных атомов используют метод рентгеновской флуоресценции при брегговском отражении рентгеновских лучей. Е Для выделения данной примеси в решетке матрицы можно использовать также методику внешнего фотоэффекта с энергоанализом фотоэлектронов (ВФЭ). Выделение групп фотоэлектронов с определенной энергией (упруго рассеянных и потерявших часть энергии на иеупругие столкновения) позволяет идентифицировать положение атомов примеси в решетке и менять глубину выхода фотоэлектронов от нескольких нанометров до долей микрометра, что позволяет с хорошей чувствительностью исследовать тонкие ионно-легирован- ные соли. Энергоанализ фотоэлектронов можно проводить либо в вакууме с помощью энергоанализаторов, либо в газовой среде с помощью пропорциональ- ною счетчика. Методика РФ, как и методика ФВЭ, требует применения мощных источни- ков рентгеновского излучения и перехода к большим длинам волн (0,3...0,4 нм). Методы исследования электрофизических характеристик ионно-легированных слоев. Измерения эффекта Холла и электропроводности позволяют получить ин- формацию о значениях удельного сопротивления, концентрации и подвижности носителей заряда и их распределении. Профили распределения концентрации определяют из измерений вольт-фарадных характеристик (ВФХ) емкости барье- ра Шотки, изготовленного на поверхности ионно легированного слоя или создан- ного в процессе легирования р — n-перехода, который расположен в ионно-ле- гированной области. Определенную информацию можно получить и с помощью методов элект- ронного парамагнитного резонанса (ЭПР), инфракрасной спектроскопии. Так, при высокой (1019 см-3) концентрации носителей в ионно-легированном слое существует плазма свободных носителей, концентрация которых влияет на спектр поглощения света. При измерениях вторичного эмиссионного контраста (ВЭК) сопоставление значений сигналов от легированной и нелегированной областей, обусловленное наличием в решетке заряженных и нейтральных радиационных дефектов и внед- ренных примесных ионов, приводит к различию их эмиссионной способности. Поэтому наиболее целесообразно данный метод применять для изучения характе- ристик радиационных дефектов и свойств облученных областей после их отжига. Относительное изменение интенсивности сигналов, полученных от импланти- рованной /| и ненмплантированной /2 областей, определяется как С=(7|—/2)//, где I — начальная интенсивность сигнала. Известно, что бомбардировка полу- проводника тяжелыми частицами вызывает образование дефектов типа обла- стей разупорядочения (ОР), представляющих собой области, концентрация носи- телей в которых резко отлична от концентрации носителей в окружающей матрице. Это приводит к образованию области объемного заряда на границе между ОР и неповрежденным кристаллом. Сигнал ВЭК с единицы площади облученной области можно записать как /1 ~~~ [Ом5м +<TopSop + <Топз5опа] , 51 где оы, аОр, а0пз — эмиссионная способность матрицы, отдельной ОР и области пространственного заряда соответственно, Ь’„, Sop, Son3 — площадь соответст- вующих составляющих облученной области; S|= (SM+Sop+Son3)—общая пло- щадь облученной области. 261
Дп п0—Лф По Ио Модернизированный растровый электронный микроскоп (РЭМ) в режиме потенциального контраста (ПК) в состоянии фиксировать Д<р«О,О1 эВ по сравне- нию с 1 эВ в стандартном РЭМ. Это означает, что модернизированный РЭМ позволяет обнаруживать разность в положениях уровней Ферми Д/7 в соседних областях полупроводника, равную ДР=аеД<р = 0,01а (е—заряд электрона, а — коэффициент пропорциональности, значение которого по экспериментальным оценкам лежит в интервале 0,5...2). Относительное различие концентрации и облученной и необлученной об- ластях Д£ I Г еаДф 1—exp —- = 1—ехр ~ kT J [ kT Здесь Д<р<0, если суммарная электрическая активность радиационных дефек- тов и ионов имплантированной примеси противоположна по характеру электриче- ской активности примеси легирующей мишени; в противном случае Д<р>0. Из- мерения ПК в модернизированном РЭМ позволяют определять перепад значений концентрации, когда в соседних участках полупроводника она отличается бо- лее чем в 1,5...2,2 раза. Учитывая высокое пространственное разрешение РЭМ (0,05 мкм), измере- ния ВЭК и ПК представляют уникальную возможность исследовать ха- рактеристики ионно-легированных областей с размерами, соответствующими реальным приборным структурам. Глава 7 Технология тонких пленок 7.1. Термовакуумный метод получения тонких пленок Термовакуумный метод получения тонких пленок основан на нагреве в вакуу- ме вещества до температуры испарения, образования пара и конденсации его на подложке. Поток испарившихся частиц в вакууме образуется, если давление пара испаряемого материала превышает давление в рабочей камере. Зависи- мость давления пара испаряемого вещества от температуры нагрева элемента можно проследить по табл. 7.1. Для нагрева используют электронный луч, ла- зер, джоулево тепло, высокочастотное поле. Электронно-лучевое (ЭЛ) испарение. Установка для ЭЛ-испарения (рис. 7.1) состоит из технологической камеры, системы откачки, тигля с испа- ряемым материалом, электронной пушки, заслонки для пара и подложки с при- способлениями для ее крепления н нагрева. При бомбардировке поверхности испаряемого материала электронным пучком существенная доля кинетической энергии электронов превращается в тепло и поверхность нагревается до такой температуры, что становится источником пара. В потоке пара размещают под- ложку, на которой конденсируется часть пара, т. е. производится напыление. Рис. 7.1. Принцип электронно-лу- чевого испарения: 1 — электронная пушка; 2 — электрон- ный пучок; 3 — кожух технологической камеры; 4 — водоохлаждаемый ти- гель; 5 — испаряемый материал; 6 — диафрагма испарителя; 7 — поток па- ра; 8 — напыленный слой; 9 — под- ложка; 10 — подогреватель подложки 262
Таблица 7,1. Соотношение температуры испаряемого вещества и давления пара твердых и жидких элементов Я ‘ьинаиия смьох Я ’ВИНЭГАЕЬ’Ц VMhOl 00 0^.00 — ОООьОООЮхЬООЮ О Ш Щ Г- СТ) со 1р Ю о СПООСП10чФС^ОО’-мСЧО’^СЧСЧГ- со Ю ТГ ТГ СО СМ СМ о со СООЬ'СОС^О’^ЮОЮСОШГО-' —’ о см о оо оо ю см —« 00 СТ) хнаиа^е <z> О W)_. Е {Л О л (Л rt "О Ф о I- СЛ И >*»- S3 |_ О) 'З 'О <<<<<<<CQcacQCQCOG)(JL;OUC Q nJ щ ц. Ц, Ои 263
от Продолжение табл, 7.1 Элемент Точка плавления, К Точка кипения, К Температура, К. при давлениях пара,х!33 Па io-п ю-1° 10-9 10-8 10-7 io-6 10-5 10—4 10~3 10—2 10-1 1 10' 10’ 103 Ge Hf Hg Но In (0 Ir К La Li Lu Mg Mn Mo Na Nb Nd Ni Os P(S) Pb Pd Po Pr Pt Au (Z) Ra 1210 3100 940 980 1030 1085 1150 122 1310 мш 1ззл 234*29 62973 ’170 *IM 'ZSS >«65 1980 2,20 2270 2450 SI s - s ,s $ s 1 * i « *« s ж s 4*1 i $ *? s “ ’* s s s 453’69 1597 430 452 480 508 541 570 A?*} A77 7лп *923 1376 ’Ж *4?S '!H 1269 1345 1440 1533 I» 1® 23» S Ж S }?88 g? S ,S 1552 37196 над 'S *з“ ‘з™ *347 'зи ”2 S foe? 1765 1845 1935 2035 2140 2260 2400 2550 2720 29J 846 895 945 1000 1070 1135 1220 1320 1440 Ц23 elon 1040 1090 1145 1200 1270 1345 1430 1535 1655 3318 5260 1875 1965 2060 2170 2290 2430 2580 2760 2960 870 704 283 297 312 327 342 361 381 402 430 6006 2016 516 546 580 615 656 702 758 820 898 1823 3310 945 995 1050 1115 1185 1265 1355 1465 1590 527 1220 332 348 365 384 408 432 460 494 537 1208 3295 900 950 1005 1070 1140 1220 1315 1420 1550 2043 4097 1335 1405 1480 1565 1655 1765 1885 2020 180 913 3508 931 983 1040 1105 1180 1265 1365 1480 16 5 973 1300 <36 460 436 520 552 590 633 690 755 ’£7° 1£30 2050 2320 2680 3180 2670 2930 3240 3630 4130 4780 319 353 398 458 535 642 }432 1605 1800 2060 2410 2010 ’22° 1355 1520 1740 2030 2430 2770 3040 3360 3750 4250 4900 „48‘ 540 6'8 720 858 1070 2000 2200 2450 2760 3150 3680 810 900 1020 1170 1370 1620 1845 2030 2270 2550 2910 3370 7 2 781 878 1000 1170 1400 121,2 1335 1490 1695 1970 2370 2800 3060 3390 3790 4300 5020 562 630 714 825 978 1175 2930 3170 3450 3790 4200 4710 1575 1770 2000 2300 2740 3430 1800 1970 2180 2430 2770 3230 3190 3460 3800 4200 4710 5340 458 493 534 582 642 715 988 1105 1250 1435 1700 2070 1735 1920 2150 2450 2840 3380 588 655 743 862 1040 1250 1700 1890 2120 2420 2820 2270 2370 2590 2860 3190 3610 4170 1780 1975 2230 2550 2980 3590 830 920 1060 1225 1490 1840 Окончание табл. 7.1 Элемент Точка плавления, К Точка кипения. К Температура, К, при давлениях пара,х133 Па 10-11 10-10 10-9 io-8 10-7 io-6 10~5 10-4 ю-3 10-2 10—1 1Q. 10’ 103 Rb Re Rh Ru S Sb Sc Se Si Sm Sn (Z) Sr Ta Tb Tc Те Th Ti T1 Tm U V w Y Yb Zn to Zr ОТ m зй! s s » s s 2з» 12f« s is s is i?s is ж 223g IS i3№ \S 1™S Ж 1?| s 2423 2“ 2g 3™ 3&° S 4S 388,36 717.75 230 240 252 263 276 290 31 328 885 1030 j250 Ж S S ! > . . .366 .505 >6» .835 3670 33,6 2730 3360 ,2 3^8 S .Ж • . 1« 1® >3.0 16.» I’43 |№ S 3 S S *iSI К № № . 0 «» ™ >27? '-s To S !S ™ “?S S «1S W30 2020 tS 2И0 23470 2510 1680 I860 3080 3330 3630 Ж «» g 5580 S S .2 S s ! 2 2 o 2® 2339 «g? 3?„3S 3?97? 3S S S? ,2 S S 1525 1610 1?| 185 1 5 2080 2250 2440 2680 2960 3310 3750 4340 5130 940 3575 1140 1200 1265 1335 1410 1500 1600 1715 1850 2010 2210 577 1710 473 499 527 556 592 632 680 73b ^03 176Q 2060 1873 2005 624 655 691 731 776 825 3540 4180 1404,5 4090 1190 1255 1325 1405 495 600 7 2320 3720 2190 3652 1235 1295 1365 1435 1510 1605 1 5200 5900 3650 5800 2050 2150 2270 2390 2520 2680 840 3030 250 500 3 10 41 0 1773 3570 1045 1100 1160 1230 1305 1390 1490 1605 1740 1905 2105 23 1097 1800 436 460 488 520 552 90 638 690 7^ 830 9J0 1060 S j»7 .W .gS >W ms 18й 2.10 2260 2460 267. 2936 8266 8660 4.70 ^80
Рис. 7.2. Принципы электронно-лучевого испарения сплавов: а — смешение в паровой фазе раздельным испарением компонент А и В; б — испарение из общего расплава с непрерывной подпиткой; / — тигель с компонентой А; 2—тигель с компонентой В; 3 —подложка; 4 — напыленный слой; б — поток пара; 6 — электронный пучок; 7 — расплав; 3 — кольцевой тигель; 9 — твердый штабик из испаряемого сплава При прямом нагреве температура испаряемой поверхности самая высокая, поэтому испарение материала проводят из водоохлаждаемых тиглей, обеспечи- вающих также испарение химически высокоактивных и тугоплавких материалов. Испарение элементов. Скорость испарения, т. е. масса испаряюще- гося с единицы поверхности за единицу времени вещества, нагреваемого в вы- соком вакууме, определяется уравнением Ленгмюра Она возрастает с темпе- ратурой поверхности испарения приблизительно экспоненциально. Для обеспече- ния скоростей испарения в пределах 1О-б...1О-*2 г-см~2-см~' процесс испарения следует вести из жидкой (расплавленной) фазы. Однако в этом случае необхо- димо учитывать, что загрязнения, плотность которых меньше плотности рас- плава, всплывают и частично покрывают поверхность, снижая скорость испаре- нии. Это явление устраняется, если загрязнения имеют иысокое давление пара или термически разлагаются или если пар способен диффундировать сквозь слой загрязнений. Испарение сплавов проводят при много- или однотнгельном испарении (рис. 7.2). При многотигельном испарении (а) компоненты испаряются отдель- но, каждая из своего тигля, а конденсируются на подложке совместно. При од- иотигельном испаренви (б) поток пара требуемого состава создается и конден- сируется при непрерывной добавке дополнительного материала в основной. В другом варианте однотигельного испарения из тигля с большим количеством расплавленного вещества процесс испарения осуществляют покомпонентно, из- меняя мощность подогрева по определенному графику. Распространение пара. Поток пара из испарителя характеризуется пространственным распределением плотности Ф(а) (характеристикой испарите- ля). С ее помощью при заданном расположении испарителя относительно под- ложки можно рассчитать ожидаемое при конденсации распределение толщины слоя. При бестигельном испарении расплавленной капли источник пара — точеч- ный и Ф(а) — const. Плотность потока пара, исходящего нз плоского элемента поверхности, описывается уравнением Ф(а)=Ф0со5 (а), (7.1) где Ф(а) — плотность потока в направлении, составляющем е нормалью к по- верхности угол о, Фо —его плотность при а=0. Испаритель, у которого размеры поверхности испарения малы по сравне- нию с расстоянием от этой поверхности до подложки, называется испарителем с малой поверхностью. В первом приближении для пего справедливо выражение (7.1). При больших размерах поверхности испарения или если источники пара распределены по поверхности испарителя, распределение плотности цотока в про- 266
рис. 7.3. Влияние формы поверхно- сти испарения реальных испарите- лей с малой поверхностью на рас- пределение плотности потока пара: а — образование выпуклой поверхности испарения под действием поверхностного натяжения испаряемого материала; б — ограничение распространения пара стен- ками тигля при недостаточном заполне- нии тигля материалом; в — образование вогнутой поверхности испарения вследст- вие местного повышения давления пара; а—образование облака пара, играющего роль источника пара вместо поверхности испарения 20’ 10° а-0 10° 20* Рнс. 7.4. Распределение плотности потока пара из испарителя с малой поверхностью мощностью 5 кВт. Испарение из водоохлаждаемого тигля; скорость испарения av=0,4 r/мии; 1 — результаты измерений; 2— распределение типа cos а; 3 — распределение типа cos’ a; 4 — распределение типа cos3 a; Ф(а)— плотность потока в направления, составляющем с нормалью к подложке угол а; Фо — плотность потока при а-0 странстве рассчитывают, суммируя характеристики отдельных испарителей с ма- лой поверхностью или элементов поверхности испарения. Некоторые факторы вызывают у ЭЛ-испарителей отклонения характеристи- ки от простого распределения по гармоническому закону косинуса (рис. 7.3}. Пространственное распределение плотности потока пара, создаваемого реаль- ным испарителем с малой поверхностью, описывается функцией Ф(а) =0bcosn(a), (7.2) где л>1 Для ЭЛ-испарителей при невысоких скоростях испарения уравнение (7.2) описывает распределение плотности потока пара достаточно точно, если углы а не превышают 30°. На рис. 7.4 приведена диаграмма распределения плотности потока пара ЭЛ-испарителя мощностью 5 кВт. В пределах угла а=30° справед- ливо уравнение (7.2) при n«=2,5. С возрастанием скорости испарения увеличи- вается деформация поверхности испарения и показатель п растет. Характеристика испарителя с влиянием парового облака (см. рис. 7.3, г)' наиболее точно учитывается функцией косинуса высшего порядка в следующем выражении: Ф(а) =Ф0 I 1— ——) cos" а+Фга, \ Фо / где Ф,в — изотропная составляющая плотности потока пара, которая при невы- соких скоростях испарения зависит от скорости, а при значительных скоростях приближается к постоянному значению. Испарительные устройства и их реализация. Электронно- лучевые испарители состоят из электронной пушки и тигля. Часто их дополняют приспособленцем для подпитки расплава в тигле исходным материалом. Приме- ры ЭЛ-испарителей приведены на рис. 7.5. Катод расположен вблизи испаряе- 267
b) Рис. 7.5. Электронно-лучевые испарители: а — с линейным катодом и электростатической фокусировкой; б — с кольцевым катодом и электростатической фокусировкой; в — с аксиальной пушкой и длиннофокусной элек- тростатической фокусировкой; г — с кольцевым катодом, электростатическими фокуси- ровкой и отклонением пучка; д — с аксиальной пушкой, магнитными фокусировкой и поворотом пучка на 90°; е — с плосколучевой пушкой и магнитным поворотом пучка на 100°; 1— катод; 2 — электрод под потенциалом катода; 3 — электронный пучок; 4 — поток пара; 5 — испаряемый материал; 6 — водоохлаждаемый тигель; 7— управляющий электрод; 8 — аиод; 9 — магнитная линза; 10 — поперечное магнитное поле; 11 — кольцевой электрод; 12 — кольцевой катод мого материала или тигля. Под действием ускоряющего напряжения между ка- тодом и тиглем пучок электронов устремляется на испаряемый материал. Управляющий электрод находится под потенциалом катода и служит для фоку- сировки электронного пучка. Если катод кольцевой, то и управляющему элект- роду придают форму кольца, концентрически охватывающего тигель. Анод, размещенный между тиглем и управляющим электродом с катодом, формирует электронный пучок, который направляют на испаряемый материал отвесно или под углом к поверхности. Чтобы при больших расстояниях между пушкой и тиглем получить пучок малого диаметра и тем самым нужную удель- ную поверхностную мощность на поверхности испаряемого материала, исполь- зуют длиннофокусные генераторы электронных пучков Фокусировку пучка осу- ществляют также с помощью магнитных лииз. Тигли служат для размещения в них испаряемого материала. Их изготов- ляют из материала с низкой упругостью пара и химической инертностью к ис паряемым материалам и газам в технологической камере при высокой температуре. Применяют водоохлаждаемые либо горячие тигли с водяным ох- лаждением и керамическими вставками (рис. 7.6). Известны медные водоох- лаждаемые тигли. Высокая теплопроводность меди допускает больше пере- 268
рис. 7.6. Типы тиглей электронно-лучевых ис- парителей: „ — медный водоохлаждае- мый; б —«горячий»; 1 — медный водоохлаждаемый тигель; 2 — поток пара; s электронный пучок; 4— испаряемый материал; 5 — тигельная вставка at 6) лады температур на границе между стенкой тигля и испаряемым материалом. В таких тиглях можно испарять как тугоплавкие вещества (вольфрам при 3000... 4000 К), так и химически высокоактивные (титан). Формы тиглей для испарителей представлены на рис. 7.7, а, б При испа- рении из тигля больших количеств материала без разбрызгивания осуществляют покачивание пучка. Для повышения скорости испарения и равномерности плот- ности потока пара испарение проводят при непрерывном вращении тигля. По- стоянная скорость испарения сублимирующих материалов (например, хрома, сте- кол и других диэлектриков) обеспечивается дополнительным перемещением тиг- ля относительно качающегося пучка. Для испарения нескольких материалов при одном электронном пучке используют многотигельные устройства, в которых отдельные тигли поочередно устанавливают в рабочую позицию, перемещая их по прямой или окружности (рис. 7.7,в — <Э), или направляют электронный пучок поочередно из одного тигля в другой (рис. 7.7,г). Для исключения загрязнений расплава материалом тигля используют бес- тигельное испарение (рис. 7.8). В простейшем случае материал испаряется из жидкой лунки на поверхности массивного слитка. Для сублимирующих мате- риалов целесообразна форма прутка или штабика, перемещающегося под пучком. Механическое перемещение испаряемого материала дополняют покачи- ванием (колебанием) пучка, что устраняет возможность образования кратеров на поверхности сублимирующего материала. Испарение капель материала ха- рактеризуется очень низкими скоростями испарения. Подпитка. Предназначена для пополнения запаса испаряемого материа- ла в тигле для поддержания постоянства процесса испарения. Может вестись периодически или непрерывно. При непрерывной подпитке в тигель в единицу времени подается такое количество материала, которое соответствует скорости испарения. Подпитка может производиться при подаче проволоки с помощью зубчатой пары к тнглю (рис. 7.9, а). Диаметр проволоки и скорости ее подачи задают скорость подпитки. Проволоку подают в тигель предварительного расплавления, отделенный от подложки экраном, который защищает ее от брызг расплава Рис. 7.7. Характерные формы тиглей и блоков тиглей испарителей с малой поверхностью испарения: а — простой тигель; б — маятниковый тигель; в—д — блоки тиглей 269
Рис. 7.8. Схемы бестигельного электронно-лучевого испарения: а — ограниченная лунка расплава в массивном блоке испаряемого материала; б— вра- щающийся стержень из испаряемого материала (пригодна также для сублимирующих материалов); в—капля, висящая иа торце испаряемого стержня; / — поток пара; 2— электронный пучок; 3 — расплав; 4 — испаряемый материал; 5 — стержень из испаряемого материала, вращаемый в направлении 6 и перемещаемый воз- вратно-поступательно в направлении 7; 8— зона уноса материала; 9— проволока или стер- жень из испаряемого материала; 10 — капля расплава; 11 — кольцевой катод с электрон- ным нагревом; 12 — поток пара Рис. 7.9. Устройство подачи в тигель испаряемого материала: / — магазин с бухтой проволоки; 2 — подающие ролики; 3—направляющее сопло; 4 — проволока; 5 — водоохлаждаемый тигель; 6 — расплав; 7 — испаряемый материал в виде штабика; в — бункер питателя с материалом в виде гранул; 9—вибратор и загрязнений, испаряющихся в этом тигле при предварительном расплавлении материала. Проволоку в расплав подают сверху под некоторым углом с его поверхности, сбоку через стенку или снизу через дно тигля. Для материала в форме штабиков разработаны кольцевые тигли (рис. 7.9, б). Стержень из расходуемого материала образует дно, а охватывающее его медное водоохлаждаемое кольцо—стенки такого тигля. Внутри тигля образуется лунка расплава. Применяя для такого тигля керамические вставки в виде втулок, можно по этому принципу реализовать и горячие тигли с непрерывной подпит- кой. Штабик может быть получен методом сплавления, прессования или спекания, что обеспечивает подпитку почти всех испаряемых материалов. Штучные, таблеточные или гранулированные материалы подаются порциями с помощью вибропитателя (рис. 7.9, в). Испарение при резистивном нагреве. Для реализации используют нагрева- тели-испарители поверхностного типа с резистивным нагревом в форме спира- лей, лент, лодочек, а также испарители тигельного типа с косвенным нагревом, имеющие как открытый, так и квазизамкнутый объемы. Наиболее часто .в тер- мовакуумиом методе применяют испарители из вольфрама; большое распрост- ранение получили также молибденовые и танталовые испарители. Никель и хро- мель используются в исключительных случаях. 270
Рис. 7.10. Проволочные испарители Для изготовления испарителей из вольфрама используют перекристаллизо- ванную вольфрамовую проволоку, так как рекристаллизованная проволока, по- лучаемая в результате нагрева вольфрама при температуре, превышающей 1273 К, очень хрупкая. Перекристаллизованная вольфрамовая проволока обла- дает гибкостью и легко формуется. Навивку спиралей для испарителей произ- водят при нагревании вольфрамовой проволоки до 373 К на газовой горелке, так как при этой температуре гибкость проволоки достигает максимума. Очистку поверхности вольфрамовых испарителей производят химическим травлением в 20%-ном растворе гидроокиси калия или натрия с последующей отмывкой в воде, затем испаритель прокаливают в вакууме. Испарители с косвенным подогревом могут быть проволочные, ленточные и специальных конструкций. Испарение металлов с проволочных нагревателей происходит в телесные углы вплоть до 4л, с ленточных оно ограничено телесным углом л. При небольших количествах испаряемого металла и при условии, что ис- паряемый металл смачивает материал подогревателя, применяются V-образные (рис. 7.10, а), W-образные (рис. 7.10, б), волнообразные (рис. 7.10, в) и спираль- ные (рис. 7.10, г) испарители. Испаряемый материал в виде загнутых кусочков проволок или полосок листового материала насаживается на подогреватель (рис. 7.10,6). При пропускании тока через подогреватель кусочки испаряемого материала расплавляются и смачивают подогреватель (рис. 7.10, е, ж)', при этом смачивание и поверхностное натяжение удерживают расплавленный металл на поверхности подогревателя. При хорошем смачивании материала подогревателя испаренным металлом происходит некоторое взаимодействие между этими веществами. При испарении алюминия с тонких вольфрамовых проволок происходит разрушение подогре- вателя вследствие образования хрупкого сплава, однако, если диаметр проволок превышает 0,7...1,0 мм и объем навески алюминия больше, чем количество испаряемого металла, растворение алюминия не приводит к заметному измене- нию диаметра подогревателя и его разрушению. При недостаточном смачивании испаряемым металлом материала подогре- вателя используют вспомогательную спираль из вольфрамовой или молибдено- вой проволоки диаметром 0,2...0,25 мм (рис. 7.10, з, и), которую наматывают на подогреватель с шагом 1,5...2 мм. На рис. 7.10, к изображен линейный испаритель в виде толстой проволока вольфрама или молибдена (d=l,5...2 мкм и более), на которую с шагом 1,5... 2 мм плотно наматывается вспомогательная вольфрамовая или молибденовая спираль. Проволока испаряемого металла диаметром 0,15 мм, скрученная в жгут, наматывается между витками вспомогательной спирали на среднюю часть ис- парители. Если испаряемый материал порошкообразный, он смешивается со спиртом или биндером и кисточкой наносится на подогреватель. Для нанесения толстых слоев пленок используют конструкцию испарите- лей из параллельно соединенных либо скрученных вольфрамовых проволок. При испарении алюминия используют испарители, состоящие из трех — шести прово- лок диаметром 0,55...0,75 мм. 271
При испарении проволочных материалов применяют многожильные испари- тели, скрученные в жгут и состоящие нз нескольких проволок вольфрама или молибдена, среди которых помещается проволока испаряемого материала (на- пример, три вольфрамовые проволоки диаметром 0,5 мм и одна платиновая того же диаметра). Такие многожильные испарители могут быть скручены в виде спирали. Конусообразная вертикально расположенная спираль (рис. 7.10, л) приме- няется для испарения металла, который не смачивает материал подогревателя. Спираль может быть окружена дополнительным тепловым экраном (рис. 7.10, л), который одновременно служит для направления потока испаряемого металла. При испарении хрома и кадмия предварительно гальванически покрывают испаряемым металлом протравленную поверхность подогревателя. Таким спо- собом испаряют небольшие количества хрома и кадмия с вольфрама, серебра с молибдена. При необходимости испарения больших количеств этих металлов применяют гальванически покрытые испаряемым металлом подогреватели, на которые насаживают кусочки испаряемого вещества. Материалы, которые не могут быть получены в виде проволок, например, висмут с малой температурой плавления, успешно испаряются следующим об- разом: хорошо очищенную никелевую нить диаметром 0,2 мм погружают в ван- ну с соответствующим расплавленным металлом При этом никель покрывается этим металлом довольно ровным слоем. Через подготовленную таким образом нить в вакууме пропускается ток, необходимый для испарения. Для испарения больших количеств металла и порошкообразных материа- лов применяются испарители в виде лодочек или тиглей (рис. 7.11, а — к), подо- греваемых электрическим током. На рис. 7.12, а и б изображены испарители, представляющие собой металлическую чашечку (тигель) из листового тантала, свободную от швов, которая нагревается с помощью специальной изолированной спирали, намотанной вокруг поддерживающего стержня, илн помощью высоко- а) В) в) г) Рис. 7.12. Испарители тигельного типа с косвенным нагревом 272
частотной катушки, расположенной вне вакуумного колпака. Для испаре- ния металлов с низкой температурой плавления можно использовать тигли из кварца, которые окружены спиралью (рис. 7.12, в). Для испарения больших количеств металлов с высокой температурой плав- ления применяются тигли относительно большой емкости из алунда и окиси бериллия. Технология изготовления алундовых тиглей следующая: из вольф рамовой проволоки диаметром примерно-0,5 мм навивают коническую спираль с малым шагом, затем эту спираль с внутренней и наружной стороны покрыва- ют с помощью кисточки водной суспензией окиси алюминия и прогревают на воз- духе до образования тонкого белого покрытия, заполняющего пространство меж- ду витками. После сушки на воздухе такой тигель спекается в вакууме при медленном повышении температуры приблизительно до 1773 К с выдержкой при этой температуре около 10 мин (прогрев можно осуществить путем пропускания соответствующего тока через спираль). Процесс покрытия тиглей водной суспен- зией окиси алюминия и отжига тиглей повторяется несколько раз до получения довольно толстого однородного покрытия. Если во время нагрева на поверх- ности тиглей образуются трещины или раковины, их замазывают и процесс про- грева повторяют. При спекании тиглей из окисей алюминия в вакууме выделяется большое количество газов, однако при эксплуатации такие тигли отличаются очень хо- рошими вакуумными свойствами. Тигли из окиси бериллия изготавливают путем намазывания вольфрамовых конических спиралей подкисленной водной суспен- зией чистого порошка окиси бериллия. Вода должна содержать такое количест- во НО, чтобы рН=1...2. Тепловая обработка тиглей из окиси бериллия подоб- на тепловой обработке тиглей из окиси алюминия. Тигли из окнси алюминия используются для испарения металлов, точка плавления которых ниже 1873 К (Со, Си, Ge, Мп, Ag, Sn, Ni); тигли из окиси бериллия могут быть использованы до температуры 2023 К (Со, Fe и Si). Для испарения металлов при температуре порядка 2473 К применяются мас- сивные тигли из окиси тория. Подогрев таких тиглей производится с помощью туго намотанной изолированной вольфрамовой спирали, окруженной тепловым экраном из листового молибдена (рис. 7.12, в). Для еще более высоких температур испарения в качестве материала для испарителей применяется графит. При этом испаряемый материал может быть введен в углубление на непосредственно нагреваемом графитовом стержне (рис. 7.12, а) или в тигель из графита, подогреваемый с помощью спирали. По- догрев таких тиглей осуществляется токами 400...1000 А. Прн высоких температурах многие металлы реагируют с углеродом, образуя карбиды. К таким металлам относится алюминий, который взаимодействует с углеродом при температуре, близкой к температуре испарения, с образованием желтых кристалликов карбида кремния. Кристаллики карбида алюминия летучи, и поэтому осажденный на подложку алюминий при испарении из графитового тигля имеет часто желтоватый оттенок. При испарении кремния из графитового тигля происходит образование нелетучего карбида кремния. Металлы, не реагирующие с углеродом при высоких температурах (берил- лий, серебро, стронций и др ), могут быть успешно испарены из графитовых тиг- лей. Кроме того, многие окиси металлов восстанавливаются под действием угле- рода и, таким образом, при использовании графитовых тиглей может одновре- менно происходить очистка металла При создании тиглей из графита, подогреваемых с помощью спирали, сле- дует обращать внимание на то, чтобы вольфрамовый подогреватель не сопри- касался с графитом, так как при нагревании такого тигля до температуры 1773 К образуется карбид вольфрама, вследствие чего уменьшается проводи- мость подогревателя, увеличивается его хрупкость и сокращается срок службы. Недостатком графитовых тиглей является их способность легко поглощать газы что осложняет технологическую обработку в вакууме. Испарение «вспышкой». Разновидность термовакуумного метода, который осуществляется из поверхностных испарителей при непрерывном или дискретном испарении проволоки либо мелкодисперсных частиц, подаваемых из специаль- ных дозаторов (рис. 7.13, а — и) Подача проволоки или мелкодисперсных частиц производится со скоростью, равной скорости испарения частиц этого вещества. 18 Зака» № 1270 273
6) е> Рис. 7.13. Конструкции испарителей дискретного «вспышкой»: 1 — бункер; 2— лоток для подачи материала; действия для испарения 3 — испаритель В парообразной фазе над испарителем присутствуют все компоненты сложного вещества в таком же соотношении, в каком они содержатся в твердой фазе, что обеспечивает при конденсации на поверхности подложки возможность получения пленок требуемого стехиометрического состава. 7.2. Импульсное нанесение пленок Нанесение пленок методом термического испарения в вакууме состоит из трех связанных между собой процессов: испарения образца конденсированного тела (мишени), транспортировки пара от мишени до поверхности конденсации (подложки), зарождения конденсированной фазы на подложке и роста пленки при обмене энергией с подложкой. Импульсное нанесение пленок, снимая температурные ограничения при ис- парении, используя плотные потоки пара, ионизацию и ускорение плазмы, на несколько порядков повышает мгновенную скорость осаждения (до 10_| м/с и более) и характеризуется нестационарностью указанных процессов. Электрический взрыв проводников и полупроводников. Электрический взрыв проводников возникает в тонком образце конденсированного материала при интенсивном выделении джоулева тепла. Электрические проводники (про- 274
водочки, фольга, пленки, нанесенные на диэлектрические подложки) распыли ются при разряде высоковольтной и малоиндуктивной (с высоким значением скорости нарастания тока) конденсаторной батареи (рис. 7.14, а). Диаметр про- волочек или толщина плоского образца составляет 0,01. .0,1 мм, а интенсивность объемного источника тепла достигает 10'1.. 1013 Вт/г. Взрывы делятся иа медленные, быстрые и взрывную абляцию в зависимо- сти от соотношения между временем испарения проволочки те и постоянными Рис. 7.14. Различные способы импульсного нанесения пленок: а — электрический взрыв- / — взрываемый образец; 2— подложка; 3— поток продуктов взрыва; 4—конденсаторная батарея; 5 — управляемый разрядник; б — испарение мощным сходящимся электронным лучом: 1 — мишень; 2 — подложка; 3 — поток пара; 4 — конденсатор или специальный генератор импульсного тока; 5 — управляемый разрядник; 6 — иакал катода электронной пушки; 7 — катод; 8 — фокусирующий электрид; 9 — анод; в — лазерное испарение; 1 — мишень; 2—подложка; 3 — поток пара; 4 — прозрачное окно; 5 — вакуумная камера; 6 — фокусирующая линза; 7 — активное вещество; в —лампа накачки; 9— конденсатор; 10— управляемый разрядник; // — непрозрачное зеркало; 12— ячейка Керра; 13 — поля- роид; 14 — полупрозрачное зеркало; г — использование импульсного генератора металлической плазмы: 1 — плазмообразующее вещество; 2 — подложка; 3 — поток эрозионной плазмы; 4 — цент- ральный электрод; 5 — наружный электрод; 6 — изолятор; 7 — индицирующий электрод; 8 — конденсаторная батарея; 9 — разрядный ток; д — использование генератора диэлектрической плазмы: / — плазмообразующее вещество; 2 — подложка; 3 — поток эрозионной плазмы; 4 — цент- ральный электрод; 5 — наружный электрод; 6 — конденсаторная батарея 18* 275
времени т, и тл (тв — постоянная неустойчивости, т. е. характеристическое вре- мя, требующееся для того, чтобы нестабильность, например волнистость прово- лочки, возросла вдвое; та — электротермическая постоянная времени, т. е. время необходимое для проникновения температуры, равной точке кипения, на глубину порядка радиуса проволочки). При медленном взрыве Те^т» физические искажения проволочки оказывают значительное влияние на характер его протекания. Быстрому взрыву соответст- вует тг Под взрывной абляцией понимается ситуация, когда процесс разви- тия взрыва управляется скин-эффектом и испарение происходит лишь в тонком поверхностном слое, а центр остается непрогретым. При быстром взрыве в вакууме в течение 10~8...10-7 с взрываемый образец превращается в пар с плотностью, близкой к плотности твердого тела, и темпе- ратурой 1...4-105 К (энергия 10...35 эВ). С помощью электровзрыва получены очень тонкие пленки (около 10 нм) полупроводников Ge, InSb и InAs. Типичные размеры взрываемых образцов 0,1x0,1x30 мм, напряжение на конденсаторной батарее из-за высокого удель- ного сопротивления полупроводников достигает 500 кВ, амплитуда разрядного тока 150 кА, период разряда т~0,2 мкс. Для уменьшения сопротивления образ- цы перед взрывом прогреваются. Наиболее характерными чертами нанесения пленок при электровзрывном ис- парении является исключительно высокая скорость роста пленки (107..108 нм/с) и высокая энергия конденсирующейся плазмы (эквивалентная температура Т« «1О5...1О8 К). Скорость потока плазмы около 105 см/с, а плотность потока приблизительно 1023 см~2-с~’. Высокая интенсивность потока пара характеризу- ется также тем, что на 108 атомов пара, бомбардирующих подложку, находя- щуюся на расстоянии L=7 см от взрываемого образца, приходится всего одна молекула остаточного газа (давление около 133-10~5 Па). Тем самым электро- взрывное испарение позволяет получать исключительно чистые пленки. Высокая энергия конденсирующихся частиц приводит к сильному нагреву поверхности подложки и самого конденсата в процессе роста пленки. Различают три вида кристаллизации: при температуре более высокой, чем начальная тем- пература подложки, но меньше температуры плавления; при температуре плав- ления; с интенсивным реиспарением. Импульсное испарение под действием электронной бомбардировки. Плот- ность тока с катода (LaB6) электронной пушки может достигать /с=10 А см-2, а плотность тока в пучке /»300 А см-2; тепловой поток, приносимый электрона- ми иа единицу бомбардируемой поверхности, q= I07 Вт/см2, что достаточно для импульсного испарения в вакууме и нанесения, таким образом, тонких пленок (см. рис. 7.14,6). Электронный пучок интенсивно взаимодействует с паром уже при д= = 105 Вт/см2 и давлении насыщенного пара над бомбардируемой поверхностью около 133 Па. При q= 106...10® Вт/см2 через 10~5...10~7 с после начала бом- бардировки энергия электронов в основном начинает тратиться не на фазовый период, а на нагревание и ионизацию уже готового пара и передачу энергии, образующейся в плазме. Для этого укорачивают длительность импульса элект- ронного пучка. Импульсное лазерное испарение в режиме свободной генерации. Импульсные лазеры, работающие в режиме свободной генерации, обеспечивают в течение импульса длительностью около 10~3 с тепловой поток на поверхность испаряе- мого тела <7=106...108 Вт/см2. Использование пучкового режима генерации обес- печивает импульс, фактически представляющий собой последовательность им- пульсов длительностью 10 '8 с, в чем и заключается импульсное нанесение пле- нок. При лазерном импульсном нанесении пленок лазер располагают за преде- лами вакуумной камеры (см. рис. 7.14, в). Поглощение излучения лазера непрозрачным твердым телом зависит от зонной структуры последнего. Падающий на поверхность металла световой по- ток, за вычетом отраженной части, практически полностью поглощается элект- ронами проводимости в слое толщиной а~)=0,1...1 мкм, соответствующей глуби- не проникновения света в металл (а; — коэффициент поглощения света) Релакса- ция функции распределения электронного газа к равновесному значению про- исходит вследствие электрон-электронных и электрон-ионных соударений. При 276
яссмотрении релаксации всей системы (электронный газ-ррешетка) имеют зна- чение отношения времени соударений фотон-электрон т/е, электрон-электрон тее> элсктрон-ион Те< и ион-ион тл по отношению к длительности импульса излуче- ния. Прн интенсивности светового потока <?<Ю9 Вт/см2 тее«г,« и электронный газ можно характеризовать единой температурой Те. Так как тн<£те., а —|0”12...10_|1 с, то прн длительностях импульса излучения т,3>тв. разность между электронной и решеточной температурами исчезает и можно ввести по- нятие обшей температуры металла Т. Поглощение энергии электромагнитного излучения в полупроводниках и диэлектриках носит более сложный характер и может быть разделено на не- сколько типов: собственное поглощение, поглощение свободными электронами, поглощение локальными примесными центрами и поглощение решеткой. Если энергия фотонов превышает ширину запрещенной зоны полупроводника, то при достаточно интенсивных световых потоках в начале импульса излучения наблю- дается собственное поглощение — внутренний фотоэффект, электроны валентной зоны переходят в зону проводимости, причем через 1...10 нс при интенсивности 106 Вт/см2 концентрация свободных электронов может достигать значений по- рядка Ю^.-Ю21 см-3. Полупроводник приближается по своим свойствам к ме- таллу, а коэффициент поглощения света достигает значения аг = 104...105 см-1. Релаксация конденсированного тела, поглощающего излучение лазера, в каждом конкретном случае должна рассматриваться отдельно в зависимости от характеристик вещества и интенсивности излучения. Однако существует зна- чительный класс непрозрачных веществ (металлы, некоторые полупро- водники и диэлектрики), имеющих времена релаксации, существенно меньшие длительности поля одной моды (около 1 мкс) излучения твердотельных лазеров в режиме свободной генетики. Если иметь в виду лишь этот класс веществ и ука- занный режим генерации, то при рассмотрении вопросов нагревания и испаре- ния можно пользоваться обычной теорией теплопроводности. Условия получения наиболее чистых пленок достигнуты Бонемом, соединив- шим в своем методе сверхвысокий вакуум (I.33X10-8 Па), гелиевое охлажде- ние (до 2 К) и рубиновый лазер (с энергией в импульсе, достигающей 5 Дж). С помощью рубинового лазера с энергией светового импульса 3 Дж и дли- тельностью импульса около 1 мс при плотности мощности в фокусе g=16e... 108 Вт/см2 получают удовлетворительные пленки следующих веществ; Sb2S3, As2S3> Se, ZnTe, Те, MoO3, РЬС12, PbTe, Ge. Значительное увеличение энергии в лазерном импульсе (до 500 Дж при длительности 2...4 мс, активное вещество — стекло с неодимом) позволяет по- лучать пленки в несколько сотен нанометров за один импульс при скорости нанесения 10\..105 нм/с. Данным методом хорошо испаряются материалы: Сг, W, Ti, С, Sb2S3, SrTiO3, BaTiO3. Титанат бария приготавливается в виде мелкого по- рошка и дегазируется прогревом в вакууме (почти 1,33-10~5 Па) до температу- ры, несколько меньшей температуры плавления. Структурный анализ показы- вает, что полученные пленки по составу такие же, что и первоначальный ма- териал. Пленки BaTiO3, нанесенные при более высокой плотности мощности из- лучения, обладают более высоким сопротивлением утечки и меньшим фактором потерь. Лазерное импульсное нанесение пленок позволяет получать поликристалли- ческие пленки GaAs на подложках из CaF н NaCI стехиометрического (кон- груэнтного) состава. Соединения типа An,Bv (GaP, GaS, GaSb, InSb) и типа A"BVI (ZnO, ZnS, ZnSe, ZnTe, CdS, CdSe, CdTe), за исключением ZnO, испа- ряются конгруэнтно, а образующиеся пленки имеют состав вещества мишени. Импульсное лазерное испарение в режиме модулированной добротности. Модуляция добротности оптического резонатора, например, с помощью ячейки Керра и поляроида (см. рис. 7.14, в) позволяет уменьшить длительность светового импульса твердотельного лазера до 10-8...10-7 с и увеличить плотность потока излучения на поверхность мишени до 1О9...1О10 Вт/см2 и более. При использовании этого режима генерации для импульсного нанесения пле- нок следует отметить: значительное (на 2...3 порядка) уменьшение количества испаряемого за импульс вещества, возрастание энергии пара вблизи поверхно- сти до 10..50 эВ; значительную полную и даже многократную (по мере уве- личения q) ионизацию атомов и высокие энергии ионов (100 ..1000 эВ), также 277
возрастающие с увеличением q. Количество вынесенной массы для лазера на неодимовом стекле с энергией в импульсе около 20 Дж, дающего поток q= “Ю’^-.Ю11 Вт/см2 не превышает 1 мг. Плотности пара (плазмы) вблизи поверх- ности мишени достигают 10,9...1021 см-3. Так как размер фокального пятна (так называемой «горячей точки») на поверхности мишени г0==Ю 2 см много меньше расстояния до подложки L — = 1...10 см, плотность лазерной плазмы при движении ее к поверхности падает на 4 6 порядков. Импульсные генераторы эрозионной плазмы как разновидность импульсных испарителей. Импульсные генераторы эрозионной плазмы представляют собой плазменные ускорители, использующие в качестве основного физического про- цесса сильноточный вакуумный разряд, т. е. разряд, горящий в парах материа- лов— элементов разрядной камеры (электродов и изоляторов) (рис. 7.14, г. д). Разрушение электродов и изоляторов в сильноточном вакуумном разряде обусловлено тепловыми потоками к элементам разрядной камеры, достигаю- щими <7=105...108 Вт/см2, т. е. того же порядка, что и при воздействии излу- чения лазеров в режиме свободной генерации. Природа тепловых потоков для различных элементов различна. В катодных пятнах — это ионная бомбардировка поверхности катода ионами, ускоряемыми прикатодным падением напряжения, и анодных пятнах — это электронная бомбардировка; тепловые потоки к по- верхности изоляторов обусловлены соседством плазмы и представляют в основ- ном потоки излучения из плазмы. Явления эрозии электродов в эрозионных пушках сложно. В большинстве случаев фиксируется лишь результат целой гаммы физических процессов (рожде- ние, передвижение и гибель катодных нли анодных пятен, нагревание элект- родов, их испарение, ионизация пара, действие на плазму электродинамических сил и др.), зависящих, в свою очередь, от геометрии и материала электродов, режима разряда и т. п. Разрушение диэлектрика, осуществляемое зажиганием разряда в узких и длинных диэлектрических каналах (трубках) (см. рис. 7.14, <?), представляет собой более организованный процесс. Импульсные генераторы эрозионной плазмы (ИГЭП) способны в течение 10~5...10~3 с обеспечить плазменный поток (пары разрушенных материалов) со скоростью (I...3) -I07 см/с с температурой до (5...10)-104 К и плотностью до 5-Ю18 см-3, чего нельзя достичь с помощью любого из ранее рассмотренных испарителей. Эффективен анодный режим работы ИГЭП торцевого типа (рис. 7.14, г) из-за большего эффективного анодного падения потенциала и большей равно- мерности подвода энергии к поверхности электрода. В таком режиме получены слон толщиной 10-2...1 мкм из Nb, Си и А1 на различных подложках и с хоро- шей адгезией. Поток металлической плазмы взаимодействует с различными ме- таллическими поверхностями (Fe-плазмы с поверхностью Al, Mg и Be; Nb-плаз- мы с поверхностью Sn; Sn-плазмы с Mg; Cu-плазмы с Be). При достаточно большом энергосодержании сгустка плазмы происходит химическая реакция с образованием интерметаллидов. Взаимодействие Fe-плазмы с Mg-подложкой приводит к образованию парамагнитных фаз, не указанных на равновесной диа- грамме состояния системы Fe— Mg. Взаимодействие Nb-плазмы с Sn-подложкой приводит к образованию конденсата, имеющего критическую температуру сверх- проводящего перехода около 16 К. Конденсируя поток металлической плазмы, можно получить выпрямляющие и невыпрямляющие контакты к полупровод- никам: Si, Ge, GaAs, GaP, SiC. В качестве контактных металлов можно исполь- зовать Sn, In, Au, Ni, Al, Mo, Zn, Cd и ряд сплавов. 7.3. Получение пленок из ионизированных потоков многоатомных частиц методами ионного осаждения Метод ионно-кластерного осаждения. Этот метод использует явление обра- зования кластеров (многоатомных агрегатов) при истечении в вакуум со сверх- звуковой скоростью потока пара из квазизамкнутого тигля через одно или не- сколько отверстий (сопел) малого диаметра. Если температура пара в тигле 278
т то после выхода его из сопла в результате адиабатического расширения температура понижается до Тъ и может быть определена из уравнения 7’в/7'т = (Рв/Рт)('_1,/'’ , где Рт и Р» — давление пара в тигле и в вакуумной камере вблизи сопла; v — отношение удельной теплоемкости пара при постоянном давлении Ср к его удель- ной теплоемкости при постоянном объеме Cv; v=Ct/Cv=\+2/n; п — число сте- пеней свободы молекулы пара. Образование кластеров протекает наиболее эффективно, если PT/Pu>104, что обеспечивается выбором температуры тигля и диаметра отверстия сопла, который обычно не превышает 1...30% внутреннего диаметра тигля и составляет 0,1..1,0 мм. Число атомов в кластере W— 1О2...1О3. Энергия кластеров W= •=*kTrN, где k — постоянная Больцмана Для повышения энергии кластеров их ионизируют и ускоряют в электрическом поле. Ионизация потока кластеров осу- ществляется электронным ударом. Сечение ионизации кластера акл является функцией его размера, и при энергии электронов 50...500 эВ <ткл в первом при- ближении равно геометрическому сечению кластера, что позволяет получить степень ионизации потока кластеров 15...50%. Ионизацию потоков кластеров электронным ударом можно осуществить не- сколькими способами (рис. 7.15). В одном случае электроны, инжектируемые кольцевым катодом, помещенным в экран, вытягиваются и ускоряются в направ леиии тигля под действием разности потенциалов (рис. 7.15, а). Пространство между источником электронов (ионизатором) и тиглем является зоной иони- зации потока испаренного материала. В другом случае (рис. 7.15,6, д) иониза- тором служит цилиндрический диод, сеточный анод которого ограничивает об- ласть, где осуществляется бомбардировка осциллирующими электронами потока кластеров. В качестве ионизатора может также использоваться и обычная трех- электродная электронная пушка (рис. 7.15, в), состоящая из катода, фокусирую- щего электрода и анода. Ускоренный электронный пучок, проходя через анодное отверстие, бомбардирует поток осаждаемого материала в направлении, перпен- дикулярном его движению к подложке, и затем попадает на коллектор, нахо- дящийся под небольшим положительным потенциалом относительно анода. Если для формирования потоков кластеров многокомпонентных материалов применя- ются многотигельные системы, то ионизировать электронным ударом можно как кластеры каждого из элементов, так и смесь кластеров исходных элементов, рас- полагая электронную пушку, например, между тиглями (рис. 7.15, а) и направ- ляя электронный пучок в сторону подложки. При получении пленок посредством испарения материалов в среде какого-либо газа (реактивным ионно-кластерным методом) для дозированной подачи последнего в вакуумную камеру вблизи тигля устанавливается натекатель (рис. 7.15,6), имеющий на конце сопло. Ис- пользование каждого из этих устройств позволяет ускорять ионизированные кла- стеры к подложке за счет отрицательного потенциала, подаваемого, например, на подложкодержатель (рис. 7.15, а — в) или на ускоряющий электрод (рис. 7.15, г, 6) В последнем случае подложкодержатель находится, как пра- вило, под плавающим потенциалом. Ионно-кластерным методом получают пленки металлов, полупроводников, ди- электриков простого и сложного составов. При этом в качестве рабочих мате- риалов используются легкосублимируюшие вещества (серебро, золото, медь, свинец, кремний, сульфиды кадмия и цинка, сплав Au — Be и соединение Мп — Bi). При ионно-кластерном методе осаждения возможно легирование материала пленок в процессе их получения. Для этого легирующее вещество загружается либо в отдельный тигель, либо в тигель вместе с материалом наносимой пленки Осаждение пленок реактивным ионно-кластерным методом позволяет получать пленки окислов, нитридов и т. д. Такой метод высокопроизводителен, причем ско- рость осаждения можно регулировать в широких пределах (от сотых долей до десятков микрометров в минуту) даже при испарении материалов из односопло- вого тигля При осаждении пленок ионно-кластерным методом ионная бомбардировка вызывает глубокое травление поверхности подложки, ее частичное распыление и очистку, а также легирование и нагрев пленки в процессе ее осаждения. При 279
Рис. 7.15. Способы ионно-кластер- ного осаждения пленок: J — тигель с косвенным на; ревом; 2 — катод; 3 — экран; 4 — подложка; 5 — под- ложкодержатель; 6 — анод; 7 — фокусиру- ющий электрод; 8 — коллектор; 9 — уско- ряющий электрод; 10 — натекатель этом образуется межфазный слой на границе пленка — подложка и увеличи- вается концентрация дефектов в подложке. Конденсация кластеров на подлож- ке сопровождается частичным илн полным распадом их иа составляющие атомы, которые могут мигрировать по поверхности подложки. Значительная величина' среднего пути миграции атомов (10...50 мкм) стимулирует процессы зародыше- образования, рост островков, их коалесценцию и т. д., чго позволяет получать поли- и монокристаллические пленки при более низких температурах подложки по сравнению с термовакуумным методом. 280
В результате миграции атомов снижается удельное сопротивление металли- ческих пленок, уменьшаются внутренние напряжения. Плотность материала плен- ки растет с увеличением энергии кластеров, что обусловлено непрерывным удалением ионной бомбардировкой слабосвязанных с поверхностью подложки атомов рабочего материала, а также повышенной миграцией по подложке скон- денсированных частиц С увеличением энергии ионизированных частиц растет число имплантированных в подложку ионов, интенсифицируется травление ее по- верхности, что способствует повышению адгезии пленки к подложке. Двтоэмиссионный метод получения пленок. Обычный термовакуумный метод получения тонких пленок можно легко преобразовать в метод автоэмнссионного распыления. Для этого вблизи испарителя, находящегося под высоким положи- тельным потенциалом, располагается заземленный экстрагирующий электрод. Ис- парителем может служить либо одновитковая (рис. 7.16,а), либо многовитковая (рис 7.16,6) спираль, либо тигель, вблизи которого располагается вибратор для создания на поверхности расплавленного вещества заостренных выступов (рис. 7.16, в). Разогрев осаждаемого материала электронным пучком (рис 7 16, г) позволяет снизить напряжение экстракции U3K в несколько раз. При 6/эк=1 кВ получены потоки многоатомных ионизированных частиц свинца, олова, алюми- ния, серебра, золота, платины, углерода, вольфрама и т. д. при ионном токе до 10 мА. Скорость осаждения регулируется положительным потенциалом на испари- теле. Прн использовании автоэмнссионного метода распыляемое вещество обыч- но разогревается только до температуры плавления, поэтому снижается мощ- ность, подводимая к испарителю, заметно уменьшаются энергетические потери, связанные с нагревом токовводов, и значительно расширяется номенклатура ма- териалов для изготовления испарителей. Кроме того, при осаждении пленок этим методом отпадает необходимость в дополнительных устройствах для иони- зации потока многоатомных частиц распыляемого материала. Для реализации этого метода и получения высоких скоростей осаждения наносимые материалы должны находиться в жидком состоянии. Ионизирован- Рис. 7.16. Получение пленок автоэмиссионным методом: 1 — испаритель; 2 — экстрагирующий электрод; 3 — вибратор; 4 — электронный пучок 281
Таблица 7.2. Коэффициенты распыления Материал 1 Размерность, s । t Энергия, 0,1 1 °.2 | А1 0,25 (0,11) 0,5 (0,35) Si 0,21 (0,07) 0,43 (0,18) Ti 0,26 (0,08) 0,5 (0,22) Сг 0,3 (0,3) 0,6 (0,7) Fe 0,49 (0,2) 1,0 (0,53) Ni атом/ион 0,25 (0,28) 0,5 (0,66) Си 0,35 (0,48) 0,7 (1,1) As 0,95 1,9 Mo 0,20 (0,13) 0,4 (0,4) Au 0,45 (0,32) 0,9 (1,07) GaAs (110) —- (0.4) GaP (111) молекула/ион — (0,4) GaSb (111) — (0,4) SiO? — — . A12O3 — — цые кластеры, образовавшиеся при отрыве микрокапель с поверхности расплава, состоят из сравнительно большого числа атомов (5-108...5-109), связанных между собой сильнее, чем атомы кластеров, получаемых ионно-кластерным методом, что обусловливает низкую вероятность их разрушения при соударении с под- ложкой Катодное распыление в плазме газового разряда и ионным лучом. При рас- пылении твердых тел бомбардировка поверхностей ионами с энергиями выше пороговой вызывает их разрушение, сопровождаемое распылением атомов с по- верхности. Значение пороговой энергии слабо зависит от масс сталкивающихся частиц и лежит в диапазоне 5,6...59 эВ. С увеличением энергии выше пороговой (до 100 эВ) интенсивность процесса распыления возрастает в 103...104 раз, при этом коэффициент катодного распыления составляет 0,1...0,4 атом/ион. Коэффи- циент распыления растет с увеличением энергии до 300...500 эВ, а затем его рост прекращается. Увеличение коэффициента наблюдается при бомбардировке ионами с большими массами, а также прн облучении поверхности под углом к нормали порядка 50...700. Установлена зависимость коэффициента распыления от атомного номера элемента мишени, эта зависимость периодическая и объясняется изменением по- тенциала взаимодействия, обусловленного заполнением электронами d-оболочек. Температура материала аморфной мишени не влияет на скорость распыления, од- нако у монокристаллов с ростом температуры мишени наблюдается отжиг де- фектов структуры, и коэффициент распыления может возрастать до значений, соответствующих поликристаллическому образцу илн характерных для определен- ной кристаллографической плоскости. Распыляемые атомы мншени, испытывая столкновения с молекулами илн атомами рабочего газа, могут возвращаться на мншень. Обратное рассеяние существенно, когда масса распыленного атома меньше массы газовой частицы. Обратная диффузия возрастает с ростом давления рабочего газа. При давлениях более 1—1,2 Па коэффициент распыления уменьшается Распыление сплавов характеризуется селективностью скорости ухода от- дельных компонентов. Задаваясь необходимой скоростью и используя табличные значения коэффициента распыления, выбирают тип ионов в их энергию, рассчи- тывают плотность тока на мншень. Коэффициенты распыления материалов ионами аргона с различной энергией (от 0,1 до 5 кэВ) приведены в табл. 7.2. Скорость распыления и,, мкм/с, рассчи- тывают как толщину удаляемого слоя в единицу времени: M2-S Vi=0,104 — ilt Рм 282
различных материалов ионами аргона ksB 7 1 1 1 1,6 1 2 1 5 — 0,95 (1,0) 1,2 1,35 1,45 1,75 0,8 (0,5) I (0,6) 1,15 1,2 (0,9) 1,45 (1,4) 0,95 (0,35) 1,22 1,4 1,5 (1,1) 1,83 (1,7) 1,15 (1,2) 1,47 1,66 1,82 2,23 1,2 (1,1) 1,36 (1,4) 1,51 1,68 2,06 1 (1,38) 1,29 (2,1) 1,45 1,6 2,0 1,33 (2,0) 1,7 (3,2) 1,92 2,10 (4,3) 2,65 (5,5) 3,8 4,78 5,04 5,59 7,65 0,82 (0,82) 1,03 (1,1) 1,21 1,30 1,68 (1,5) 2,02 (2,43) 2,55 (3,6) 2,9 3,19 (5,6) 4,34 (7,9) (0,9) — — — — (1) — —• — — (0,91) (1,25) — — — 0,13 — — -— — 0,04 —. — — где Л42—атомная масса вещества мишени; S — коэффициент ионного распыле- ния; ji — плотность ионного тока; А/см2; рм — плотность материала мишени, г/см3. При нанесении тонких пленок катодным распылением распространение по- лучили плазменные методы с использованием тлеющего разряда постоянного то- ка (рнс. 7.17, а—в), магнетронного разряда в скрещенных электрических и маг- нитных полях (рнс. 7.17,ж, з), а также несамостоятельного разряда с накали- ваемым термокатодом (рис. 7 17, д, е). Рабочие давления для этих типов уст- ройств составляют соответственно 10°...10|; 10°...10-1; 10-1...10-2 Па, а приме- няющиеся для катодного распыления напряжения: E7J> = 1...6; Up—0,3... 1; U„= =0,3.5 кВ. При распылении на переменном токе (рис. 7.17, а) газовый разряд локали- зован в пространстве между стержнями, попеременно распыляемыми только в течение одного полупериода, когда на ннх подается отрицательное напряже- ние н они служат катодом разряда. Магнитные поля (рнс. 7 17, д, ж — и) спо- собствуют повышению эффективности ионизации газа, т. е. уменьшению рабочего давления и напряжения разряда, локализуют плазму вблизи распыляемого объ- екта (катода или мишени), а также ослабляют бомбардировку подложки быст- рыми электронами, которая приводит обычно к резкому самопроизвольному ее разогреву. В устройствах с тлеющим разрядом подложка располагается обычно на аноде (рис. 7.17,а), частицы диффундируют к подложке через газовый раз- ряд, претерпевая многократные столкновения с атомами рабочего газа и рас- сеиваясь обратно на катод. В тлеющем и магнетронном разрядах ионизатор и источник пара питаются от одного и того же блока Up, в устройствах с накап- ливаемым катодом образование плазмы и процесс распыления выполняются с помощью самостоятельных узлов н источников питания (Up, Ut.). Еще большее разделение функций узлов ионизатора и источника пара осу- ществляется в установке ионно лучевого распыления (рис. 7.17, и). Здесь область разряда отделена от узла мишени по вакуумным условиям. Напуск рабочего газа производится в камеру ионного источника, а его откачка осуществляется через анодную диафрагму малого сечения, так что перепад давлений между областями составляет около полутора порядков. С границы проникающей из анода А плазмы ускоряющим электродом вытягивается пучок ионов, транспор- тируемый на мншень. Распыление на постоянном токе применяется только в случае получения пле- нок из хорошо электропроводящих материалов Для распыления диэлектриков используется высокочастотное напряжение Up, Uu. В отрицательный полупериод мишень распыляется, а в положительный приходящие на ее поверхность влект- 283
*> и) Рис. 7.17. Осаждение пленок методами катодного распыления: А — аиод; Л — катод; Ль Ла—термокатод; М — мишень; ЭМ — электромагнит; U — напря- жение (1/р — разряда, [/„ — мишени, Uc „ — смещения подложки); Е — силовая линия электрического поля; И — силовая линия магнитного поля: 1—область разряда; 2 — подложка; 3— изолятор; 4— ускоряющий ионы электрод; 5 — ионный пучок роны нейтрализуют созданный нонамн положительный поверхностный заряд. Поскольку подвижность электронов выше, чем ионов, то интегральный заряд ми- шени остается отрицательным, ускоряющим ионы. Для всех типов распылительных устройств общим требованием является необходимость удержания плазмы и выходящих нз нее быстрых частиц в ограни- ченном пространстве вакуумной камеры с помощью магнитных полей или экра- нов (рис 7.17, в). Этим предотвращается повышение газоотделения со стенок вакуумной камеры и внутрикамерной арматуры. Бомбардировку положительны- ми ионами инертного газа поверхности раздела фаз плазма -* твердое тело мож- но использовать для избирательного катодного распыления примесей остаточных газов. Для этого в устройствах плазменного распыления на подложку подается отрицательное смещение, а сам метод распыления «со смещением» классифици- руется как «ионное осаждение». Если распыляемый материал обладает хорошей способностью к геттериро- ванию (Ti, Та, W, Nb, V, Сг, Мо), то охлаждаемые водой или жидким азотом 284
внутренние стенки экранов служат своеобразным геттерным или крногеттерным вакуумным насосом, откачивающим реактивные газы пленкой. Для предвари- тельной очистки инертного газа от реактивных примесей его напускают во вспо- могательную разрядную камеру, откуда он и поступает после очистки в основную камеру с размещенной внутри нее подложкой. Методы конденсации пленок с применением эффекта локального геттерирования реактивных газов на охлаждае- мых экранах называются геттерным распылением или испарением. Распыление в плазме газового разряда очень часто производится не только в инертной, но и в реактивной среде (реактивное распыление). Для этого в зо- ну распыления напускают чистые реактивные газы (О2, N2, СН4 и др.) илн их смесь с инертным газом. В результате протекающих на поверхности подложки и распыляемого материала физико- и плазмохимическнх реакций образуются пленки окислов, нитридов, карбидов. Для реактивного распыления обычно ис- пользуются устройства, не содержащие термоэмнссионного катода. Между ионно-лучевым распылением и распылением в плазме газового раз- ряда существует еще одно принципиальное различие: в первом случае в прост- ранстве источник ионов — мишень — подложка не существует электрических по- лей, а во втором между зонами плазмы, мишени и подложки они имеются. При рыспыленин металлов в реактивной или в инертной среде, но с большим уров- нем химически активных газов, а также при распылении диэлектриков поток па- ра состоит не только нз нейтральных частиц, но также нз положительных и от- рицательных ионов Положительно заряженные ионы под действием потенциала 17м возвращаются обратно на мишень, тогда как отрицательные ионы аналогично вторичным электронам ускоряются в направлении от поверхности мишенн. Уско- ренные отрицательные ноны (чаще всего кислород и осколки углеводородов) вы- зывают вторичное распыление материалов пленки или других элементов напыли- тельного устройства, загрязняя конденсат Приборные факторы играют важную роль в технологическом процессе ва- куумного осаждения тонких пленок. Изменение геометрии разрядного промежут- ка влияет на пространственное распределение частиц газа и пара. При распы- лении в тлеющем разряде (см. рнс. 7 17, а—в) по мере увеличения площади катода диодной системы все меньшее количество примесей реактивного газа будет достигать подложки, расположенной в центре катода. Молекулы реактивного газа проникают из вакуумной среды в пространство катод — анод, претерпевают многократные столкновения с атомами инертного газа (р= 1...10 Па) н активно поглощаются на электродах. Независимо от того, присутствовали примеси в исходном инертном газе нли они появились в резуль- тате обезгаживания деталей внутрнвакуумной арматуры, будет происходить очистка газа при движении от периферии плоскопараллельной разрядной си- стемы к ее центру. В то же время этот метод не приводит к улучшению чистоты пленок для тех случаев, когда основным источником газоотделения является подложка, анод илн катод. Распыляемый образец может быть плоским (см. рис. 7.17, с — в, д, е, з, и) или цилиндрическим (рис 7.17,г, ж), и от этого зависят конфигурация элект- родов всей разрядной системы в узла крепления подложек, характеристики раз- ряда, распределения нейтральных н заряженных частиц в пространстве. Ионное осаждение. Так называются вакуумные процессы получения пленок, у которых фазовый переход пар—твердое тело сопровождается бомбардиров- кой высокоэнергетичными частицами (£= 102...104 эВ) газа, осаждаемого мате- риала или легирующей примеси. Содержание ионов в потоке пара на подложку может составлять от долей процента до 100%. Плазменными методами ионного осаждения называются (рис. 7 18) методы, у которых для образования ионного потока на подложку используется га-аовый разряд прн рабочих давлениях Ю-1...!©1 Па независимо от способа образования частиц пара. На подложку может подаваться отрицательное напряжение раз- ряда (7Р илн смещения (/см, как это показано на рнс. 7.18, а — в. При ионно-лучевых методах осаждения процесс конденсации осуществляется в высоком вакууме (меньше 10~2 Па), а для образования ионов используется ионно-лучевой источник (рнс. 7.18, г, з). Ускорение ионного потока производятся как в пространстве ионизатор — подложка с помощью Потенциала UcK (рис. 7 18, в — д, з), так н в самом ионном источнике (рис. 7 18, ж). 285
Рис. 7.18. Схематическое изображение устройств ионного осаждения пленок: С/р —разряд в парах или газе; 1/ип — ионизатор пара; Г'см — напряжение смещения; К — катод электронно-лучевого источника; А— анод ЭЛИ; Т — тигель с испаряемым ма- териалом; ЭМ — электромагнит; П — подложка; Кл — кластер; е — электронный луч В области низких давлений рабочего газа (р^Ю-2 Па) частицы транспор- тируются из источника пара или ионизатора на подложку без столкновений, а прн р—1...102 Па—после многочисленных столкновений и перезарядок. Ионное осаждение при повышенных давлениях применяется в случае нане- сения пленок на детали со сложным геометрическим рельефом поверхности, со- держащим выступы, каналы, отверстия; при получении покрытий из окислов, нит- ридов, карбидов с высокой их адгезией к подложке и т. д. Для образования пара в большинстве методов ионного осаждения использу- ются способы термического испарения, как обеспечивающие наиболее высокие скорости испарения вещества. Устройство термононного осаждения в газоразрядной плазме включает в себя два основных узла: термический испаритель материала напыляемой пленки и разрядную систему диодного типа с отрицательным напряжением на подлож ке. Нагрев испаряемого материала производится резистивным способом (рис. 7.18, а), электронно-лучевым с применением газоразрядной пушки с полым катодом (рис. 7.18,6) или испарителя с отклонением первичного пучка на 270° 286
в секторном магнитном поле (рис. 7.18, в), а также для этих целей может при- меняться высокочастотный индуктор. В устройстве, изображенном на рис. 7.18, в, используется разделительная диафрагма с отверстием для ввода первичного электронного пучка высоковакуум- ной зоны, в которой находится электронный прожектор в зону газового разряда с давлением рабочих газов около 1 Па Перепад давлений между этими двумя зонами обеспечивается непосредственным подключением вакуумных иасосов к первой области, в то время как напуск рабочего газа производится во вторую, а их откачка осуществляется через отверстие в диафрагме с малой проводимо- стью. Нагреватели другого типа (рве. 7.18,6, в, е) могут работать и в области давлений 1...10 Па, поэтому для таких устройств не приходится прибегать к разделению зон по вакуумным условиям. При термоионном осаждении в газоразрядной плазме процесс конденсации пленки производится следующим образом. После откачки вакуумной камеры на высокий вакуум, прогрева подложки и обезгаживания испарителей в камеру напускается рабочий газ до давления, обеспечивающего зажигание газового раз- ряда в области подложка — испаряемый материал (10-1 101 Па). На подложку подается отрицательное относительно испаряемого материала напряжение раз- ряда Пр=2...5 кВ. В результате бомбардировки поверхности подложки ионами и полученными перезарядкой быстрыми нейтральными атомами инертного газа происходит ее очистка от загрязнений, а также ионное травление поверхности. После удаления катодным распылением поверхностных загрязнений подложки без выключения напряжения разряда начинается прогрев и испарение напыляе- мого материала. Вакуумная газоразрядная очистка поверхности подложки и конденсация пленки проводятся без перерыва во времени, в течение которого очищенная по- верхность может повторно загрязняться летучими продуктами остаточной вакуум- ной среды, что и наблюдается в случае нанесения пленок методами осаждения из молекулярных пучков. Увеличению сцепления между пленкой и подложкой способствует и то обстоятельство, что катодно распыленные с ее поверхности атомы диффузно рассеиваются на атомы рабочего газа и возвращаются обрат- но на подложку, смешиваясь с поступающими частицами пара. Таким образом, прилегающие к подложке начальные слон пленки состоят из смеси атомов под- ложки и осаждаемого материала. Этому способствует также повышенная взаим- ная диффузия этих материалов на границе раздела подложка — пленка, обуслов- ленная возникновением радиационных дефектов и повышением ее поверхностной температуры под действием ионно-атомной бомбардировки. Термоионное осаждение в газоразрядной плазме находит широкое примене- ние при изготовлении антикоррозионных, теплозащитных, упрочняющих, изно- состойких, декоративных покрытий, металлизации пластмасс и т. д. В качестве материалов покрытий осаждаются металлические слои, а также окислы, карбиды, нитриды, образующиеся конденсацией пленок в среде реактивного газа. Внедряю- щиеся в решетку материала пленки быстрые ионы и атомы инертного и реактив- ных газов остаточной вакуумной среды составляют обычно несколько процентов Получение пленок с низким уровнем примеси газов в условиях предвари- тельной откачки камеры до «промышленного» вакуума (10-6...10~4 Па) це- лесообразно осуществлять высоковакуумными методами ионного осаждения, т е. энергетическую активацию поверхности пар — твердое тело производить ие частицами газа, а частицами самого испаряемого вещества (рис. 7.18,в, г). Эти методы называются ионно-лучевыми. Простейшей ионной пушкой является электронно-лучевой испаритель с от- рицательным смещением на подложке. Однако такое устройство при мощности испарителя 5...8 кВт обеспечивает слабую ионизацию пара, так что отношение потока ионов к потоку атомов пара на подложке не превышает нескольких про- центов Для повышения энергетической активации используют дополнительную ионизацию пара: аксиальные магнитные поля (рис. 7.19,г), дуговой разряд Пен- нинга в парах металла с првмененйем скрещенных электрических и магнитных полей (рис. 7 19,6), высокочастотный разряд в потоке пара (рис. 7.18, в). В та- ких устройствах отношение потоков ионов и атомов достигает 50%, а сами они 287
являются простейшими ускорителями без разделения потока частиц по массам и зарядам. Установки представляют собой ускорители ионов с масс-сепарацией потока и аналогичны установкам ионного легирования. Для уменьшения коэффициента катодного распыления материала конденсирующейся пленки энергия ионов после масс-сепарирования должна быть уменьшена до нескольких десятков электрон- вольт. В случае остросфокусированных ионных пучков замедление потока ионов приводит к разбуханию илн уширению пучка под действием положительного объемного заряда. В устройстве, показанном на рис. 7.18, ж, один и тот же ионный пучок, сфор- мированный и ускоренный до 5... 10 кэВ в автономном источнике, применяется не только для активации процесса конденсации (пар — твердое тело), но и для ионного легирования материала пленки. Ионы легирующего вещества со столь низкими энергиями проникают в поверхностные слон границы раздела фаз пар — твердое тело лишь на глубину нескольких атомных слоев, что позволяет про- изводить прецизионное управление распределением концентрации примеси по толщине пленки. Осаждение из пучка ионизированных кластеров (рис. 7.18, з) объединяет достоинства плазменного и электронно-лучевого методов Испаряемый материал нагревается внутри герметического тигля, имеющего в верхней крышке не- большое отверстие (несколько миллиметров в диаметре). Давление перегретого пара внутри тигля составляет 1. 10 Па, так что из сопла в вакуум выходит струя пара. В результате адиабатического расширения перенасыщенного пара атомы группируются в кластеры, состоящие из 1О\.1О3 атомов. Кластеры иони- зируются электронным пучком, формируемым накаливаемыми катодом К и ано- дом А. Ионизированные кластеры ускоряются потенциалом смещения под- ложки. При столкновении с подложкой кластеры распадаются на отдельные атомы, мигрирующие по ее поверхности вплоть до их закрепления на центрах адсорб- ции. Напряжение смещения составляет обычно 3...10 кВ, так что приходящаяся на один атом энергия распадающегося кластера (102 атомов) будет равна 30... 100 эВ. Характерной особенностью метода ионизированных кластеров является малое отношение заряда к массе. Это значит, что при осаждении пленки на под- ложку с низкой электропроводностью (диэлектрики или полупроводники) не воз- никает проблемы накопления поверхностного заряда. Подложка легко экраниру- ется от теплоизлучения испарителя, и это снижает ее саморазогрев. Методы осаждения из пучка ионизированных кластеров применяют при металлизации низ- котемпературных материалов (полинмнд, лавсан, капрон, полупроводниковые со- единения и т. д.). Применяю! также метод транспортировки материала в плазменном потоке (рис. 7.18, и), где самопроизвольный разогрев пластин и их радиационное по- вреждение снижены до минимума за счет увеличения расстояния источник — пластина до 1 м и использования тепловой скорости транспортировки низко- температурного плазменного потока Рабочее вещество транспортируется от СВЧ-источника плазмы по высоковакуумному «магнитному коридору» к под- ложке с тепловой скоростью В области «коридора» отсутствуют высокоэнерге- тичные частицы и ускоряющие электрические поля. Прн приближении плаз- менного потока к подложке с помощью приложенного к ней напряжения сме- щения производится выделение ионной составляющей рабочего вещества. Здесь можно осуществлять: предварительную очистку поверхности подложки, низко- температурное осаждение полнкремния и нитрида кремния. Рабочим веществом, с помощью которого выполняются эти операции, является транспортируемый по- ток плазмы, генерируемой в различных газах (Аг, SiH4, SiH4+N2, CF4, О2). Вакуумно-дуговой метод осаждения. Использование дугового разряда в па- рах рабочего вещества позволяет проводить процесс ионного осаждения в до- статочно высоком вакууме, вследствие чего повышается чистота получаемых пленок. Вакуумный дуговой разряд возбуждают в парах эрозии материала ка- тода. Последний может быть либо холодный (охлаждаемый), либо горячий (не- охлаждаемый). В первом случае дуга горнт в виде отдельных катодных пятен, перемещающихся по поверхности катода со скоростью 104 см/с, что позволяет катоду оставаться интегрально-холодным. Во втором случае дуга горит на всей 288
рабочей поверхности катода, при этом температура может приближаться к тем- пературе плавления катода. Вольт-амперная характеристика (ВАХ) разряда в общем случае состоит из двух участков: диффузионного и дугового разрядов (рис. 7.19). На первом участке ВАХ круто возрастает, примерно до напряжения 100 В. Ток имеет значительную высокочастотную составляющую: частота пуль саций — порядка 5 кГц, а амплитуда достигает 50% среднего значения тока Колебание плазмы связано с газодниамнкой плазмы у поверхности электрода. Так как напряженность поля у поверхности н температура электрода иа этой стадии разряда невелики, то наибольший вклад В общий ток будет вносить ион ный ток из плазмы. Напряжение в первом участке ВАХ обусловлено внешней характеристикой источника питания. Проводить напыление тонких пленок в это время нежелательно, так как свойства пленок будут нестабильны и плохо уп- равляемы. Переход диффузного разряда в дуговую стадию может происходить как скачком, так и плавно. Для плазменных аппаратов наиболее характерен скачко- образный переход при напряжении и токе пробоя Un, /п. После перехода к не- самостоятельному дуговому разряду ток продолжает пульсировать за счет дви- жения катодного пятна (для «холодного» катода). Поскольку ток в цепи электрода дугового разряда обеспечивается в основ- ном термоавтоэлектронной эмиссией, то вид ВАХ определяется материалом ка- тода. Прн этом пороговые параметры Ua, Jn существенно зависят от электрофи- зических (работы выхода и потенциала ионнзацни) и тепловых (температуры плавления и кипения, теплопроводности) характеристик металла. Чем больше работа выхода, потенциал ионизации и температуры плавления, тем выше зна- чение порогового тока, при котором осуществляется переход от диффузного раз ряда к дуговому и его устойчивое горение. Для генерации материала катода используются электродуговые испарители металлов, плотность тока в катодном пятне которых 10“..107 А/см2. Катодное пятно включает в себя эмиттирующий участок катода, область катодного паде- ния потенциала, в которой сосредоточен положительный пространственный за- ряд, и наиболее яркую светящуюся часть — область ионизации. При работе электродугового испарителя металла в коаксиальной конструкции ускорителя катодные пятна стремятся уйти на боковую поверхность катода. Это исключает возможность осаждения пленок на подложки, расположенные над торцевой поверхностью катода. Для удержания катодных пятен на торцевой поверхности катода используют два вида конструкций: 1. Боковая поверхность катода, не подлежащая испарению, прикрыта экра- ном, изолированным от электродов испарителя. Катодное пятно, попадая на бо- ковую поверхность катода (под экран), прекращает свое существование, так как прерывается поток плазмы, образованной при ионизации пара металла и служа щей проводником тока между катодным пятном и анодом. Каждый материал имеет пороговое значение тока /пор, прн котором на катоде существует одно ка- тодное пятно. При /1>/пор число пятен увеличивается пропорционально току Рис. 7.19 Вольт-амперная характе- ристика вакуумно-дугового разряда: Го. /о — соответственно пороговое напря- женье и ток перехода диффузионного разряда в дуговой Рис 7.20. Схема плазменного уско- рителя, работающего в стационар- ном режиме: / — катод; 2 — экран; 3 — анод; 4 — соле- ноид; 5 — устройство поджига; 6 — под- ложка 19 Заказ № 1270 289
В этом случае при попадании катодного пятна под экран дуга поддерживается другими пятнами на катоде. Вероятность погасания дуги тем меньше, чем боль- ше катодных пятен находится на торцевой поверхности катода, т. е. чем больше ток дуги 7]. 2. Удержание катодного пятна на поверхности испарения катода осуществ- ляется с помощью магнитного поля. При стремлении катодного пятна уйти на боковую поверхность катода радиальная составляющая силы, возникающей прн взаимодействии тока с направленным под углом к нему магнитным полем, удер- живает катодные пятна на поверхности испарения. Прн этом пороговый ток снижается. Коаксиальная геометрия электродов (рис. 7.20) позволяет осуществить на- правленное движение плазменного потока вследствие сил, воздействующих на плазму в скрещенных электрических и магнитных полях, устранить изотропный разлет плазмы. Вследствие этого можно значительно повысить коэффициент ис- пользования материалов. Высота коаксиального катода ограничивается перепадом на нем температу- ры и должна выбираться в соответствии с теплопроводностью материала, из ко- торого он изготовлен. Для материала с высокой теплопроводностью высота ка- тода ограничивается сверху (0,5dK, где dK — диаметр катода), так как по мере расходования катода в силу уменьшения телесного угла обзора его рабочей по- верхности уменьшается КПД использования генерируемой катодом плазмы. Большое влияние на работу ускорителя оказывают также геометрия и тепло- вой режим анода. Форма вакуумного разряда на аноде зависит от соотношения тока разряда и электронного тока из прнанодной плазмы. Режим диффузного го- рения разряда на аноде с отрицательным анодным падением реализуется прн условии 7р=(1/4)ПеОе5а, где пе — концентрация электронов у анода; ve — тепловая скорость электронов; Sa — площадь анода. Это условие обеспечивает безэрозионные условия работы анода в ускори- теле. Для зажигания дугового разряда в вакууме кратковременно создают в раз- рядном промежутке проводящую среду. Единственным существенным процессом, создающим ситуацию вакуумного пробоя вплоть до самого развития последнего, является автоэлектронная эмиссия катода, т. е. на катоде должны возникнуть условия, обеспечивающие интенсивную эмиссию основных носителей тока — электронов с высоким КПД. Такне условия могут возникнуть лишь при на- личии у поверхности катода плазменного облака, обеспечивающего создание в прикатодной области достаточно высокой локальной напряженности электриче- ского поля, при которой возможна автоэлектронная эмиссия. Плазменное облако можно создавать; испарением за счет омического на- грева зоны контакта, лазерным разогревом, высоковольтным пробоем (несколько тысяч вольт) между электродами по поверхности изолятора; за счет ионизации водорода, выделенного из гидрида титана, из которого изготавливается поджи- гающий электрод; за счет взрыва проволочек при пропускании через ннх тока большой силы, а также «бесконтактным» способом за счет подачи плазменной струи в зону с повышенной напряженностью н последующего перевода катод- ного пятна на рабочую поверхность. Наиболее часто используется электромеханическая система нницинровання разряда, обладающая надежностью и простотой. Она не вносит загрязнений в генерируемую плазму. В конструкцию плазменных ускорителей входит также коаксиально расположенный соленоид. От места расположения его относительно электродов зависят основные параметры работы плазменного ускорителя. Элект- ромагнитное поле, создаваемое соленоидом, выполняет несколько функций. По- скольку соленоид укороченный (высота 30...40 мм), то основную роль играет поле не внутри соленоида, а на его торцах. Силовые линии магнитного поля ориентированы не по нормалям к катоду и электрическому полю, а образуют с ними некоторый угол. В местах, где магнитное поле направлено вдоль оси плазменного столбца, а электрическое — по его радиусу, вектор скорости движе- ния плазмы будет направлен по касательным к окружности. Вращение плазмы 290
способствует ее устойчивому удержанию магнитным полем. Кроме того, ка- пельная фаза из катодного пятна, двигаясь в плазме, заряжается отрицатель- но под действием внешнего электрического поля анода н центробежных сил вращающейся плазмы капли прижимаются к периферии плазменного потока, располагая подложки по центру плазменного потока, можно исключить попада- ние макрочастиц в наносимую пленку и сохранить ее однородность по разме- рам кристаллов. И наконец, последний параметр, с помощью которого можно управлять энергией заряженных частиц,— это вытягивающий потенциал на подложку По- скольку в присутствии магнитного поля степень ионизации значительно повыша- ется, внешнее электрическое поле позволяет вытягивать из плазмы иа отрица- тельно заряженную подложку значительные положительные ионные токи. Модификацию свойств пленок приводят дополнительным облучением рас- тущей пленки из автономного источника ионов. Такое облучение вызывает рост дефектов, распыление загрязнений и сорбированных газов, активацию поверх- ности и другие эффекты, поэтому можно управлять свойствами растущих пле- нок, в частности структурой, адгезией, электропроводностью, механическими мнк- ронапряжениями и химическим составом. Если дополнительное облучение про- водить ионами инертных газов, то происходит модификация структуры без из- менения химического состава. Бомбардировка пленки ионами химически актив- ных газов позволяет получать новые химические соединения н выращивать пленки сложного состава, в частности керметы, твердые растворы и т. д. На- пример, облучение растущей алюминиевой пленки потоком ионов кислорода до концентрации нх атомов в пленке 10|8...1019 см-3 стабилизирует структуру, при концентрациях 1019...6-1022 см-3 образуются слои из диэлектрических включе- ний, что сопровождается ростом сопротивления пленки. Если концентрация ато- мов кислорода превысит 6-1022 см , то формируются диэлектрические покры- тия с удельным сопротивлением 107...109 Ом-см. Принцип действия и параметры магнетронных распылительных систем. Маг- нетронные системы относятся к системам распыления диодного типа, в которых распыление материала происходит за счет бомбардировки поверхности мишени ионами рабочего газа (обычно аргона), образующимися в плазме аномального тлеющего разряда. Высокая скорость распыления достигается увеличением плот- ности ионного тока за счет локализации плазмы у распыляемой поверхности мишени с помощью сильного поперечного магнитного поля. Принцип действия магнетронной распылительной системы (МРС) ясен из рис. 7.2]. Основными элементами устройства являются катод-мншень, анод и магнитная система. Силовые лнннн мап сами магнитной системы. Поверхность и входа и выхода силовых линий маг- нитного поля, интенсивно распыляется и имеет вид замкнутой дорожки, гео- метрия которой определяется формой полюсов магнитной системы. При подаче постоянного напряжения между мишенью (отрицательный потен- циал) н анодом (положительный или нулевой потенциал) возникает неодно- родное электрическое поле н возбуж- дается аномальный тлеющий разряд. На- личие замкнутого магнитного поля у распыляемой поверхности мишенн позво- ляет локализовать плазму разряда не- посредственно у мишени. Эмиттироваи- ные с катода под действием ионной бом- бардировки электроны захватываются магнитным полем, им сообщается слож- ное циклоидальное движение по замкну- тым траекториям у поверхности мишени. Электроны оказываются как бы в ло- вушке, создаваемой, с одной стороны, поля замыкаются между полю- расположенная между местами Рис. 7.21. Схема магнетронной рас- пылительной системы с плоской ми- шенью: 1 — катод-мишень; 2 — магнитная систе- ма; 3— источник питания; 4—анод; 5 — траектория движения электрона; 6 — зона распыления; 7 — силовая линия магнитно- го поля 19* 291
магнитным полем, а с другой — поверхностью мишени, отталкивающей электроны. Электроны циклируют в этой ловушке до тех пор, пока не произойдет несколько ионизирующих столкновений с атомами рабочего газа, в результате которых электрон потеряет полученную от электрического поля энергию. Таким образом, большая часть энергии электрона, прежде чем он попадет на анод, используется на ионизацию и возбуждение, что значительно увеличивает эффективность про- цесса ионизации и приводит к возрастанию концентрации положительных ионов у поверхности мишенн. Это, в свою очередь, обусловливает увеличение интен- сивности ионной бомбардировки мишени и значительный рост скорости распыле- ния, а следовательно, н скорости осаждения пленки. Средние скорости осаждения различных материалов при помощи магнетрон- ной распылительной системы, имеющей плоскую дисковую мишень диаметром 150 мм, источник 4 кВт н подложки, расположенные на расстоянии 60 мм от него, приведены ниже: Материал . . Si Ti Та W Nb Mo Al Cr Pt Cu Au Ag Скорость осаж- дения, нм/с .7 8 8 8 8,5 12 13 17 21 30 37 44 Плазма разряда существует только в области магнитной ловушкн в непо- средственной близости от мишени, и ее форма определяется геометрией и ве- личиной магнитного поля. Источниками нагрева подложки в этих системах служат энергия конденса- ции распыленных атомов, кинетическая энергия осаждаемых атомов, энергия отраженных от мишени нейтрализованных ионов, а также излучение плазмы. Энергия конденсации составляет 3 .9 эВ/атом, кинетическая энергия в зависи- мости от распыляемого материала —от 5 (для алюминия) до 20 эВ/атом (для вольфрама), а излучение плазмы 2...10 эВ/атом. Суммарная тепловая энергия, рассеиваемая на подложке, и температуры подложки для различных материа- лов, испаряемых в цилиндрической МРС, приведены ниже: Материал................... Тепловая энергия, эВ/атом Температура подложки, К А1 Си Та 13 17 20 352 383 370 Сг Au Mo W 20 23 47 73 391 379 436 475 Магнетронные распылительные системы применяют для нанесения пленок на подложки из материала с малой термостойкостью (пластики, полимеры, орг- стекло я т. д.). Основные рабочие характеристики МРС: напряжение на электродах, ток разряда, плотность тока на мншени н удельная мощность, индукция магнит- ного поля и рабочее давление. Напряжение питания не превышает 1000 В по- стоянного тока. Рабочее напряжение составляет 300...700 В, на мишень обычно подается отрицательный потенциал, а анод имеет нулевой потенциал. Однако в МРС с плоским катодом для более полного улавливания вторичных электронов рекомендуется на анод подавать небольшое положительное смещение (40...50 В). В некоторых системах предусматривается подача отрицательного напряжения смещения на подложку (до 100 В) для реализации распыления со смещением Ток разряда зависит от многих факторов, например от рабочего напряжения, давления н рабочего газа, индукции магнитного поля, конфигурации магнетрон- ной системы, распыляемого материала, н определяется мощностью источника питания. Плотность тока на мншени очень велика и для систем с полым ци- линдрическим катодом составляет в среднем 80 мА/см2, с коническим катодом — 160 мА/см2, а с плоским катодом — 200 мА/см2, причем максимальные плотно- сти тока в центральной части зоны распыления могут быть значительно выше. Значения удельной мощности в МРС с полым цилиндрическим катодом достига- ют 40 Вт/см2, а с плоским катодом—100 Вт/см2. Предельно допустимая мощ- ность определяется условиями охлаждения машины н теплопроводностью рас- пыляемого материала. Магнетронная распылительная система может работать в диапазоне давле- ний 10~2 ..1 Па и выше Важнейшими параметрами МРС, во многом определяю- щими характер разряда в ней, являются геометрия и магнитное поле, индукция которого у поверхности мишенн 0,03...0,1 Тл. 292
Рис. 7.23. Вольт-амперные характе- ристики магнетронной системы рас- пыления с алюминиевой мишенью диаметром 160 мм при постоянном давлении аргона 0,3 Па и различ- ной индукции магнитного поля рис. 7.22. Вольт-амперные характе- ристики планарной магнетронной си- стемы распыления с алюминиевой мишенью размером 40X60 см при постоянном магнитном поле 0,03 Тл и различном давлении аргона Одной из основных характеристик разряда служит вольт-амперная харак- теристика (ВАХ). Существенное влияние на нее оказывают рабочее давление р и индукция магнитного поля В. С уменьшением р ВАХ сдвигаются в область больших рабочих напряжений и приближаются к линейной зависимости (рис. 7.22). Аналогично влияет и индукция магнитного поля (рис. 7.23), но за- висимости, близкие к линейной, наблюдаются при больших значениях В. На ВАХ разряда влияют также материал мишени (рис. 7.24) и ее форма, которая видоизменяется по мере распыления материала. Образование выемки в плоской мишени приводит к сдвигу ВАХ в область меньших рабочих напряжений из-за улучшения условий локализации плазмы, причем этот сдвиг растет с увеличе- нием р (рис. 7.25). В этом случае определяющим является не только геометри- ческий фактор, но и переход зоны разряда в область более сильного магнит- ного поля по мере распыления мишени. Рис. 7.24. Вольт-амперные характе- ристики магнетронной системы рас- пыления с плоской мишенью из алюминия (7) н меди (2) при по- стоянном давлении 0,5 Па и индук- ции магнитного поля 0,08 Тл Рнс. 7.25. Вольт-амперные характе- ристики магнетронной системы с ко- нической новой (сплошные линии) и эродированной (штриховые ли- нии) мишенями при индукции маг- нитного поля 0,06 Тл и различном давлении аргона 293
Рис. 7.26, Зависимость скорости осаждения v различных материалов от мощности разряда Wp (подложка перемещается со скоростью 8см/мнн на расстоянии 6 см от мишени раз- мером 13X38 см) Wp.OTit.e9. р^о.йПа О 0.02 0,01» 0,00 0,08 0.W 8,Тл Рнс. 7.27. Зависимость мощности разряда 117р от рабочего давления аргона р при различной индукции магнитного поля (мощность источ- ника питания постоянна) Рис. 7.29. Зависимости напряжения зажигания U3 от давления аргона р прн постоянной индукции магнитно- го поля 0,06 Тл (/) и от индукции магнитного поля В при постоянном давлении 0,25 Па (2) Рнс. 7.28. Зависимость мощности разряда от индукции магнитного поля В при различном давлении аргона Важнейшим параметром разряда, определяющим скорость распыления, явля- ется электрическая мощность, причем скорость осаждения пленки почти линейно зависит от приложенной мощности (рис. 7.26). В свою очередь, мощность раз- ряда при постоянной мощности источника зависит от р н В. В достаточно сла- бых магнитных полях существует такое значение р, при котором на разряде вы- деляется максимальная мощность (рнс. 7.27). С ростом В (до 0,04 Тл) при низ- ких значениях р мощность разряда сначала резко возрастает, затем замедляется и при В=0,08...0,1 Тл становится максимальной. При достаточно высоком р максимальная мощность достигается уже прн В=0,04...0,06 Тл (рис. 7.28). За- висимость напряжения зажигания от давления аргона и индукций магнитного поля аналогичны (рис. 7.29). Энергетическая эффективность процесса распыления, определяемая зависи- мостью коэффициента распыления от энергии нонов, имеет максимальное зна- 294
чение в диапазоне 300...500 эВ, который характерен для МРС. Поскольку в мрС высокая эффективность процесса плазмообразования сочетается с высо- кой эффективностью процесса распыления, то МРС характеризуется максималь- ной энергетической эффективностью по сравнению со всеми другими видами рас- пылительных систем (в 5. .6 раз превышает эффективность диодных систем без магнитного поля). 7.4. Методы определения толщины пленок Для контроля толщины и скорости напыления пленок разработаны различные методы: оптический, резистивный, емкостный, ионизационный, частотный. Оптические методы. Этн методы основаны на явлениях поляризации, интер- ференции, отражения и пропускания света. Метод отражения (пропускания света пленкой, осаж- денной на прозрачной подложке). Поскольку в процессе напыле- ния пленки ее толщина растет, интенсивность света, проходящего через пленку, уменьшается, а отраженного увеличивается. Изменение интенсивности отражен- ного света фиксируется фотоэлементом, на выходе которого стоит чувствитель- ный прибор. Точность измерения толщины пленки оптическим методом не превы- шает 10.15%. Метод применяется только для измерения толщины тонких полу- прозрачных пленок. Пленки толщиной порядка 60 нм и выше почти полностью поглощают свет. Бесконтактный фотометрический метод контроля Осно- ван на зондировании растущей пленки лучом лазера н анализе интерференцион- ной картины света, отраженного от системы подложка — пленка, применяется для процессов осаждения, травления, оксидирования и т. п. При прохождении линейно поляризованного света в системе поглощающая подложка — прозрачная пленка происходит его отражение на границах систем рабочая среда — пленка и пленка — подложка. Вследствие интерференции коэффициент отражения света R зависит от толщины пленки и с ее ростом изменяется. Схема для проведения фотометрического контроля представлена на рис. 7.30. Луч света лазера /, попадая на полупрозрачное зеркало 2, делится на два луча: опорный /0 н сигнальный /с. Сигнальный луч, отражаясь от зеркал 3 и 6, по- падает на подложку 9, на которую осаждается пленка. После отражения от зер- кала 7 луч проходит через диафрагму 10 и матовое стекло 11 на фотоприем- иик 12, который регистрирует изменение коэффициента отражения света от рас- тущей пленки. Для устранения влияния помех, обусловленных нестабильностью мощности излучения лазера, флуктуациями излучения плазмы, изменением коэффициента пропускания иллюминаторов (из-за возмож- ного осаждения на них испаряемого мате- риала), влиянием внешней засветки через боковые иллюминаторы и другими причи- нами, используется опорный луч. Опорный луч проходит через рабочее пространство и с помощью зеркал 5 и 8 через диафрагму 15 и матовое стекло 14 направляется на фотоприемник 13. Поляроид 4 в тракте опорного луча предназначен для настрой- ки одинаковой освещенности фотопрнемни- ков 12 н 13 перед началом осаждения. Метод эллипсометрии Осно- ван на оценке изменения поляризации све- та, отраженного от подложки с тонкой про- зрачной пленкой на поверхности. При ос- Рис. 7.30. Оптическая схема прибора кон- троля толщины пленки фотометрическим методом 295
Рис. 7.31. Схема эллип- сометра: 1 — источник света; 2, 4 — четвертьволновая пластина (компенсатор); 3 — поляри- затор; 5 — подложка; в анализатор; 7 — фотоприец- ник всщенни подложки линейно поляризованным светом составляющие излуче- ния (параллельная и перпендикулярная плоскости падения) отражаются по- разному, в результате чего после отражения излучение оказывается эллипти- чески поляризованным. Измеряя эллиптичность отраженной волны, определяют свойства пленки, вызвавшей изменение поляризации. Схема эллипсометра приведена на рис. 7.31. Эллипсометр представляет со- бой установку, позволяющую поляризовать монохроматический свет под опре- деленным углом относительно плоскости падения и направлять его под опреде- ленным углом к поверхности подложки с исследуемой пленкой, а также измерять эллиптичность поляризованного света и ориентацию его главных осей относитель- но плоскости падения. Он содержит источник света с фильтром, обеспечиваю- щим монохроматичность. Поляризатор и аналязатор можно вращать с точным фиксированием углов вращения. Быстрая ось четвертьволновой фазовой пласти- ны (компенсатора) установлена под углом 45° к плоскости падения света. Детектором отраженного излучения может служить фотодиод. Вращением поля- ризатора добиваются такой эллиптичности света после прохождения компенса- тора, чтобы свет, отраженный от исследуемой пленки, стал линейно поляризо- ванным. Это значит, что суммарный сдвиг фазы в результате действия компен- сатора и отражения от пленки и подложки должен быть равен 0 или 180°. Ори- ентация линейно поляризованной волны измеряется с помощью анализатора. По- ложения поляризатора и анализатора, соответствующие минимальному сигналу детектора, фиксируются, и по ним рассчитываются параметры, определяющие толщину пленки. Резистивный метод. В основу метода положен принцип контроля сопротив- ления по «свидетелю». «Свидетель» представляет собой прямоугольную конст- рукцию подложки с размещенными на ней контактными площадками (рис. 7.31). Количество размещенных на нем свободных от контактных площадок квадратов п—\/Ь. Процесс осаждения резистивных н проводящих пленок контролируют по сопротивлению на квадрат, т. е. Rq =p/d прн l = fi. Учитывая количество квад- ратов на «свидетеле», процесс напыления прекращают при достижении заданного значения сопротивления на «свидетеле». «Свидетель» (Ясв) включают в мостовую схему управления (рис. 7.32). Точ- ность измерения толщины резистивным методом 5...10%. На этом методе основа- на работа приборов КС-1 и КС-2. Этот метод вносит некоторую систематиче- скую погрешность в измерение R. Погрешность связана с условиями контакти- рования резистивных и контактных слоев прн разной последовательности их нанесения, а также с тем, что при образовании контактных соединений пленоч- ных элементов возможно появление интерметаллических соединений, увеличи- вающих переходное сопротивление. Применяют четырехзондовый метод влияние переходных сопротивлений. «Свидетель» в этом случае имеет четыре контакта (рнс. 7.33, с) и включается в схему, показанную на рис. 7 33, б. По «свидетелю» /?СЕ и одному из эталон- ных резисторов R, течет ток 7|=/s от стабилизированных источников тока ГТ, который не зависит от этих сопротивле- ний и переходных сопротивлений. При Rcb—Rs мост будет сбалансирован, по- тенциал точки А будет равен потен- измерення, прн котором исключается Рис. 7.32. «Свидетель» для двухзон- дового измерения сопротивления: 1 — контактная площадка; 2—ситалл 296
Рис. 7.33. Схема измерения четырехзондовым методом: а —«свидетель» для четырехзондового измерения; I _ контактная площадка; 2 — ситалл: б — схема измерения четырехзондовым методом Рис. 7.35. Измерение скорости напыления ионизационным манометром: 1— ионизационный манометр; 2— подложка; 3— вращающаяся заслонка; 4 — экран; 5 — испаритель Рис. 7.34. Емкостный датчик: 1 — гребенчатые контакты; 2 — на- пыляемая пленка циалу точки В; срабатывает нуль-орган, с которого усиленный сигнал подается на схему управления процессом осаждения. Емкостной метод. Этот метод заключается в измерении емкости специаль- ного конденсатора (рис. 7.34), диэлектриком которого служит напыляемая плен- ка, поэтому им можно контролировать толщину и скорость осаждения только диэлектрических пленок. Точность измерения толщины 3...5% при ширине про- водника и зазора 0,2 мм (прибор ЕИТ-1). Ионизационный метод контроля скорости напыления вещества. Он основан на измерении ионного тока паров напыляемого вещества. Перед ионизационным манометром (рнс. 7.35) помещается заслонка, прерывающая поток паров с ча- стотой 10...20 Гц. Пары вещества импульсами попадают в объем ионизационного манометра. В цепи коллектора манометра протекают два тока: постоянный ион- ный, характеризующий давление в вакуумной системе, и переменный, характе- ризующий режим испарения. Переменная составляющая ионного тока усилива- ется узкополосным усилителем и является мерой скорости испарения. Исполь- зование токового интегратора для переменной составляющей обеспечивает опре- деление толщины пленки. Этот прибор универсален и точен, позволяет производить непрерывный контроль многих сотен пленок. Он может быть использован для стабилизации процесса напыления. Поддержание постоянной скорости ис- парения обеспечивается цепью обратной связи, воздействующей на мощность, подводимую к испарителю. Прибор устойчив к температурным воздействиям 297
К недостаткам этого метода следует отнести относительную громоздкость схемы и необходимость тщательной градуировки измерительного прибора для каждого вещества. Датчик крайне критичен к воздействиям переменных маг- нитных полей. При напылении диэлектрических пленок затруднительно измере- ние толщины и скорости осаждения. Достоинства ионизационного метода — высокая точность (до 1%), широкие пределы измерения (от единиц до нескольких сотен нм/с) прн напылении ме- таллических пленок. Метод реализован в отечественных приборах ИСТИ-1 ИСТИ-2. Частотный метод. Он основан на измерении отклонения резонансной часто- ты пьезоэлектрического кварцевого вибратора. Отклонение обусловлено измене- нием массы кварцевой пластины прн напылении на нее тонкой пленки. Пьезо- электрические свойства пластин кварца в первую очередь определяются кри- сталлографической ориентацией срезов по отношению к главным осям монокри- сталла. В данном случае срез должен обеспечивать возбуждение сдвиговых ко- лебаний по толщине пластины и иметь по возможности более низкий темпе- ратурный коэффициент частоты. Обычно используют кварцевый кристалл с резо- нансной частотой f0=(n/2d) (С/р)1/2, где С, р н d — соответственно модуль уп- ругости, плотность н толщина кварцевой пластины; п — целое число. При напылении на поверхность кварцевой пластины тонкой пленки толщина кварцевой пластины изменяется на Ad, что вызывает изменение резонансной час- тоты на Af. Так как существенного изменения модуля упругости и плотности не происходит, то Af/f0=Ad/d. Если площадь кварцевого кристалла S, масса т, а плотность материала наносимой пленки рпл, то Ad=mAf/(pnjISfo). Все параметры, входящие в формулу, кроме Af, практически постоянны, поэтому основная задача состоит в измерении отклонений резонансной частоты Af. Чувствительность метода при напылении металла 10...20 Гц/нм. Погрешность измерений не превышает ±5%. Этот метод универсален, т. е. позволяет изме- рять в процессе напыления толщину любых по составу пленок. Глава 8 Фотошаблоны и технология их изготовления 8.1. Общие сведения, термины и определения Общие сведения. Фотошаблон (ФШ)—плоскопараллельная пластина из прозрачного материала, на которой имеется рисунок, состоящий из сочетания непрозрачных и прозрачных для света определенной длины волны участков на основе пленочного покрытия, образующих топологию одного из слоев структуры прибора или группы приборов, многократно повторенных в пределах активного поля пластины. Фотошаблон является одним из основных инструментов прн со- здании заданного рельефного защитного покрытия при проведении фотолитогра- фии в планарной технологии. В зависимости от материала пленочного покрытия различают ФШ на основе фотографической эмульсии (эмульсионные ФШ), ме- таллической пленки (металлические ФШ) и других материалов, например окиси железа (цветные ФШ). Эмульсионные фотошаблоны изготовляют фотографическим способом. Вна- чале с помощью координатографа создают методом вычерчивания или выреза- ния оригинал (увеличенное изображение конфигурации слоя). Затем в 1—3 прие- ма оригинал последовательно уменьшают на редукционной камере. Отдельное изображение многократно экспонируют на фотопластине н получают эталонный ФШ для последующего изготовления копии. Схема изготовления фотошаблонов методом последовательного уменьшения приведена на рис. 8.1. Конфигурация пленочного покрытия в ФШ может задаваться также элект- ронно-лучевой обработкой либо удалением части материала, либо изменением его оптических свойств (превращения из оптически прозрачного в оптически непро- зрачный либо наоборот). 298
Рис 8 1. Схема изготовления фотошабло- нов методом последовательного умень- шения: . — оригинал; 2 — объектив; 3 — промежуточный фотошаблон; 4 — объектив фотоповторителя; 5 — эталонный фотошаблон; 6 — координатный стол При изготовлении ФШ наиболее рас- пространен трехступенчатый метод, окан- чивающийся изготовлением эталонного фо- тошаблона. В его основе лежат три про- цесса (рнс. 8.2). Первый процесс — изготовление ори- гинала. Оригинал представляет собой еди- ничное увеличенное изображение модуля фотошаблона. Изготавливается на прозрач- ной подложке (стекле или полимерной пленке) способом подрезки эмалевого ли- бо другого покрытия резцом. Участки по- крытия, прорезанные резцом по контуру, удаляются механическим способом. Полу- ченные окна заданной конфигурации яв- ляются изображением элементов модуля технологического слоя. Для изготовления оригиналов применяют установки — коор- динатографы, поозволяющие обеспечивать высокую точность вырезания в пределах Рис. 8.2. Методы изготовления фотошаблонов ±50 мкм. При уменьшении изображения на оригинале влияние неточности вырезания становится пренебрежительно малым. Второй процесс — изготовление промежуточного оригинала или уменьшенной копии оригинала, выполненной на фотопластинах с высокой разрешающей спо- собностью. Часто промежуточный оригинал хромируют или выполняют на цвет- ных стеклах. Третий процесс изготовления ФШ — мультиплицирование, которое осуществ- ляется на фотоповторителях. 299
Второй технологический метод изготовления ФШ — двухступенчатый — состо- ит из двух этапов: изготовления оригинала и мультиплицирования. Термины и определения. В процессе изготовления фотошаблонов осуществ- ляют контроль их параметров, для описания которых используют следующие тер- мины и определения. Воспроизводимость Дхл, Д(/я—абсолютное значение колебаний положения кадров ФШ, полученного на фотоповторнтеле по обеим координатным осям по отношению к положению соответствующих кадров других фотошаблонов, полу- ченных на том же тубусе фотоповторителя в результате предыдущего или по- следующего процесса мультипликации. Зазор — расстояние d между двумя элементами структуры прибора, скопи- рованными один за другим с разных стекол комплекта фотошаблонов (рис. 8.3). Изображение структуры — фотографическое изображение топологии струк- туры, представляющее собой сочетание освещенных и затененных участков в не- которой плоскости пространства, называемой плоскостью изображения Кадр — часть рисунка фотошаблона, полученная за один процесс экспони- рования. В кадре обычно содержится одна или несколько топологий структуры. Колебание оптической плотности почернения KS фотошаблона—наиболь- шая разность плотности почернения одинаковых элементов структуры, регистри- руемая от кадра к кадру в пределах одного ФШ. Для правильной оценки раз- броса AS необходимо одновременно приводить среднее значение S — плотности почернения и ширину штриха, к которому это относится. Например, AS=0,3 ед. прн 3=2 ед. для 6=3 мкм. Колебание А6 ширины элемента Ь — половина разности между максималь- ной и минимальной шириной двух одинаковых элементов изображения. Величи- на ДЬ складывается из неровности края, искажений оптической системы, нерез- кости края и связана с колебаниями условий экспонирования от одного кадра к другому н с неравномерностью освещения элементов структуры в пределах одного кадра. Металлизированный фотошаблон — фотошаблон, экранирующий рисунок ко- торого представляет собой тонкую металлическую пленку, нанесенную на про- зрачную стеклянную подложку. Метки грубоприближенного совмещения — метки на фотошаблоне, позволяю- щие правильно наложить прн совмещении соответствующие строки и столбцы в пределах одного комплекта фотошаблонов. Наименьшая ширина элемента b — (признак сложности для одного фото- шаблона или комплекта фотошаблонов) есть ширина наименьшего элемента в данном комплекте фотошаблонов Негативное изображение — изображение, непрозрачные и прозрачные участ- ки которого противоположны тону, указанному на чертеже фотошаблона. Нерезкость (размытость) края Abs— ширина постепенного перехода от про- зрачной к светонепроницаемой области в элементе изображения, иначе говоря, Рис. 83. Зазор d между отпечатка- ми совмещенных рисунков 1 и 2, полученных с двух различных фото- шаблонов Рнс. 8.4. К объяснению понятия «размытость края» 300
ширина серой зоны изображения прн переходе от белой области к черной ^ИСНеровность края &Ь'— высота микронеровностей (зубцов) прямолинейного края непрозрачного элемента фотошаблона или элемента топологии на полупро- водниковой пластине, измеряемая как максимальное расстояние между впади- нами и выступами (рис. 8.5). Оптическая плотность почернения S—десятичный логарифм отношения све- топроницаемости прозрачного участка к светопроницаем ости непрозрачного уча- стка поверхности ФШ при достаточной ширине элемента структуры. У очень малых элементов оптическая плотность почернения зависит от ширины элемента и должна быть особо обозначена, например S (1 мкм) для штриха шириной 1 мкм. Оригинал первичный — увеличенный, поддающийся воспроизведению рису- нок отдельной детали фотошаблона, обычно одной яли нескольких отдельных топологий структур изделия, предназначенный для изготовления фотошаблона методом последовательного уменьшения и мультипликации. Ошибка переноса — изменение размеров и формы элементов топологии, вы- званное, например, несовершенством оптической системы, расфокусировкой, а так- же процессами экспонирования, проявления и травления. Постоянные ошибки переноса могут быть частично компенсированы предварительным учетом этих ошибок и соответствующим заданием поля допусков при изготовлении ФШ. Ошибка совмещения возникает при применении ФШ и слагается из ошибок, получающихся в результате неправильного позиционирования ФШ по отноше- нию к предыдущему рисунку на полупроводниковой пластине и в результате смещений при любой деформации ФШ и пластины. Первичная копия фотошаблона ~ копия, полученная контактным или про- екционным методом с эталонного фотошаблона. Она служит для фотопечати с нее рабочих копий фотошаблонов. Плоскостность фотошаблона — отклонение поверхности фотошаблона от идеальной плоскости, определяемой стрелой максимального прогиба его рабочего поля в микронах или числом колец Ньютона, полученных при исследовании этой поверхности с помощью интерферометра. Позитивное изображение — изображение, непрозрачные и прозрачные участ- ки которого соответствуют тону, указанному на чертеже фотошаблона. Проколы — дефекты фотошаблона в виде мельчайших отверстий в непрозрач- ных элементах изображения фотошаблона нли темных точек на прозрачных элементах изображения. Проколы количественно определяются плотностью де- фектов. Она указывает, сколько проколов определенного диапазона величин со- держится на 1 см2 фотошаблона в тех нли иных его участках. П ромежуточный фотошаблон (оригинал)— фотошаблон с рисунком ориги- нала после его фотографического промежуточного уменьшения в одни илн не- сколько приемов с размножением изображения или без него. Рабочее поле фотошаблона — часть плоскости фотошаблона, обычно пря- моугольной формы, содержащая совокупность отдельных изображений. Рис. 8.5. К объяснению понятия «неровность края» Рис. 8 6. К объяснению понятия «ширина элемента» 301
Рабочий фотошаблон — фотошаблон, применяемый в фотолитографическом процессе при изготовлении полупроводниковых структур контактной или про- екционной печатью на полупроводниковую пластину, покрытую слоем фото- резиста. Совмещаемое™ комплекта фотошаблонов — характеристика комплекта ФЩ позволяющая оценить наибольшее смещение отдельных элементов рисунка на полупроводниковой пластине, полученных с помощью другого ФШ того же комп- лекта прн оптимальном наложении ФШ на пластину. Структура прибора (транзистора, интегральной микросхемы и т. д.) есть результат проведения всех процессов планарной технологии: фотолитографии, диффузии, напыления и др., т. е. структура прибора — это определенным обра- зом сформированный кристалл полупроводника, содержащий необходимые эле- менты при заданном их взаимном расположении. Топология структуры — рисунок (чертеж), включающий в себя геометриче- ские размеры элементов структуры, их форму, положение и принятые геометриче- ские допуски. Точность шага — абсолютное значение колебаний расстояния между полу- ченными на фотоповторителе кадрами ФШ по обеим координатным осям. Сред- няя точность шага означает среднеквадратическое отклонение расстояний меж- ду кадрами от среднего значения этого расстояния. Фигура совмещения—специальный топологический рисунок в виде штри- ха, щелн, креста и т. д. для облегчения юстировки рабочего фотошаблона прн определении его совмещения с рисунком на полупроводниковой пластине. Ширина элемента b — расстояние между двумя воображаемыми линиями Bi и В2, соответствующими среднему прохождению двух краев Ki н /<2 (рис. 8.6). Элемент топологии — общее понятие для деталей топологии структуры. Эталонный фотошаблон — первый фотошаблон в процессе изготовления с полным набором изображений структур, с которого обычно получают рабочие или первичные копии фотошаблонов. 8.2. Основное технологическое оборудование для изготовления ФШ Для изготовления ФШ МЭУ применяют координатографы, редукционную ка- меру, фотоповторителн, генераторы изображения, установки для контактной пе- чати ФШ. Координатографы. Координатограф представляет собой устройство, в кото- ром каретка с инструментом перемещается по заданной траектории либо путем вращения ходовых винтов вручную с визуальным отсчетом перемещений по лим- бу, либо с помощью следящего электропривода, управляемого от специального программного устройства или ЭВМ. В первом случае координатограф является ручным, во втором — автоматическим. Перемещение каретки с инструментом мо- жет осуществляться в декартовых и в полярных координатах, а также смешан- ной системе координат. Схема устройства координатного стола ручного координато- графа приведена на рис. 8.7. Наиболее распространенным инстру- ментом являются резцы, позволяющие из- готавливать рисунок на плакированном Рнс. 8.7. Схема устройства координатного стола ручного координатографа: 1 — шпиндель перемещения каретки по оси х; 2 — х-направляющая; 3 — инструментальный крон- штейн; 4 — х-контрнаправляющая; 5 — вращение для перемещения по оси х; 6 — вращение для перемещения по оси у; 7 — ^-направляющая; 8 — масштабная рейка оси у; 9 — ^-каретка; 10 — координатный стол 302
текле или специальных пленках. Часто в координатографах используется фото- гоювка, с помошью которой рисунок засвечивается иа фоточувствительном ма- териале’ Основные технические характеристики координатографов приведены в табл. 8.1. Таблица 8.1. Характеристики координатографов —— “ Модель Тип Размер оригинала, мм Минималь- ный шаг, мкм Точность, 1 хмкм 1очность повторения, ±мкм Скорость пе- ремещения по осям, мм/с Система управления Тип головки самописца ЭМ701 Ручной ЭМ707 » ЭМ703 Автомати- ческий ЭМ706 Полуавто- матический 750X750 — 50 25 — Ручное уп- Резец-го- равление дограф 800X800 — 50 25 — То же То же 1200X1200 25 50 25 100 Перфолен- » та 1200X1200 25 50 25 25 Ручной ввод Резец-го- дограф Редукционные камеры. Первичная съемка оригиналов осуществляется на прецизионных редукционных камерах (рис. 8.8). В их состав входят эиран с источником -света и диффузором для равномерности освещения, держатель ори- гинала с вакуумной присоской, объектив, держатель объектива, кассета с фо- топластинкой, устройство для контроля установки масштаба, размеров изобра- жения и шага мультипликации. В конструкции держателя оригинала предусматриваются специальные ме- ханизмы, которые обеспечивают поворот держателя вокруг горизонтальной и вертикальной осей и его фиксирование в отрегулированном положении. Это не- обходимо для строгого регулирования параллельности плоскости объектива и плоскости светочувствительного слоя фотопластин, а также перпендикулярности этих плоскостей оптической оси объектива. Эти же требования относятся и к держателю кассеты с фотопластиной. Для контроля фокусировки и точности рисунка держатель кассеты оборудо- ван микроскопом с окуляром-микрометром. Кроме этого на держателе имеются оптические компараторы, сопряженные со штриховыми линейками для измере- ния перемещений по осям х и у. В большинстве моделей редукционных камер может быть обеспечена точность перемещения ±10 мкм. Репродуцирование изображений с одновременной мультипликацией для по- лучения групповых фотошаблонов может осуществляться с помощью растровых редукционных камер, в которых используются многолинзовые (например, ка- мера ЭМ-514) или многоотверстные системы. В технологии ФШ применяют так называемые линзовые растры, представ- ляющие собой набор из множества маленьких линз с коротким фокусным рас- Рис. 8.8. Схема редукционной фотокамеры: 1 - - отражающий экран; 2 — источ- ник света; 3— рассеивающее стек- ло; 4— держатель оригинала; 5 — фильтр; 6 — объектив; 7 — кассета с фотопластннами; 8 — микроскоп 303
стоянием, расположенных в одной плоскости. Общий размер растра и расстояние между линзами должны соответствовать площади фотошаблона и шагу муль- типликации. Каждая линза растра формирует свое изображение, и в результате на поверхности пластины образуется множество изображений объекта, отно- сительное расположение которых определяется положением линз растра. Основные технические характеристики редукционных камер приведены в табл. 8.2. Таблица 8.2. Характеристики редукционных камер Модель Максимальное рабочее поле ориги- нала, мм Размеры фо- топластины, мм Кратность уменьшения Условия освещения оригинала Точность пе- 1 ремещения фотопластины в плоскости изображения, мм Точность контроля раз- меров изобра- жения, мм ЭМ-503А 750X750 90X120 10, 20. 30 Проходя- щий свет ±0,002 ±0,002 ЭМ-513 1200X1200 60X90 30, 40, 50 То же ±0,002 ±0,002 Фотоповторители. Вторичная съемка с окончательным уменьшением и муль- типлицированием изображения является важнейшей операцией технологии из- готовления ФШ. Эта операция выполняется фотоповторителями. Классификация методов мультипликации приведена на рис. 8.9. В настоящее время наиболее распространены оптико-механические методы мультипликации. Однопозицнонные фотоповторители представляют собой камеры проекцион- ной фотопечати предварительно уменьшенных в определенном масштабе изо- бражений оригинала (т. е. промежуточного оригинала) на пластину со свето- чувствительным слоем. Эта пластина механически перемещается в плоскости изображений. Когда она достигает определенной координатной позиции, на нее экспонируется рисунок модуля. Местоположение каждой позиции определяется прецизионной измерительной системой текущих координат н задается путем ввода специальной программы мультипликации. На рис. 8.10 показана схема однопозиционного фотоповторителя. Координатный стол, несущий пластину со светочувствительным слоем, равномерно перемещается по направляющим отно- сительно неподвижного тубуса проекционной системы, производя построчное сканирование с экспонированием фотопластины. Для повышения производительности процессов мультипликации и совмещае- мости комплекта ФШ используются многопозиционные фотоповторители. В этом случае несколько проекционных систем (обычно четыре, шесть или девять) ком- понуются в один блок, состоящий из заданного числа автономных осветителей и оптических узлов, работающих одновременно. Это позволяет оперативно из- готовить комплект ФШ (практически за один или два рабочих цикла) с доста- точно высокой степенью совмещаемостн, так как в этом случае все ФШ комп- Рис. 8.9. Классификация методов мультипликации 304
лекта теоретически имеют одина- ковые погрешности по шагу. Сле- дует особо отметить, что проек- ционная система каждого фото- повторителя спроектирована на определенный диапазон длин волн в зависимости от вида используе- мых светочувствительных мате- риалов. Важнейшим элементом проек- ционной системы фотоповторите- лей является высокоразрешаю- щий объектив. Основные требова- ния к объективам для прецизион- ных фотоповторителей следующие: сочетание высокой разрешающей способности и больших рабочих полей в выбранном диапазоне Рис. 8.10. Схема ионизированного фото- повторителя: 1 — источник света; 2 — конденсатор; 3 — проме- жуточный фотошаблон; 4 — объектив; 5 — фото- пластина; 6 — координатный стол; 7 — датчик линейных перемещений; 8 — система управления блоком экспонирования длин волн прн постоянном масш- табе увеличения, корректировка искажений и обеспечение мини- мального падения разрешающей способности от центра к пери- ферии. Из-за неплоскостности выпускаемых фотопластин и стекол ФШ большинство фотоповторителей имеет систему автоматической подфокусировки. Основные па- раметры фотоповторителей приведены в табл. 8.3. Таблица 8.3. Характеристики фотоповторителей Модель Максимальное перемещение стола, мм логическая ютная) гь пози- ования. Воспроизводи- мость позициони- рования, мкм Количество пози- ций, шт. Степень автоматизации Кратность уменьшения Размеры промежуточ- ного ориги- нала, мм Метро. Ч О Л о о s о к к v ото* Л ох —-ь й Ж ЭМ-505 60 +2 +1 1 Полу а вто- 10 50Х50Х60Х мат Х60, 70X70 ЭМ-501А 60 ±3 ±1 6 Автомат 10 50X50, 70Х Х70 ЭМ-515А 80 +2,0 +0,25 6 10 70X70 ЭМ-522 80 ±0,2 ±0,2 1 10 50X50, 70Х Х70 ЭМ-525 70X80 — ±0,25 6 10 32X32 ЭМ-528 450 ±10,0 — 1 » 2 90X120 ЭМ-552 140 ±0,5 ±0,5 1 » 10,4 70X70 Генераторы изображения. Для ускорения и удешевления проектирования сложных интегральных узлов вместо координатографов и редукционных камер в настоящее время используют генераторы изображений — устройства, воспроиз- водящие рисунок промежуточного ФШ по информации, поступающей в зако- дированном виде непосредственно от ЭВМ. Генераторы изображений бывают двух типов: со сканированием луча и микрофотонаборные установки. В установках со сканированием луч света, управляемый от ЭВМ, сканирует поверхность фотопластин путем последовательного прохождения строк, появляясь и исчезая по заданной программе. При этом развертка осуществляется в одних 20 Заказ № 1270 305
Рис. 8.11. Схема генератора изо- бражений: 1 — лампа-вспышка; 2 — конденсор- ная система линз; 3 — щелевая на- борная диафрагма; 4—6 — электродви- гатели управления соответственно ши- риной, длиной щели и углом ее по- ворота; 7 — объектив; 8, 9 — интер- ферометрические датчики перемещений координатного стола по осям х и 10 — координатный стол; 11 — сер во- электродвигатели перемещения коор- динатного стола; 12 — программное устройство; 13 — ЭВМ случаях благодаря перемещению стола в других — при отклонении луча системой зеркал, что менее точно. Для реализации метода со сканирующим лучом требуются высокочувствительные фотопластины. Универсальным генератором изображе- ния является фотонаборная установка, в которой топологический рисунок на фото- пластине набирается из отдельных элемен- тов, размеры н разворот которых могут из- меняться в соответствии с программой. Этот метод обеспечивает высокую произво- дительность благодаря рациональной раз- бивке всего рисунка, сводя к минимуму число экспозиций для набора всего ри- сунка. На рис. 8.11 показана схема генерато- ра изображений. В микрофотонаборной установке ЭМ-508 использованы датчики на дифрак- ционных решетах, обеспечивающие конт- роль перемещения до ±0,5 мкм. Особенно- стями установки ЭМ-519А являются кон- струкция стола на воздушной подушке, бо- лее высокая точность н система автомати- ческой подфокусировки объектива. Кроме того, установка позволяет высчитывать структуры любой конфигурации из библио- теки стандартных топологических рисунков. Установки ЭМ-508 и ЭМ-519А могут ра- ботать как на эмульсионных фотопластн- нах, так и на фоторезисте. В установке ЭМ-518, специально предназначенной для экспонирования ФШ, совмещены оба метода генерации изображений. Сканирование применяется для экспонирования протяженных элементов ФШ. Остальные элементы ФШ образуются фотонабором. Это обеспечивает мак- симальную производительность установки. Таблица 8.4. Характеристика фотонаборных установок Модель Диапазон перемещения координатно- го стола по осям X, У, мм Точность по- зиционирова- ния, ±мкм Воспроизво- димость по- зиционирова- ния. + мкм Дискретность перемещений, мкм Размер пластины, мм Масштаб уменьшения ЭМ-508 40 1,5 1 2,5 70X70 25: 1 ЭМ-511 40 — 2 — 70X70 — ЭМ-518 40X60 2,5 — 10 70X70 10: 1 ЭМ-519А 75 1,5 0,5 1 70X70 10: 1; 2:1 ЭМ-519Б 80 0,5 0,2 1 70X70 10 : 1; 4 : 1 ЭМ-533 80ХЮ0 1,5 0,2 0,5 80X100 10: 1; 4:1; 2:1 ЭМ-527 80X80 0,2 0,2 2 80X80 10: 1 ЭМ-549 140X140 0,5 0,5 1 70X70 10:1; 4:1; 2:1 Примечание. Для всех типов фотонаборных установок источник экспонирования — ртутно-кварцевая лампа, рабочая длина волны 465 ..436 мкм. 306
Рис. 8.12. Схема установки для контактной печати фотошаблонов' 1 — зажимное кольцо; 2 — резиновая диафрагма; 3 — резиновые прокладки; 4 — металли- ческая пластина; 5 — фоторезистовая пластина; 6 — уплотняющее резиновое кольцо; 7 —эталонный фотошаблон; 8 — прижимная рамка; 9 — конденсатор; 10 — лампа; 11 — зер- кало; 12 — корпус Аналогичное совмещение методов использовано и в установке для монтажа мпкроизображений ЭМ-533, предназначенной для получения фотошаблонов СБИС. Модели генераторов изображений могут работать в двух режимах: фо- тонабора и фотоповторителя (установки ЭМ-519Б и ЭМ-527). Характернстикн фотонаборных установок приведены в табл. 8.4 Контактная часть ФШ. Распространенным методом размножения ФШ и получения рабочих копий является контактный метод. В большинстве систем контактной печати используется вакуумно-копировальная рамка (рнс. 8.12) вме- сте с осветительной системой. Источником света служит ртутно-кварцевая лам- па типа ДРШ-500 (или ДРШ-250). Для улучшения равномерности освещения в установках имеется конденсатор н сканирующий фотометр. Для исключения ошибок экспонирования применяют дозатор энергии экспонирования (например, в установке ЭМ-523). Зависимость размеров фотоизображения от времени экспозиции можно ис- пользовать для исправления некоторых неточностей в размерах критических эле- ментов, имеющихся в базовом (эталонном) ФШ, если эти источники одного и то- го же знака. Прн этом, как правило, легче в процессе экспонирования позитив- ного фоторезиста увеличить размеры окошек по сравнеиню с размерами на базо- вом ФШ, чем нх уменьшить. Некоторое увеличение размеров (на 1...3 мкм) мо- жет быть достигнуто увеличением экспозиции в 1,5...2 раза. 8.3. Конструкция фотошаблонов Фотошаблоны по виду подложек бывают стеклянные (кварцевые), пленоч- ные и масочные (со сквозными отверстиями). К ФШ и исходной фотошаблон- ной заготовке (ФШЗ) предъявляются следующие требования: улучшенная плос- костность пластин ФШЗ; высокая чистота обработки н однородность поверх- ности; возможность использования в спектральной области длин волн 180 .. ...300 нм; минимальный коэффициент отражения поверхности маскирующего слоя в спектральном диапазоне оптического излучения; малый температурный коэф- 20* 307
фициепт расширения стекла (ТКР)'; высокая термостойкость и износоустойчи- вость ФШ при эксплуатации. Неплоскостность стеклянных пластин ФШЗ приводит к так называемому те- невому эффекту. Многостадийное шлифование и полирование, прецизионный контроль обеспечивают высокий уровень плоскостности ФШЗ различных геомет- рических размеров (102x102..,178х 178 мм). Достоинством ФШЗ на основе кварцевого стекла является пропускание оптического излучения в диапазоне длин волн 180...300 нм, что позволяет снизить уровень дифракционных искаже- ний элементов топологии за счет применения УФ-лнтографии. При проведении процессов коротковолновой УФ-литографии не требуется учитывать тепловое воз- действие источников излучения, так как ТКР кварцевого стекла на порядок мень- ше, чем обычного щелочного. Температурный коэффициент расширения квар- цевого стекла составляет 5,6-10_'К“ (ТКР щелочного стекла равен 8,5-10~® К-1). Малое значение ТКР уменьшает отклонение геометрических раз- меров изображения на ФШ при изменении температуры в процессе литографии, а также прн различных термических воздействиях прн обработке ФШЗ. Приме- нение ФШЗ на основе кварцевого стекла улучшает износостойкость ФШ при эксплуатации. Считается, что эта характеристика связана с ростом дефектности маскирующего слоя при термообработке. Устойчивость к истиранию маскирую- щего слоя на кварцевом стекле в 1.5...2 раза выше, чем на щелочном. При хранении н химической обработке ФШ на нх поверхности могут про- исходить сорбция загрязнений и захват механических частиц, обусловленные электростатическим зарядом поверхности. Статический заряд поверхности стекла можно уменьшить при нанесении тонкой прозрачной токопроводящей пленки на основе окислов индия, олова и других металлов. Антистатические покрытия сложного состава (InxSnyOz), уменьшающие сорб- цию поверхностных загрязнений и обеспечивающие исправление исходных дефек- тов стекла, также защищают его поверхность от проникновения в объем мас- кирующей пленки атомов щелочных металлов, бора и фосфора. Антистатические покрытия улучшают условия электронно-лучевого экспонирования благодаря го- могенным свойствам поверхности маскирующего слоя, однородности вторичной электронной эмиссии, эффективному стоку зарядов с поверхности ФШЗ. По виду маскирующих покрытий ФШ делятся на эмульсионные, металли- зированные, полупроводниковые, фоторезистивные, диффузионные, а также без покрытия, осуществляющие требуемую модуляцию светового потока фазовым методом. Оптические свойства материалов ФШ. Оптические и механические свойства подложек влияют на точностные параметры, качество изображения и энергети- ческие характеристики систем формирования микроизображений. Спектральные Рис. 8 13. Спектры пропускания стекол, используемых для изготовления под- ложек фотошаблонов: / — КУ-1, d = 10 мм; 2 —КУ 2. d-ГО мм; 3 — КВ-Р, d-Ю мм; 4 — УФС-5, d-З мм; 5 — КВ. 11"10 мм; 6 — ФФС-2, d=«10 мм; 7 — флюорит, d=7 мм; 8 — К-8, d=>I0 мы: 9 — иатрвево-кальциевое стекло, d=3 мм 308
Рис. 8.14. Спектры пропускания мас- кирующих покрытий фотошаблонов: ; _ хром; 2 — окись хрома с подслоем хрома: 3 — окись железа; 4 — диоксид кремния Рис. 8 15. Спектры отражения маскирующих покрытий фотошаблонов: 1 — окись хрома с подслоем хрома; 2 — хром, полученный методом термического распы- ления; 3 — хром, полученный ионно-плазменным методом напыления коэффициенты пропускания света материалов, ту, наиболее широко используе- мых для изготовления подложек ФШ, приведены на рис. 8 13. Оптические свойства маскирующего покрытия также определяют качество изображения. Важнейшими оптическими параметрами покрытий являются спект- ральные коэффициенты пропускания Т; и отражения света р?. На рис. 8.14 и 8.15 представлены спектральные коэффициенты пропускания и отражения све- та ряда маскирующих покрытий, используемых для фотошаблонов. Обычно оптическая плотность маскирующего покрытия толщиной 80... 120 нм лежит в диапазоне d=lg (1/т) = 1.5...2, т. е. ослабляет излучение в 30...100 раз. Через маскирующее покрытие проходит несколько процентов оптического излу- чения, которое, с одной стороны, интерферируя с основным потоком, дает на краю элементов осциллирующую картину, контраст которой может быть значи- тельным и при наличии порогового регистрирующего фотоматериала может при- водить к эффекту оконтуривания изображения, двоения края элементов. С дру- гой стороны, наличие отраженного от маскирующей поверхности ФШ света при- водит к явлениям многократного отражения и образованию стоячих волн в толще фоторезиста. Для устранения этих эффектов используют маскирующие покрытия с большим коэффициентом поглощения света, но с малым коэффициентом отра- жения. Однако материалы с большим поглощением (металлы) имеют н большое отражение, а материалы, обладающие малым коэффициентом отражения света (диэлектрики), имеют малое поглощение, поэтому оптимальный выбор маски- рующего покрытия затруднен. С точки зрения оптических свойств рационально применение окисных по- крытий (окисн хрома, окиси железа, моноокиси кремния), пленок нитрида хрома, пленок на основе халькогенидных стеклообразных полупроводников (ХСП). Эмульсионные ФШ принципиально не могут обеспечить высокую разрешаю- щую способность (<amm«3 мкм), так как эмульсия имеет минимальную толщину слоя h~4.6 мкм, кроме того, крайне низка их эксплуатационная стойкость (еди- ницы— десятки совмещений). Хромированные ФШ обеспечивают значительно большие разрешающую способность и стойкость, однако коэффициент отражения света пленки хрома высок (Д~0,6) н это не позволяет стабильно получать эле- менты с размерами менее 1,5 мкм. Если фоторезист толщиной й~0,5 мкм нане- сен иа покрытия с коэффициентом отражения света R, равным 0,6; 0,2 и 0,05, то могут быть получены элементы с шириной линии а, составляющей 1; 0,8 и 309
0,6 мкм соответственно. Причем если при /?~0,6 точность воспроизведения гео- метрических размеров элементов достигает Да —±0,5 мкм, то при /?~0 05 Ла яг ±0,2 мкм. Уменьшение коэффициента отражения света металлизированных ФШ существенно расширяет диапазон допустимой расфокусировки и колебаний экспозиции при проекционной фотолитографии. Уменьшение коэффициента отра- жения света маскирующего покрытия — одно из требований, предъявляемых к фотошаблону как инструменту формирования прецизионных микронзображений. Размеры подложек обычно составляют 125 X 125...150Х 150 мм, при этом их толщина обычно не превышает 3 мм. Такое соотношение размеров и толщины в 6..7 раз превышает традиционно принятое в оптике соотношение размера и толщины плоскопараллельных пластин, которое равно 1 : 10, что часто приводит к деформации пластин н отступлению от плоскостности поверхности. Конструкции ФШ. Простейший ФШ состоит из стеклянного основания 1 и рисунка маскирующего покрытия 2 на его поверхности (рис. 8.16). Однослойное тонкое маскирующее покрытие (рнс. 8.16, а) достаточно быстро повреждается в процессе эксплуатации, кроме того, в исходной пленке всегда имеются раз- личные дефекты (в том числе проколы маскирующего покрытия), располагаю- щиеся по полю ФШ по случайному закону. Для устранения дефектов использу- ют двухслойное покрытие (рис. 8.16,6). Па рис. 8.16,6 показано, что дефекты 4 в слоях 2 и 3 не совпадают, в результате получается бездефектное маскирую- щее покрытие. Слои 2 и 3 выполняют нз различных материалов, позволяющих вести нх селективную обработку, а для того, чтобы не требовалось с высокой точностью совмещать рисунки различных слоев друг с другом, элементы рисун- ка верхнего слоя 3 делают несколько меньших размеров. Для улучшения каче- ства изображения верхний слой может быть сделан малоотражающим. Использование для ФШ двойного маскирования слоями хром — окись желе- за обеспечивает до 200 циклов контактной печати. Для полупрозрачных ФШ, рисунок которых используется для совмещения в видимой области спектра, та- кая многослойная конструкция неприемлема, поэтому для них может Сыть при- менена конструкция, представленная на рис. 9.16, в. В этой конструкции второе покрытие 3 наносится непосредственно в дефекты первого покрытия путем до- полнительной фотолитографии с использованием экспонирования с обратной сто- роны подложки (прн этом маской является первый слой). Фотошаблоны с защитой маскирующего слоя. Двойное маскирование снижает только начальную дефектность ФШ, в процессе же его использования при первых контактах в маскирующем покрытии и стеклянной подложке образуются царапины, выколки и т. п. Для их предотвращения ис- пользуют конструкции ФШ с защитой маскирующего слоя (рис. 8.17). Защит- ные маскирующие слои могут представлять собой кварцевую пленку 3 толщи- Рис. 8.16, Конструкции простого однослойного фотошаблона (а) и шаблонов с двойным маскировани- ем (6 и в) Рис. 8.17. Фотошаблоны с защитой маскирующего слоя: 1 — подложка; 2 — маскирующий слой; 3 — защитная кварцевая пленка; 4 — за- щитная полимерная пленка; 5 — буртик 310
ой около 0,2 мкм, напыляемую на всю поверхность ФШ (рис. 8.17,6), прозрач- и мягкую эмульсионную или полимерную пленку 4 толщиной 1,5.3 мкм, акже наносимую на всю поверхность ФШ (рис. 8 17,6), или буртика 5 ^пис 8.17, в) толщиной 1.5...3 мкм, напыляемого по периметру ФШ на его нерабочую’ область, не занятую изображением 2, уровень которого расположен ниже поверхности буртика 5, что предотвращает его соприкосновение с подлож- кой при экспонировании. Фотошаблоны с дублированными разнесенными слоями. Повысить эксплуатационную надежность и уменьшить скорость нарастания де- фектов позволяют ФШ, представленные на рис. 8.18. * Конструкция (рис. 8.18, а) содержит стеклянное основание /, на двух сто- ронах которого напротив друг друга расположены идентичные маскирующие ри- сунки 2. При эксплуатации такого ФШ изнашивается преимущественно одна его сторона, которая находится в контакте при экспонировании, другая сторона ос- тается бездефектной и осуществляет дополнительное маскирование (дублирует- ся первый слой). В конструкции, представленной на рис. 8.18,6, на толстом (/ii~3 мм) стек- лянном основании 1 располагается первый маскирующий слой 2 с размерами светлых элементов (окон) в топологическом рисунке, превышающими требуе- мые размеры в изображении на некоторую величину 26. На первый маскирую- щий слой приклеивается второй — тонкое стекло 3 (Л2~8О...18О мкм), на внеш- ней стороне которого располагается топологический рисунок 4, соответствующий формируемому микронзображению. Эта конструкция выгодна для темнопольных фотошаблонов. Для повышения эффективности маскирующего покрытия возмож- но значительное уменьшение толщины h2, например, путем полирования предва- рительно приклеенного покровного, стекла 3, однако при этом следует учитывать высоту неровностей на подложках (эпитаксиальные выступы на полупроводни- ковых подложках составляют десятки микрометров) и прочность стекла. Мини- мальная толщина стекла 3 й2~50 мкм. На рнс. 8.18, в изображена конструкция дублированного ФШ, в котором функции формирования размеров элементов и функции маскирования экспони- руемой площади рисунков реализуются в разных слоях 4 и 2 соответственно. На поверхности стеклянного основания 3 фотошаблона, подверженной дефектам, располагается только рисунок, соответствующий контуру передаваемого микро- изображения 4, он плотно прижимается к светочувствительному слою при экс- понировании. Слой же, осуществляющий маскирование всей площади мнкроизо- бражения, отделен от контактируемых поверхностей на толщину й2 стекла 3. При этом небольшие дефекты, например проколы маскирующего покрытия, на- ходящиеся в слое 2, не прорабатываются в изображении, так как они лежат на достаточно большом расстоянии й2 от плоскости изображения. Такая конструк- ция пригодна для создания полупрозрачных ФШ. Планарные ФШ. Принципиально другая конструкция ФШ, где маски- рующий слой отдален на некоторое расстояние от плоскости контактирования, Рис. 8.18. Фотошаблоны с дуб- лированными разнесенными маскирующими слоями Рис. 8.19. Планарный фотошаблон 311
2 a) Рис. 8.20. Диффузионные фотошаблоны Рис. 8.21. Гибкий фото- шаблон: 1 ~ подложка; 2 — маскирую- щий слой; 3 — поверхность кон- тактирования представлена на рис. 8.19. Здесь маскирующий слой 2, образующий рисунок, заглублен в по- верхность стекла 1. Для точной ров элементов контурная часть быть выведена нз углубления стекла. Диффузионные ФШ. представлены конструкции диффузионных ФШ. Маскирующий слой 2 образован диффузией ме- таллов (например, меди) на поверхностный слой стекла 1 (рнс. 8.20, а). Такие конструкции ФШ позволяют оптимизировать условия экспонирова- уменьшать интерференционные эффекты (двоение передачи разме- рисунка может на поверхность На рнс. 8 20 ния при контактной печати, изображения). Конструкция ФШ на рис. 8.20, б представляет собой планарную структуру, где ноны металла, образующие топологический рисунок, располагаются в тонком (й«0,3...0,5 мкм) приповерхностном слое. Фотошаблоны, изготовленные с ис- пользованием электродиффузии меди в стекло, имеют для Х~0,4 мкм показа- тель преломления света приповерхностного слоя п «2,5. Такие ФШ позволяют создать рисунки с элементами, имеющими размер единицы микрометра, глубина диффузионного слоя при этом не превышает 0,3 мкм. Гибкие ФШ. Для уменьшения дифракционных искажений при контакт- ной печати, обусловленных кривизной соприкасающихся поверхностей, неплотно- стью их контакта, наличием расположенных по полю зазоров переменного раз- мера, могут быть использованы гибкие ФШ (рис. 8.21), имеющие малую толщи- ну подложки: й=20...160 мкм. Такие ФШ позволяют реализовать контактную печать практически без зазоров по всей площади изображения и обеспечить условия экспонирования, приближающиеся к оптическому контакту, что дает возможность получать микронзображения с элементами, имеющими размеры вплоть до 0,4 мкм. Шаблоны такой конструкции обеспечивают создание преци- зионных изображений на больших полях 2р«100 мм. 8.4. Технология металлизированных фотошаблонов Вакуумная металлизация. Метод вакуумной металлизации является ос- новным для формирования маскирующих покрытий ФШ на основе хрома. Паро- масляные системы откачки (0,13-Ю-3 Па) обеспечивают получение качествен- ных маскирующих покрытий прн выполнении условий вакуумной гигиены (влаж- ность 40%, запыленность 2...3 частицы размером менее 0,5 мкм в 1 л воздуха). Загрузка и выгрузка заготовок для ФШ производится с помощью дополнитель- ных пылезащитных боксов, обеспечивающих практическое отсутствие пылинок указанных размеров. Разгерметизация вакуумной камеры осуществляется путем напуска атмосферного обеспыленного воздуха. Для защиты стенок вакуумной ка- меры используется алюминиевая фольга. Следует периодически очищать под- ложкодержатель и проводить профилактическое обеспыливание поверхности сте- нок камеры и оснастки. Маскирующие покрытия ФШ на основе хрома формируются преимуществен- но методами термического испарения и ионного распыления. 312
Перед металлизацией проводят химическую очистку: подложки промывают горячей 10%-ной перекиси водорода с добавлением 25%-ного аммиака, а за- в ( в деионизованной воде, обрабатывают в серной кислоте, передают на кисте- Т®,' отмывку в деионизованной воде и сушат на центрифуге. Хорошие резуль- таты дает обработка подложек в 10%-ной перекиси водорода с добавлением 2 3% муравьиной кислоты в течение 10 мин при 353 К с последующей отмыв- ьой в деионизованной воде. Если обработанные подложки необходимо длитель- но хранить, их на центрифуге покрывают пленкой лака ХСЛ, которую перед металлизацией снимают. Для металлизации при термовакуумном напылении используется чешуйча тый электролитический рафинированный в водороде хром высшей очистки. Че- шуйки хрома измельчаются в алундовой ступке, травятся в соляной кислоте (2 3 мнн), промываются в дистиллированной воде и сушатся при 393...423 К. Навеска хрома загружается в испаритель или танталловую лодочку. Навеска хрома подвергается предварительному отжигу при температуре, близкой к температуре испарения. При этом заслонка закрыта и защищает под- ложки от запыления в момент отжига. Напыление ведется при вакууме не ху- же 6,5 тПа при температуре подложек 373 К н скорости напыления 0,5. .0,8 нм. Напыление хрома может проводиться в один или два (иногда даже три) приема с последующе!, обработкой поверхности пленки протиркой обезжирен- ным батистом или очисткой в ультразвуковой ванне, при которой дефектные участки снимаются. При повторном нанесении пленки эти дефекты устраняют- ся Образующийся межслонный окисел не позволяет кристаллизоваться конденси- рующемуся хрому на гранях кристаллов первого слоя. Новые центры кристал- лизации позволяют получить слой, структурно не связанный с первым, т. е. как бы закрыть дефекты первого слоя. Разгерметизация и дополнительные термо- обработки после каждого напыления вызывают окисление поверхности хрома, поэтому многослойные пленки травятся послойно, причем верхний слой стравли- вается быстрее. После напыления подложку с хромом отжигают при темпера- туре около 673 К для увеличения адгезии; при этом следует контролировать плотность проколов, которая может увеличиваться. В пленке хрома содержатся хаотически расположенные проколы. Их распределение по размерам хорошо описывается нормальным законом. Средний размер прокола составляет 0,5... . 1 мкм. Плотность проколов зависит от обработки поверхности стекла, режи- мов напыления и толщины пленки хрома. Остаточная атмосфера вакуумной камеры и десорбированные газы могут явиться причиной образования макрочастиц на поверхности хромовой навески вследствие окисления и карбидизации ее локальных участков Во время про- цесса испарения часть этих макрочастиц в результате механического разрушения навески переносится потоком пара на подложку. Их внедрение в пленку при- водит к росту числа проколов, ухудшению ее качества. Часть макрочастиц, ос- тавшаяся на навеске, может экранировать поверхность хрома, тем самым из- меняя скорость испарения в течение технологического цикла. С точки зрения исключения рассмотренных явлений приемлемым является метод резистивного испарения и метод, приближенный к условиям взрывного испарения. В оптически плотном испарителе имеется возможность многократного реис- парення на стенках испарителя. Ликвидация непосредственного теплового кон- такта навески хрома с поверхностью испарителя с помощью теплоизоляционных прокладок и применение объемно-плавленой навески хрома позволяют стаби- лизировать скорость испарения хрома, снизить десорбцию газов, исключить пря- мое попадание макрочастиц в пленку. При резистивном испарении, приближенном к условиям взрывного испаре- ния, поверхностные явления на навеске хрома не являются опасными. Скорость испарения задается подачей гранул мельчайшего порошка хрома на высокотем- пературную поверхность испарителя. При использовании указанных методов испарения достигается среднестати- стическая плотность дефектов хромированных фотошаблонных заготовок менее Метод ионного распыления менее критичен к уровню десорбированных га- зов и поверхностным явлениям иа мишени. Но для достижения наилучших тех- 313
Толщина пленки, нм это указывает увеличение ма, которое для пленок, i Рис. 8.22. Зависимость оптической плотности от толщины пленок хрома, полученных методом ион- ного распыления (7), термического испарения (2) и реактивного распыления в газовой смеси аргона и азота (3) нологических результатов необходимо использование мишеней больших площадей. На рис. 8.22 представлена завнснмость оптиче- ской плотности D от толщины пленок хрома. Плен- ки, полученные методами резистивного испарения, ионного и реактивного распыления, различаются со- держанием реактивных примесей в их объеме. На удельного электрического сопротивления пленок хро- олученных ионным распылением в аргоне, составляет 30 мкОм-см, резистивным испарением — 250 мкОм-см, реактивным распылени- ем— 400 мкОм см. При этом коэффициенты отражения света пленок соответст- венно равны 60, 45 и 35%. В процессах электронно-лучевого экспонирования при использовании хроми- рованных заготовок предъявляются повышенные требования к термостойкости си- стемы стекло — маскирующий слой. Пленки, полученные ионным распылением, обладают большей величиной внутренних напряжений, что приводит к их ме- ханическим нарушениям из-за разности ТКР хрома и стекла. В пленках, полу- ченных термическим испарением, наблюдается аналогичная завнснмость, ио при более высоких температурах обработки, что объясняется наличием окнсной фа- зы в пленках и компенсацией внутренних напряжений. Фотошаблоны с маскирующими покрытиями из соединений хрома (нитрида и оксинитрида) характеризуются большим разрешением и точностью по сравне- нию с хромовыми, поскольку эти покрытия имеют более низкий коэффициент отражения света. Их получение возможно методом реактивного ионно-плазмен- ного распыления. Для ФШ используют технологию нанесения покрытия на основе твердого раствора системы хром — азот с составом, близким к стехиометрическому нит- риду Cr2N. Это покрытие имеет отражение света в УФ-областн не более 35%. Адгезия позитивных фоторезистов к покрытиям, содержащим азот, выше, чем к чисто хромовому покрытию. Это повышает точность и снижает уровень дефект- ности ФШ при контактной фотолитографии. При двухслойном покрытии нитрид — окись хрома с переходной областью, представляющей собой окснннтрид переменного состава, коэффициент отраже- ния света 3...5% позволяет получать ФШ с минимальным размером элементов (0,8 .1) ±0,1 мкм. Для максирующих пленок ФШ используют также двухслойное покрытие хром — окись хрома. Травление пленок хрома. Пленки хрома травят в растворе серной, соля- ной или фосфорной кислоты. Пленки хрома, получаемые термовакуумным распылением, химически пас- сивны из-за тонкого слоя окисла, покрывающего их поверхность. Чтобы нача- Рнс. 8 23. Травление пленок хрома в результате мгновенного контакта со стержнем нз Zn или А1 (о), электрода с внешним шунтом (б) и с использо- ванием внешнего источника напряжения (в): 1 — стеклянная пластина, покрытая Сг; 2—раствор НС1 в ванне; 3 — стержень из Zn или Al; i — электрод из инертного металла Pt; 5 — батарея напряжением 9 В 314
нсакция травления, пленка окиси хрома должна быть разрушена, для чего ЛаСтаточно приложить к ней при травлении небольшое напряжение. Аналогич- a°C'i эффект можно получить при прикосновении к пленке электроположительного етатла. При этом образуется гальваническая пара, окисел разрушается и на- чинается процесс травления (рис. 8.23). Для травления пленки хрома подложки погружают в травитель, прикаса- ются к пленке хрома на несколько секунд металлическим стержнем из алюми- ния цинка, олова, магния или их сплавов (можно также погружать в травитель сТружки этих металлов). Обычно при травлении используют (12...16)%-ную со- ляную кислоту и алюминиевый нли цинковый стержень либо следующий тра- тра- витель: Хлористый алюминий............ 454 г Хлористый цинк ....................135 г Ортофосфорная кислота .... 30 мл Вода .................. . 400 мл Можно также для травления использовать состав из 1 части раствора 50 г едкого натра в 100 мл деионизованной воды и 3 частей раствора 100 г фер- роцианида калия (красной кровяной соли) в 300 мл воды. Равномерность травления и точность воспроизведения размеров рисунка улучшаются, если в соляную кислоту добавить небольшие количества (несколь- ко процентов) поверхностного активного вещества СВ-102 (иатрневая соль ди- этилгексилового эфира сульфоянтарной кислоты), СВ-104 (смеси натриевых солей монодитрибутилнафталина-1-сульфокислоты) илн СВ-105 (продукт обработки алкилфенола окисью этилена). Преимуществом растворов HCI является то, что травление начинается не сразу после погружения, а только после того, как оно будет вызвано электри- ческим или электрохимическим способом. Время травления удобнее регулировать внешним потенциалом, прикладываемым к хромовой пленке через контакт на держателе образцов, изготовленных нз инертного металла. При травлении в рас- творах НО трудно возобновить травление пластины, которая была слишком рано вынута из травителя. Травление хромовых пленок возможно с предва- рительной обработкой в 3%-иом водном растворе боргидрида калия (КВН4) в течение 30 с, активирующей поверхность хрома. После обработки в активаторе пленки травят в 25%-ной соляной кислоте, не применяя металлический стер- жень. Для травления хрома используют насыщенный и концентрированный рас- твор А1С13. Травление осуществляется в диапазоне температур 293...253 К с до- бавками металлического алюминия нли цинка, а также без них. На рис. 8.24 приведены зависимости скорости растворения хрома в насыщенном (кривая /) и концентрированном (кривая 2) растворах хлористого алюминия от темпера- туры травителя. Кривые 3 и 4 показывают скорости растворения хрома соот- ветственно в насыщенном и концентрирован- ном растворах А1С1а (с добавками А1) в за- висимости от температуры травителя. Приме- нение индуктора увеличивает скорость травле- ния хрома в растворах А1С1а в два раза. Индуктор, так же как и катализатор, инду- цирует реакцию, однако в отличие от послед- него он расходуется в процессе реакции. Ско- рость травления хрома на шаблонах можно увеличить перемешиванием травильного рас- твора. Типичным щелочным травителем хрома является смесь 1 части раствора 500 г NaON в 1 л дистиллированной воды и 3 частей рас- Рис. 8.24. Зависимости скорости растворения хрома в растворах хлористого алюминия от температуры 315
твора 333 г K3Fe(CN)6 в 1 л дистиллированной воды. Скорость травления 100 нм 1...4 мин. Раствор теряет свои свойства во времени (например, скорость травления снижается на 30% за 4 дня). После операции травления осуществляется обзорный контроль ФШ под мик- роскопом и выборочный контроль размеров. При необходимости возможно не- которое дотравливание окон в травителе на основе церия следующего соста- ва, мл; Церий серно-кислый марки И 200 Соляная кислота ...................... 100 Серная кислота ........................ 10 Вода дистиллированная .... До 1000 Для маскирующих слоев ФШ используют молибден и кремний, получае- мые методом термического напыления. Молибден более пластичен и имеет коэф- фициент отражения при толщине пленки 70...90 нм на 10% меньше, чем хром, что обеспечивает более высокую разрешающую способность. Маскирующие слои молибдена на стекле можно получить методом ионно-плазменного напыления. Для получения высокого фотолитографического разрешения по слою сле- дует обеспечить равномерность его травления по всей площади ФШ. При сравне- нии травления хрома н молибдена необходимо отметить, что молибден тра- вится на стекле более равномерно, так как хром химически взаимодействует с калиевыми и натриевыми компонентами К-8, образуя промежуточные окнслы. Молибден гораздо труднее взаимодействует со стеклом, поэтому легче травится. Оптимальный состав травителя для молибдена: Ks[Fe(CN)6]....................... 200 г NaOH .............................20 г СгОгКаа 3...3.5 г Н2О...............................До 1 л Скорость травления составляет 1 нм/с прн неровности края по полю не более 0,1 мкм. Тонкие пленки молибдена имеют аморфную структуру, что позво- ляет с хорошей воспроизводимостью получать размеры элементов топологии 2 мкм и менее. Химическое осаждение из растворов для получения маскирующих слоев фотошаблонов. Для создания маскирующих слоев ФШ используют химическое осаждение никеля, а также халькогенидов металлов, в том числе сульфида кадмия. Механизм химического нанесения покрытий основан на восстановлении ионов находящегося в растворе металла электронами, источниками которых служит восстановитель. Процессы осаждения проводят в термостатированных стеклянных ваннах, точность поддержания температуры рабочих растворов ± 1 К. Электролиты для осаждения приготовляют на дистиллированной воде из реактивов квалификации «чистые для анализа» (ЧДА). Прочность сцепления н бездефектность пленок, осаждаемых химическим методом, определяются процессами предварительной подготовки, включающими отмывку, сенсибилизацию н активацию поверхности заготовки. Отмывку стекла марки К-8 проводят обезжириванием в органических рас- творителях. Для удаления механических загрязнений применяются щелочи, со- да, перекись водорода, их смеси нли горячая вода. Химические остатки удаляют в растворах сильных окислителей, например бихромата калня в концентриро- ванной серной кислоте, с последующей тщательной отмывкой в воде. Процесс сенсибилизации поверхности стеклянной заготовки предшествует процессу активации. Последний служит для придания поверхности каталитиче- ских свойств, а процесс сенсибилизации — для создания центров кристаллизации каталитических металлов. При проведении процесса сенсибилизации сплошность химического покрытия существенно увеличивается. Сенсибилизация стеклянных поверхностей перед хи- мическим осаждением проводится в растворах хлористых солей олова, а ак- тивация— в растворах хлористого палладия. Суммарный процесс, реализую- 316
йся на поверхности стекла при сенсибилизации и активации, может быть Шедставлеи реакцией Sn2+ + Pd2+->Pd+Sn',+ (на поверхности стекла). Палла- П и восстановившийся на поверхности стекла, служит катализатором практиче- Дки’ для всех химических процессов осаждения. СК Возможно изменение свойств поверхности подложки положительно заря- женными ионами металлов и неметаллов, а также обработка подложки спе- пиачьным сополимером, обладающим ионно-обменными свойствами. При этом механически и химически очищенную и тщательно омытую пластину подвергают воздействию положительно заряженных ионов. Например, в установке типа «Ве- зувий» используют бомбардирующие ионы металла, который должен осаждать- ся химически, например перед химическим никелированием поверхность бомбар- дировали ионами никеля. Используются также «разрушающие» ионы, например ионы бора для подложки из боросиликатного стекла. В первом случае внедрен- ные в поверхность ионы никеля служат псевдоцентрами кристаллизации, свое- образными катализаторами процесса химического никелирования. Бомбардиров- ку стеклянной подложки ионами никеля осуществляют в течение 20...30 мин с энергией 15...20 кэВ. Ионы бора, внедряемые в поверхность, создают микро- участки травления, на которых облегчается процесс химического осаждения никеля из раствора. Бомбардировку стекла ионами бора осуществляют в тече- ние 30..80 мнн при таких же энергиях (15...20 кэВ). Способ подготовки поверхности стекла перед химическим осаждением пу- тем нанесения сополимера также должен улучшить характеристики осаждаемой пленки, если анионные группы сополимера обладают способностью взаимодей- ствовать с ионами металла в растворе, т. е. обладают нонно-обменными свой- ствами. В качестве такого сополимера возможно использование раствора, со- держащего равные количества акрилнитрила и четвертичной соли метилвинил- пиридина (1,2 диметил; 5 винил-пирндиний-метилсульфат). Раствор тонким сло- ем наносят на отмытую поверхность стекла, проводят сушку в термостате в те- чение 10...20 мин прн температуре 373 К. Для придания полимерной пленки свойства нерастворимости в воде осуществляют ее обработку в 30%-ном рас- творе едкого натра с последующей сушкой в течение 15...20 мин при темпе- ратуре 423...473 К. Стекло с нанесенной полимерной пленкой погружают в раствор для химического никелирования, содержащий катионы никеля. Осаждаемая металлическая пленка никеля имеет большую адгезию к поли- мерной пленке, чем к полированному стеклу, за счет сильно выраженной спо- собности сополимера сорбировать ионы металла нз раствора, создавая тем самым устойчивые центры кристаллизации на поверхности. Мелкокристаллическую структуру осадка и высокую адгезию обеспечивает осаждение никеля из кислого раствора состава, г/л: Серно-кислый никель ..... 10...20 Уксусно-кислый натрий .... 5... 10 Гипофосфит натрия .... 5...10 Процесс производится прн температуре 348...353 К и рН=4,5...5. Толщина осажденного слоя никеля составляет 0,05...0,1 мкм и определяется временем выдержки в растворе. Для травления халькогенидных и никелевых пленок пригодны травители, состоящие из смеси HCI (концентрированная) и Н2О в соотношении: 1:3 — для сульфида кадмия; 1 : 1—для сульфида меди; 3: 1—для сульфида свинца. Отражательная способность маскирующего слоя характеризует эффект мно- гократного отражения света между шаблоном и поверхностью, на которую переносится изображение. Для снижения отрицательного влияния этого эффекта проводится минимизация коэффициента отражения света маскирующего слоя в используемом при фотолитографических операциях диапазоне спектрального излучения. Коррекция коэффициента отражения света ФШЗ осуществляется нанесением на основной маскирующий слой хрома антиотражающей пленки окиси хрома. Два способа такой коррекции иллюстрируются на рис. 8 25. Пер- вый из них — сдвиг спектральной характеристики за счет изменения плотности пленки окиси хрома, второй — использование в качестве антиотражающей пленки СгхОу (прн х<2, у<3), у которой значение коэффициента отражения 317
Рис. 8.25. Коррекция коэффициента отра- жения маскирующих слоев ФШЗ при ис- пользовании антиотражающих пленок Сг2О3 с различной плотностью и СгуОу(х<2; Z/<3) света снижается до 5%, что практически исключает влияние многократного отраже- ния света прн переносе микроизображения. Тонкую пленку СгхОу можно нанести не только на поверхность маскирующего слоя хрома, но и на границу стекло — маскиру- ющий слой хрома. В этом случае пленка Рис. 8.26. Эффект многократного отражения в хромированных фотошаблонах с нанесением резистом (Л) и антнрефлекторным покрыти- ем (б): 1 — луч света Х==365 нм; 2 — маска; 3 — хром; 4— ин- терференционная пленка; 5 — слой резиста; 6 — дву- окись кремния; 7 •— кремний Рис. 8.27. Зависимость отражения хромовой пленки толщиной 120 нм от дли- ны волны: 1 — обычно осажденная пленка; 2 — пленка после отжига иа воздухе в течение 2 ч при 698 К СгхОу будет уменьшать значение коэффициента отражения света обратной стороны ФШЗ. Уменьшение толщины маскирующей пленки хрома на ФШЗ позволяет уве- личить точность получения изображения прн ее травлении, поскольку вследствие изотропности химического травления пленок на основе хрома погрешность гео- метрических размеров элементов практически равна толщине этих пленок. При толщине пленки порядка 0,1 мкм можно формировать изображения с мини- мальным размером элемента 1 мкм с точностью ±10%. При этом оптическая плотность ФШЗ составит 3 отн. ед. Защитные противоореольные покрытия. Для снижения отражения света в хромированных ФШ применяют более мягкое или рассеянное освещение. Противоореольные покрытия на внешней стороне хрома увеличивают раз- решающую способность некоторых фоторезистивных материалов. Большая часть ультрафиолетового излучения с длиной волны максимальной спектральной ха- рактеристики проходит через фоторезист (ФР) и отражается на поверхности подложки. На обратном пути значительная часть энергии идет под углом, до- статочным для отражения от внешней стороны ФШ снова в резистивную плен- ку (рнс. 8.26). Процесс многократного отражения продолжается до полного поглощения энергии, что приводит к уменьшению резкости изображения. Это следует учитывать в фоторезистивных пленках для обеспечения высокой раз- решающей способности. Противоореольное покрытие на основе многократной 318
интерференции может быть получено путем отжига хромовых пленок в атмосфере „оздуха при температуре 698 К в течение 2 ч (рис 827). В При контактной печати в процессе фотолитографии ФШ изнашивается. Количество дефектов резко увеличивается с увеличением числа контактных про* печаток. Для увеличения эксплуатационной стойкости ФШ предложено покрывать их поверхность защитной пленкой. Защитное покрытие должно обладать комплек- сом подходящих механических, физико-химических и оптических свойств: малой дефектностью, достаточной механической прочностью, стойкостью к химическому „ механическому воздействию прн отмывке, высокой адгезией к материалу мас- кирующей пленки и низкой — к использующимся в технологии фоторезистам, низким коэффициентом отражения от рабочей поверхности ФШ. Увеличение износостойкости ФШ возможно путем защиты их поверхности износостойкой пленкой, например из нитрида кремния толщиной 100... 1500 нм, улучшающей износоустойчивость в 2...3 раза. Для защиты поверхности ФШ используют пленки из окиси и нитрида кремния, синтетических смол и полимерных покрытий, фосфоро- и боросили- катных стекол. Для получения защитных покрытий на эмульсионных и металлизированных ФШ используют пленки окнси кремния, полученные из растворов гидролизую- щихся соединений. Покрытия наносят на поверхность ФШ центрифугированием пленкообразующих растворов кремнийорганическнх соединений с последующей термообработкой. Установлено, что плоскостность пластин фотостекла тол- щиной 2...3 мм не изменяется при нагревании до 573 К в пределах ±0,5 мкм. Для эмульсионных ФШ размеры элементов остаются постоянными в пределах ошибки измерения (±0,2 мкм) до температуры 473 К, для хромовых — до 573 К. После отжига поверхность ФШ с защитным слоем модифицируют в растворах или парах кремнийорганическнх соединений. При обработке ФШ в парах или органических растворах алкилалкоксиси- ланов, алкилгаллоидосиланов, сиоксанов и т. п. активные группы этих соедине- ний реагируют с остаточными гидроксильными группами н адсорбированными молекулами воды на поверхности ФШ. Неполярные алкильные радикалы ориентируются при этом в сторону окружающей среды, что придает поверх- ности гидрофобные свойства и резко снижает адгезию полимеров с полярными группами. В табл. 8.5 приводятся данные по изменению краевого угла смачивания поверхности ФШ водой и позитивным фоторезистом ФП-617 после обработки различными антиадгезивами. Аналогичного эффекта можно достичь, введя различные добавки в растворы при нанесении защитных покрытий. Из таблицы видно, что добавки алкооксисоединений типа Si(OC2H5)3 также уменьшают ад- гезию фоторезиста к защитному покрытию, однако в меньшей степени, чем мо- дификация поверхности уже готового покрытия. Наиболее эффективной явля- ется обработка в парах диметилдихлорсилана. Алкилирование поверхности одновременно снижает электрическую состав- ляющую адгезии частиц пыли, имеющих положительный заряд, так как при этом усиливаются акцепторные свойства поверхности. Фотошаблоны с защитным слоем и модифипированной поверхностью легче мыть и сушить, к ним меньше прилипает пыль. Установлено, что допустимое число контактов для ФШ с за- щитным слоем в 2...3 раза больше, чем для незащищенных. Износоустойчивость хромовых ФШ также возрастает примерно вдвое. Кро- ме того, защитные пленки, нанесенные из растворов на хромовые ФШ, являются еще и просветляющими. Коэффициент отражения света от термически напылен- ного хрома снижается более чем в три раза при нанесении пленки SiO2 тол- щиной 50...60 нм (Л=400 нм). В качестве защитных покрытий для шаблонов, например хромовых, с целью увеличения их износостойкости н долговечности используют пленки фоторези- стов, облученных ионным потоком. Если пленки приобретают и необходимый комплекс оптических свойств, то они применяются непосредственно для изго- товления ФШ с высоким разрешением. При эксплуатации ФШ подвергаются абразивному износу: появляются ца- рапины и всевозможные нарушения сплошности, что делает ФШ непригодными 319
Таблица 8.5. Значение краевых углов смачивания при различных вилах обработки поверхности фотошаблонов Обработка Поверхностные группы eH,O- град еФП> град Нанесение защитного слоя из растворов тетраэтоксисилана: отжиг при Т=473 К / sSi-OH 1 sSi-OH 35 0 отжиг при Т=573 К = Si—ОН 40 0 с добавками: СН2=С—Si(OC2H5)3 7 ==Si-OH 1 = Si-CH=CH2 70 3...4 CMH2ySi (ОС2Н5) 3 ( ==Si-OH 1 ™Si—C14H39 70... 15...20 моносилилкарборана (защит- 7 = Si—ОН 90 1...2 ный слой боросиликатного стек- ла) 1 =в-он Обработка после нанесения за- щитного слоя в растворах: (CH3)2SiCI2 в бензоле, 5 об. долей, % = Si<CH3 \ch3 70 30 (CH3)9SiCI2 в бензоле, 10 об. долей, % 90 40 (CH3)3SiC1 в бензоле, 5 об. /СНз долей, % —Si—СН3 70 20 ^СНз (C2H5)3SiCl в бензоле, 5 об. долей, % /С2н5 65 25 (C2Hs)3SiCI в н-бутиловом —Si—C2H5 50 спирте, 5 об. долей, % C2H5 5 CuHsgSijOC^HsJa бензоле, 0,1 масс, долей, % = Si—СцНзд 55 10 в парах (CH3)2SiCI2 -Si /СНз -S' \СН3 100 50 для дальнейшего использования. В качестве абразива чаще всего выступают мелкие частицы кремния, обладающего высокой твердостью (микротвердость кремния //=1,2-10'° Н/м2). Износостойкость твердых тел при абразивном из- носе определяется прежде всего их твердостью, причем зависимость эта — ли- нейная Поэтому износостойкость материалов может быть оценена по их твер- дости. Однако, если эти пленки нанесены на твердые подложки, их износостой- кость зависит не только от твердости, но и от адгезии пленок к подложкам. Для комплексной оценки износостойкости пленок используют метод царапания пленки с твердым индентером, гладко заточенным на сферу с малым радиусом кривизны, например корундовым индентером с радиусом кривизны рабочего наконечника 30 мкм и микротвердостью /7=2,0-1010 Н/м2. За комплексную характеристику прочностных свойств пленок принимают критическую вертикаль- ную нагрузку на индентер, при которой в перемещаемой под ним пленке воз- никает царапина. 320
ai Рис. 8.28. Спектральная зависимость оптического пропускания обработанных нонами В+ (в) и Р+ (б) пленок фоторезистов различной толщины: 1 >— 0,2 мкм; 2 — 0.4 мкм; 3 — 0.6 мкм; 4 — 0.8 мкм Для повышения прочности пленок фоторезиста производят обработку ионами Р+ и В+. После облучения твердость пленок увеличивается. Наибольше- му упрочнению подвергаются наиболее тонкие пленки. Эффект упрочнения сни- жается примерно пропорционально толщине пленок. Для пленок, толщина ко- торых превышает 0,6 мкм, упрочнение перестает зависеть от толщины и ока- зывается относительно невысоким. Пленки фоторезистов (PH-7, РН-617, ФП-383) толщиной 0,4 мкм, обрабо- танные ионами Р+, приобретают относительную твердость, значительно пре- вышающую твердость не только пленок хрома, но и пленок окиси железа. Ме- нее четкая картина зависимости упрочнения пленок от толщины получена на пленках фоторезистов, обработанных ионами В+ при тех же энергии и дозе облучения. Высокая износостойкость пленок фоторезистов, облученных иона ми Р+, позволяет рекомендовать их для защиты ФШ. Возможно изготовление ФШ непосредственно на основе таких пленок, тем более, что при малых тол- щинах, которые они имеют, может существенно увеличиться их разрешающая способность. На рис. 8.28 приведены типичные спектральные кривые пропускания пле- нок фоторезистов в диапазоне длин волн 350...750 нм после облучения их ионами В+ и Р+ с £=120 кэВ и D= 1000 мкКл. Толщина пленок 0,2...1,1 мкм. Пленки толщиной 0,4 мкм после обработки ионами В+ и Р+ оказываются прак- тически непрозрачными в ультрафиолетовой части спектра. Поэтому они могут быть использованы в качестве самостоятельного материала для изготовления ФШ. Процесс изготовления ФШ включает фотолитографию и ионно-лучевую обработку. Дозы ионов, достаточные для упрочнения пленок ФР, практически не влияют на оптические свойства стеклянных подложек, что обеспечивает кон- трастность изображения. Получение бездефектной пленки ФР малой толщины приводит к ужесточе- нию требований к условиям его нанесения, необходимости выбора подходящего растворителя и подготовки поверхности стеклянной подложки. На рис. 8.29 приведены кривые зависимости прозрачности (коэффициента оптического пропускания Т=1/1о, %) пленок ФР, облученных ионами В+ и Р+, от толщины для двух длин волн (600 и 400 нм) и двух доз облучения (1000 и 2000 мкКл). Из данных рис. 8.29 можно определить коэффициент поглоще- 21 Заказ № 1270 321
О 0,2 0,4 0,6 0,8 О.мкм а) Рис. 8 29. Зависимость коэффициента оптического пропускания пленок фото- резистов, обработанных нонами В+ (а) и Р+ (б), от толщины на фиксиро- ванных длинах волн (кривые 1,2 — 600 мм; кривые 3, 4 — 400 нм) при раз- личных дозах облучения (кривые 1, 3—1000 мкм; кривые 2, 4 — 2000 мкКл) и энергии пучка ионов В+ и Р+ 120 кэВ ния света а исследованных фоторезистов. Для длины волны 7ц=600 нм он оказался равным сцжЮ5 см-1, для Х2=400 нм а2~ (2...3) • 105 см-1. Возможны два пути изготовления ФШ. Первый состоит в том, что в тра- диционном способе изготовления ФШ заключительный этап — удаление фоторе- зиста— заменяется его ионно-лучевой обработкой. Второй путь — удаление старого ФР, нанесение нового слоя, проведение ФЛ в соответствии с топологией рисунка на ФШ и затем ионно-лучевая обработка. 8.5. Технология цветных фотошаблонов Для уменьшения эффектов отражения света применяют цветные ФШ, в ко- торых используются пленочные покрытия диэлектриками или полупроводника- ми. Лучшую спектральную характеристику имеют цветные ФШ на основе окиси железа (рис. 8.30). Окись железа непроницаема для излучения тех длин волн, к которым чувствительны обычные фоторезисты. В отличие от пленок хрома и фотоэмульсии опа прозрачна на более длинных волнах, что облегчает процесс совмещения и препятствует экспонированию фоторезиста. Для воспроизведения геометрических размеров элементов на ФШ и обес- печения однородного травления пленки окиси железа оптическая плотность должна составлять 2,15±0,15. На длине волны 420 нм ей соответствует толщина пленки 220±20 нм. Отражающая способность пленок окиси железа гораздо меньше, чем хрома (рис. 8.31), что повышает разрешающую способность фотолитографического процесса и увеличивает резкость края изображения в фоторезисте на пластине. 322
Рис. 8.31. Отражательная способ- ность различных материалов, при- меняемых в качестве маскирующих слоев фотошаблонов Рис. 8.30. Спектры поглощения пле- нок различных окислов: /—окись железа (180 им); 2 — окись ва- надия (80 им); 3 — окись никеля (470 нм); 4 — смесь окислов железа и ванадия, по- лученная распылением мишеии из 25% железа + 75% ванадия (386 нм); 5 — смесь окислов железа и ванадия, полученная распылением мишени из 10% железа+ + 90% ванадия (315 нм); б — смесь окис- лов железа и иикеля, полученная распы- лением мишени из 65% железа + 35% ни- келя (370 нм) Полупрозрачные цветные ФШ на основе окиси железа обеспечивают простоту совмещения, имеют низкие уровни дефектов и значительно большее сопро- тивление истиранию, чем хромовые ФШ (табл. 8.6). Основные этапы изготовления цветных ФШ на основе окиси железа при- ведены на рис. 8.32. Рис. 8.32. Схема процесса изготовления цветных фотошаблонов на основе окиси железа 21* 323
Таблица 8.6. Сравнительная характеристика цветных и хромовых фотошаблонов Параметр Хромовые ФШ Цветные ФШ на основе ст халькогеии- ге2О8 SiO2 дов Толщина маскирующего слоя, мкм Минимальная ширина линии, мкм Количество проколов на 1 см2 Износоустойчивость (число ХО- ДОВ резца) Отражение на длине волны 440 нм, % 0,2 0,15 0,4 0,4 2 111 0,4 4 4 0,4 100 800 400 60 80 15 20 15 Фотошаблоны на основе пленок окиси железа. Для осаждения тонких пле- нок окиси железа используют химическое разложение пентакарбонила железа в паровой фазе, разложение металлоорганических соединений и реактивное ка- тодное распыление. Пленки окисн железа получают разложением пентакарбоннла железа иа нагретой до 473 К поверхности стекла путем термического разложения пента- карбонила железа в атмосфере аргона, углекислого газа, кислорода и кисло- рода с парами воды прн различных скоростях потока газа. В атмосфере аргона и углекислого газа скорость осаждения мала; в атмосфере кислорода она зна- чительно выше и пропорциональна количеству поступающего в камеру пентакар- боиила железа. Наличие паров воды в атмосфере кислорода снижает скорость осаждения пленки. Пленки, полученные при температуре подложки 433 К, травятся в водном растворе соляной кислоты при температуре 298...323К, в то время как осаж- денные пленки при более высоких температурах практически не травятся. Полу- ченные пленки интенсивно поглощают излучение в области спектра, к которой чувствителен ФР, и умеренно пропускают в видимой части спектра. Оптималь- ная толщина пленок составляет 180 нм, обеспечивая оптическую плотность 2 на длине волны 400 нм и 0,3 на 600 нм. Разложением металлоорганических соединений в окислительной атмосфере получают пленки окислов ряда металлов (железа, кадмия, никеля, хрома, мар- ганца, ванадия, кобальта, молибдена, титана, вольфрама). Пленки окиси же- леза толщиной 100...200 им не пропускают 5% света на длине волны 400 нм и 1% на длине волны ниже 380 нм. Для травления пленок окиси железа применяют фосфорную кислоту при температуре выше 373 К. Для получения пленок окиси железа методом распыления используют метод реактивного катодного ВЧ-распыления. Скорость нанесения пленок окиси железа изменяется в широких пределах (0,05...0,66 нм/с) в зависимости от состава ре- акционного газа (аргон, кислород, моно- и двуокись углерода), типа распы- ляемой мишени, а также величины и вида прикладываемой мощности. Макси- мальные скорости нанесения получены при распылении мишени из железа и небольших соотношениях СО/СОг- Высокочастотное распыление мишени из окиси железа, ВЧ-распыление и распыление на постоянном токе в смеси СО+СОа мишени из железа обеспечи- вает создание пленок окиси железа с достаточно высокой скоростью растворе- ния в 6-молярной соляной кислоте и спектром пропускания, пригодным для применения их в производстве цветных ФШ (табл. 8.7). Пленки окиси железа травят в кислотно-восстановительных средах. Хорошие результаты дает травитель, состоящий из насыщенного раствора йодистого калия в 250 мл воды и 50 г хлорного железа в 750 мл воды, которые перед употреблением смешивают. 324
Таблица 8.7. Характеристика пленок окиси железа, полученных различными методами Метод получения Площадь сканирования, см2 Плотность дефектов, см“2 Диаметр дефекта» мкм ——— ' ' Химическое осаждение из паро- вой фазы Высокочастотное распыление в смеси 80 % СО4-20 % СО2 Высокочастотное распыление в смеси 80°/оС04-20%С02 с предва- рительной очисткой поверхности стекла травлением (снятие слоя стекла толщиной 60 нм) 3,0 200 1 0.6 60 0,5 0,6 33 0,5 При ионном травлении получают также хорошие результаты. Скорость травления при энергии ионов аргона 2...3 кэВ составляет 0,025...0,03 мкм/мин. При ионном травлении следует применять фоторезист ФП-617, так как ФР других марок не выдерживают воздействия ионного пучка. Для изготовления прецизионных ФШ с железоокисным маскирующим сло- ем, получаемым методом ионного распыления железа в кислородосодержащей атмосфере или путем окислительного пиролиза пентакарбонила железа, разра- ботан травитель состава (в расчете на 1000 мл раствора): Кислота соляная.............. 750 мл Вода дистиллированная .... 250 мл Железо двухлористое (РеС1^-4НгО) ПО г Олово двухлористое (SnCl2-2H2O) (ЧДА).........................22 г Полученный раствор фильтруется через кислотостойкий фильтр с разме- ром пор менее 0,5 мкм. Хранить травитель рекомендуется в плотно закрытом сосуде из темного стекла, оставляя по возможности меньший объем воздуха над его поверхностью. Благодаря прозрачности и бесцветности концентрированного раствора травитель удобен для визуального контроля процесса травления. Им можно обработать более 1000 пластин в 1000 мл раствора, срок хранения неиспользованного раствора до 2 недель. По изменению окраски травителя, связанному с накоплением в нем FeCK, можно судить о его работоспособности. Желтый цвет свидетельствует о начале окисления (истощения) активатора, однако скорость травления в таком раство- ре изменяется несущественно. При значительном истощении (старении) трави- теля он приобретает интенсивную оранжевую окраску. Такой раствор можно регенерировать путем добавления в него небольшого количества двухлористого олова. Феррохлоридный травитель обеспечивает равномерную скорость травле- ния железоокисных слоев (4...6 нм/с), позволяющую за 45...60 с полностью стравить пленки толщиной около 200 нм, обеспечивая разрешение 1...1.5 мкм при неровности края элементов не хуже 0,2 мкм. Пленки окиси железа обладают таким весьма полезным свойством, как возможность создания на обычно растворимой пленке локально нерастворимых участков при их обработке электронным или лазерным лучом. Таким образом, на пленку окиси железа можно нанести рисунок, не прибегая к обычной фото- литографии, причем с помощью электронного луча обеспечивается большее разрешение рисунка. Фотошаблоны па основе пленок кремния. Высокую однородность для цвет- ных ФШ обеспечивают пленки кремния толщиной 75...80 нм. Пленки кремния получают вакуумным напылением, реактивным распылением, осаждением из 325
a) Подломка из прозрачною стекла Напыление ТраВление Удаление фоторезиста Процесс фотолитографии Рис. 8.33 Схема процесса изготовления фотошаблона по традиционной техно- логии (о) и по методу фирмы «Ниппон дэнки» (б) паровой фазы. Пленки кремния обеспечивают получение элементов размерами до 1 мкм При изготовлении цветных ФШ фоторезист на пленки кремния, как и на пленки окиси железа, следует наносить сразу же после осаждения пленок. Если такая пленка находится долго на воздухе, рекомендуется обрабатывать ФШ перед нанесением ФР в спиртосодержащих составах или сразу защищать специальным покрытием, удаляемым перед фотолитографией. Плохая адгезия кремниевых пленок имеет и положительную сторону, при эксплуатации к таким ФШ не прилипает фоторезист, они меньше загрязняются и не требуют столь частой отмывки, как хромированные (после 10...20 контактов). Фотошаблоны на пленках окиси железа и кремния обладают высокой износоустойчивостью: в 4...5 раз больше, чем хромированные, т. е. позволяют осуществлять до 500 кон- тактов. Фотошаблоны на основе пленок фоторезиста. Для изготовления ФШ ис- пользуют технологию, основанную на ионном легировании фоторезиста (рис. 8 33). Если фоторезист легировать, например, простыми ионами 81Р+, 40Лг+, ИВ+ или ионами сложных молекул <9ВЕг+, то по мере увеличения дозы леги- рования пленка без возникновения дефектов будет постепенно менять твердость за счет науглероживания и чернеть, причем этот эффект тем заметнее, чем вы- Рис. 8.34. Спектральные характеристики пленки фоторезиста толщиной 430 нм до ионного ле- гирования (/) и после легирования ионами фос- фора с энергией 120 кэВ и дозой I 10" (2), 3 10" (5) и 1 10" см 2 (4) 326
е масса ионов при тех же ускоряющих напряжениях и дозе легирования. Черненные участки топологии станут непрозрачной частью шаблона, которая не пропускает УФ-лучи (рис. 8.34). Стеклянная подложка в процессе ионного легирования не меняет своих характеристик, оставаясь прозрачной как для УФ, так и для видимых лучей, и становится светлой частью шаблона. Фотошаблоны на основе халькогенидов. Для увеличения износоустойчивости и уменьшения дефектности ФШ в качестве защитных покрытий используют халь- когенидные маскирующие слои. В табл. 8.8 представлены сравнительные харак- теристики износоустойчивости и дефектности халькогенидных маскирующих ма- териалов, применяемых для изготовления ФШ, до и после нанесения защитного слоя, а также изменение размеров элементов рисунка ФШ с сульфидомолибде- новым покрытием. Износоустойчивость оценивается на установке по количеству двойных ходов ползуна с абразивом (сукно) и визуальному наблюдению сплошности покрытия; дефектность определятся подсчетом числа проколов на единицу поверхности покрытия под микроскопом типа МБС-9 (Х32). Особен- ностью защитного покрытия из сульфида молибдена, получаемого химическим осаждением из водного раствора, является его свойство осаждаться только на поверхность маскирующего материала, например сульфида свинца, образующего рисунок ФШ, и не покрывать пробельные места — основу ФШ (стекло, кварц, сапфир) (рис. 8.35). Таблица 8.8. Свойства халькогенидных маскирующих покрытий фотошаблонов Параметр Материал маскирующего покрытия Сульфид меди Сульфид свинца Сульфид кадмия Кадмий- свмнец- сера Сульфид молибде- на 1 Без защитного покрытия: износоустойчивость, отн. ед. дефектность, см-2 С защитным покрытием: износоустойчивость, отн. ед. дефектность, см-2 изменение размеров элементов рисунка, % 0,12 1,8 2 2,4 6,2* 3...5 150 5...6 2...3 0,7...0,8 0,13 2,4 2,1 4 — 2...4 120 4...5 1...2 — 2,5 0,5 18,4 3,9 — * Пленка сульфида молибдена нанесена на поверхность стекла, активированного в рас- творах SnCl2 и PdCla. Рис. 8.35. Фотошаблон с защитным покры- тием: 1— защитное покрытие (сульфид молибдена); 2— маскирующее покрытие (рисунок фотошаблона); 3 — основа фотошаблона (стекло, кварц) Рис. 8.36. Спектральная характеристика диазофотопластин: / — проявленный неэкспонированный участок; 2— проявленный проэкспонированный уча- сток; 3 — непроявленная неэкспонированная пластина 327
Фотошаблоны на основе диазотипных материалов. Для изготовления цвет- ных ФШ используют также диазофототехнологию. Возможность получения фо- тографических изображений на основе диазотипных материалов обеспечивается благодаря двум важнейшим свойствам: способности к образованию азокрасите- лей при взаимодействии с веществами фенольного характера в щелочной среде и чувствительности к свету, под действием которого диазосоединение разлага- ется, образуя вещество, неспособное в дальнейшем к реакции азосочетания, т. е. к образованию красителя. Суть технологии состоит в следующем: если раствор полимера, содержащий диазосоединение и азосоставляющую, нанести на какую-либо поверхность, нро- экспонировать под оригиналом и обработать парами аммиака, то места, соот- ветствующие прозрачным участкам оригинала, останутся бесцветными, а соответ- ствующие непрозрачным участкам—окрашенными. Диазотипный материал состоит из подложки и полимерного слоя, содержащего диазосоединение и азосоставляющую (как правило, стабилизатор, а иногда — пластификатор). Спектральная чувствительность диазоматериала определяется структурой диазо- соединения. По своей общей светочувствительности диазоматериалы могут от- личаться в десятки раз. Путем подбора соответствующих азосоставляющих или их комбинаций может быть получен краситель практически любого спектраль- ного состава. Разрешающая способность диазоматериала не зависит от структуры диазо- соединения и часто определяется свойствами среды, образующей светочувстви- тельный диазослой. На рис. 8.36 показаны спектральные характеристики прояв- ленных и непроявленных диазофотопластин. При изготовлении ФШ стекла предварительно тщательно отмываются от жировых и других загрязнений при физико-химической активации поверхности стекла ионно-плазменным способом. Разработана трехслойная структура диазо- тинного материала, предусматривающая наличие подслоя, например пленки по- ливинил-бутираля, обеспечивающей хорошую адгезию к стеклу диазосветочув- ствительного слоя на основе поливинилэтилаля и покровного слоя на основе пентафталевой смолы с отвердителем, увеличивающего тиражестойкость ФШ. Для нанесения и сушки рабочих слоев используют методы: экструзионные и центрифугирование. Для пластин размерами 102x102 мм предпочтительнее центрифугирование. Для изготовления диазофотопластин большого формата ра- циональнее экструзионный полив. В этом случае применяют лазерную резку пластин на нужный формат в режиме термораскола, обеспечивающую отсутствие стеклянной крошки. Сушку политых диазофотопластин (ДФП) осуществляют в термошкафу или проводят ИК-сушку, экспериментально определяя оптимальное время н температуру сушки подслоя, диазослоя и защитного слоя. Получены ДФП, на которых изготовлены диазофотошаблоны БИС со сле- дующими эксплуатационными характеристиками: минимальный размер элемента 3 мкм (в экспериментах получены пропечатки линий шириной 0,75 мкм); пло- щадь кристалла 0,25 см2; копировальная оптическая плотность более 2,0 в ин- тервале волн 400...450 нм; минимальная оптическая плотность менее 0,2 в ин- тервале волн 400...5000 нм; резкость края изображения 0,3 мкм; дефектность менее 10% модулей (при исходной дефектности не хуже 0,7 см-2); тираже- стойкость 20 контактов; размер ФШ 102x102 мм. 8.6. Контроль параметров фотошаблонов. Основные виды дефектов ФШ и их определение Контроль при изготовлении шаблонов является весьма ответственной опе- рацией. Измерение ширины линий и плотности дефектов дает информацию, на основании которой шаблон либо признают годным, либо бракуют. Ширину линий измеряют на просвет визуально или с помощью автоматизи- рованной телевизионной аппаратуры. Точность метода измерения определяется как среднеквадратическое отклонение о для п замеров Xi на одном элементе п рисунка: о= ( S (X,— А)2/п)'/2, где X — среднее для измеренных значений. При 1 = 1 328
Рис. 8.37. Структурная схема оптико-механического устройства оптическом измерении ширины линий на шаблонах с пленкой хрома типичные значения точности составляют 0,1.. 0,3 мкм. Контроль размеров элементов ФШ. Для автоматизированного контроля размеров элементов топологии хромированных, железоокисных и эмульсионных ФШ в условиях массового производства микросхем предназначена установка ЭМ-557. Установка состоит из оптико-механического устройства (рис. 8.37) и стойки управления. В состав оптико-механического устройства входит осветитель, двух- координатный стол с датчиками линейного перемещения и оптический блок с фотоэлектрическим каналом. Оптико-механнческое устройство служит рабочим местом оператора и имеет пульты управления и индикации, проектор с увели- чением 100х для обзора контролируемых модулей и микроскоп с увеличением 625х для наблюдения контролируемых элементов. Стойка управления установки ЭМ-557 выполнена на базе вычислительного комплекса, основу которого со- ставляет микроЭВМ «Электроника 60», предназначенная для управления рабо- той установки, обработки измерительной информации и выдачи результатов на цифропечатающее устройство. Установка ЭМ-557 работает по принципу фотометрирования изображения контролируемого элемента при перемещении каретки с ФШ. Отсчет координат каретки ведется с помощью датчиков линейных перемещений, ширина элемента определяется автоматически посредством цифровой обработки сигнала фотомет- 329
рироваяня. Контролируемый ФШ помещается маскирующим покрытием вверх в специальную рамку, которая вручную задвигается в приемное устройство каретки координатного стола, ФШ при этом присасывается вверх с помощью вакуума к опорной плоскости. Осветитель 12 (рис. 8.37) с лампой накаливания КГМ-9-70 освещает сни- зу ФШ 9 в проходящем свете. Световой поток, прошедший ФШ, попадает через микрообъектив 8 с числовой апертурой Л'Л=0,65 в оптический блок. Изображение освещенного участка ФШ строится, во-первых, в плоскости ана- лизирующей щели, выполненной на пластине 4 с зеркальным покрытием, во- вторых, на экране проектора 5. Анализирующая щель совмещена с центром поля изображения микрообъектива, а ее размеры, приведенные к плоскости контролируемого ФШ, составляют 0,2x3 мкм. Световой поток, прошедший че- рез щель, попадает на фотоэлектронный умножитель ФЭУ-84 3. Световой поток, отраженный от пластины 4, направляется через двухком- понентную систему 6 в визуальный канал микроскопа (бинокулярная насад- ка 7), где строится изображение топологии ФШ совместно с изображением анализирующей щели. Зеркальный элемент 4, фотоэлектронный умножитель 3 и первый компонент двухкомпонентной системы 6 с параллельным ходом лучей закреплены совместно на каретке механизма точной фокусировки /, поэтому изображение анализирующей щели в визуальном канале микроскопа остается резким прн любом положении механизма фокусировки с шаговым приводом 2. Координатный стол выполнен с использованием технических решений, ха- рактерных для нового поколения прецизионного оптико-механического обору- дования. Он состоит из двухкоординатной каретки 10 на воздушной подушке (без направляющих) с линейным шаговым приводом 13 и датчиками линейных перемещений 11 с дифракционными решетками, включенными в качестве дат- чиков обратной связи в следующую систему управления положением каретки по координатам х, у и углу разворота <р. Контроль совмещаемости фотошаблонов. Методы контроля совмещаемости ФШ основаны на их наблюдении с помощью микроскопа сравнения, обеспечи- вающего оптическое наложение друг на друга двух ФШ, окрашенных в допол- нительные цвета (красный и зеленый). Совмещенные участки изображений наблюдаются в нейтральном цвете, несовмещенные — как окрашенные изобра- жения. Измерение рассовмещаемости контролируемых ФШ проводится в плос- кости изображения с помощью микрометров, встроенных в оптические каналы микроскопа сравнения. Рис. 8.38. Оптическая схема установки контро- ля совмещаемости: 1 — лампа; 2 — затвор; 3 —• конденсатор; 4 — контроли- руемый фотошаблон: 5 —• объектив; 6 — зеркало; 7 — блок наложения изображе- ний; 8 <— объектив фотоэлек- трического микроскопа: 9 — анализирующая диафрагма; 10 — фотоприемник 330
Таблица 8.9. Дефекты, возникающие в процессе фотолитографии Вил дефекта Условия наблюдения, описание Возможные причины 1 Подтравливание ма- скипуюшего слоя под Под микроскопом при Проявитель слишком агрес- увеличении X100 в про- сивный: пленкой ФР ходящем свете наблюда- ется «ободок» (клин травления) под фоторе- зистивной пленкой вслед- ствие проникновения травителя а) велика концентрация; б) реактив слишком акти- вен; в) вязкость раствора. Травитель слишком агрес- сивный: а) велика концентрация; б) реактив слишком акти- вен. 2 Уменьшение разме- Под микроскопом в от- Переэкснозиция. Плохой ра элементов микро раженном свете наблю- контакт (контактная фото- изображения дается рыхлый край фоторезиста, клин про- явления, «ласточкины хвосты» во внешних уг- лах, закрытых ФР эле- ментов литография). Оптическая резкость не подобрана (проекционная ФЛ) 3. Увеличение разме- Кроме соответствующих Мала экспозиция. Мала ров элементов микро- результатов измерения концентрация проявите- изображения под микроскопом могут наблюдаться увеличен- ные элементы часто в клинном прояв тении и монослое недопроязген- ного резиста по краям ля. Велика вязкость прояви- теля. Реактив малоактивен. Недостаточная оптическая резкость изображения (про- екционная ФЛ) 4. «Нерезкость» изо- Одни и те же элементы Пластины неплотно разме- бражения или нерав- в разных модулях вы- щаются на посадочном ме- номерные уходы раз- полнены с различной сте (проекционная ФЛ) мера по рабочему по- четкостью или измерение Неравномерная освещен- лю пластины размеров одного и того же элемента в разных модулях дает неодина- ковый результат кость рабочего поля. Пло- хой контакт эталона с пла- стиной (контактная ФЛ). Неплоскостность поверхно- сти выше нормы 5. Невытравленные Островки невытравлен- Воздушные пузырьки, обра- точки ного маскирующего слоя наблюдаются под микро- скопом при увеличении Х200 зующиеся при погружении пластины в раствор как проявителя, так и травите- ля, затрудняющие доступ этих растворов к пленке ФР или маскирующего слоя. Пылинки на промежуточ- ном ФШ и на оптических деталях фотоповторителя, экранирующих фоторези- стовую пленку от засвет- ки (проекционная ФЛ). В контактной ФЛ пылинки, вдавленные в фоторезисто- вую пленку. В контактной ФЛ пылинки, частицы ФР, 331
Продолжение табл. 8.9 Вид дефекта Условия наблюдения, описание Возможные причины прилипшие к эталонному ФШ при повторном экспо- нировании (без отмывки, экранируют участки фото- резистовой пленки от за- светки. Дефект «промежу- точного» ФШ в проекцион- ной ФЛ. Дефекты оптиче- ской системы осветителя. Дефект эталонного ФШ в контактной ФЛ. Жировые отпечатки пальцев н другие загрязнения; механические частицы в неотфильтрован- ных рабочих растворах 6. «Вырывы», «ОТЛИ- ВЫ» Проколы часто крупные, почти всегда с остатка- ми маскирующего слоя внутри (при контактной ФЛ) Слишком высокое давление в контактной рамке уста- новки экспонирования. Пы- линки, частицы ФР, прилип- шие к эталонному ФШ при неоднократных контактных экспонированиях без отмыв- ки, продавливают фоторези- стовую пленку 7. Неровный край эле- ментов изображения Там, где должна быть прямая линия, край эле- мента «пилообразный», волнистый и т. д. Загрязнен эталонный ФШ, особенно при отмывке сал- фетками, смоченными аце- тоном или другим раство- рителем, Дефект эталонно- го ФШ. Дефект оптической системы осветителя. Пере- проявление. Перетравлива- ние 8 Растрескивание пленки ФР Трещины по всей плен- ке ФР или трещины в углах элементов Возникновение напряже- ний в высококонтрастной пленке на основе резольных смол при проявлении в кон- центрированном проявителе 9. «Двойной» край элементов изображе- ния Прн контактной ФЛ по ФР параллельно истин- ному четкому краю на- блюдается проявленное изображение второй (ча- сто штриховой) линии. При этом возможны «ла- сточкины хвосты» Оптическая плотность эта- лонного ФШ ниже 1,8 (при 400 нм), особенно критичны ФШ с железоокнсным мас- кирующим слоем. Переэкс- позиция при работе с эта- лонным ФШ малой опта, ческой плотности 332
Окончание т а б л. 8.9 Вид дефекта Условия наблюдения* описание Возможные причины 10. Несовмещаемость ФШ в комплекте Осевые линии X и У фигур совмещения рас- ходятся на величину бо- лее допустимой Качество контрольного ФШ не соответствуют оптималь- ным критериям. Установка совмещения имеет случай- ный собственный сдвиг по осям вследствие ремонта, наладки и т. д. Фотошаб- лоны одного комплекта из- готовлены на машинах, имеющих различный сдвиг по осям х и у (ромбовид- ность) Высокая точность контроля обеспечивается методом контроля совмещаемости в плоскости предметов с помощью фотоэлектрического микроскопа (ФЭМ) и датчиков линейного перемещения. В состав такой установки входит двухкоординатный стол на аэростатиче- ских направляющих, имеющий две позиции для установления контролируемой пары ФШ. Перемещение стола производится линейными двигателями постоян- ного тока, а измерение перемещения — датчиками на дифракционных решет- ках. Упрощенная оптическая схема установки показана на рис. 8.38. Контроль совмещаемости, заключающийся в измерении взаимного положе- ния знаков или элементов топологии контролируемых ФШ, проводится по двум оптическим каналам. Для этого край выбранного знака при перемещении ко- ординатного стола подводится под оптическую ось фотоэлектронного микро- скопа. В момент совмещения края с оптической осью ФЭМ вырабатывает им- пульс наведения, по которому производится отсчет координаты положения стола. Рис. 8.39. Траектория обхода топологии фото- шаблонов при контроле: а — «змейка»; б — «крест» Рис. 8.40. Виды дефектов фотошаблона: / — недотравы; — проколы; 1 — темная точка; 2 — выступ; 3 —перемычка (закоротка); 4 —неров- ность края; 5 — светлая точка; 6 — вырыв; 7 — раз- рыв; 8 — царапина 333
При контроле совмещаемости на рабочем поле ФШ возможны два вари- анта траектории обхода: «змейка» (рис. 8.39,а) и «крест» (рис. 8.39,6). В пер. вом случае контроль совмещаемости может проводиться по произвольному числу модулей, во втором — по пяти модулям. Перед началом работы контролируемые ФШ автоматически ориентируются по жесткой программе. Предварительно оператор выбирает знаки (реперные или элементы топологии ФШ), задает на пульте управления расстояние между ними и устанавливает допустимую погрешность ориентации. После ориентации по осям х и у начинается цикл контроля совмещаемости по заданной траекто- рии обхода. Результаты контроля выводятся на цифропечатающее устройство. Виды дефектов, наблюдаемых при изготовлении шаблонов, приведены на рис. 8.40. Основные дефекты, возникающие в процессе фотолитографии, при- ведены в табл. 8.9. 8.7. Ретушь и корректировка топологии фотошаблонов Ретушь фотошаблонов. Число дефектов в ФШ возрастает по мере увели- чения степени интеграции и снижения размеров элементов. Все дефекты ФШ можно разбить на две группы: 1) участки маскирующего покрытия, оставшиеся на поверхности светлого поля ФШ, типа «недотрав», «выступ», «перемычка», «закоротка», и т. п.; 2) отверстия на темном поле ФШ типа «прокол», «раз- рыв», «вырыв» и т. п. В табл. 8.10 приведены основные дефекты металлизированных фотошабло- нов БИС и их распределение по слоям. Для светлопольных ФШ (первый и четвертый слои БИС) основными дефектами являются недотравы (15...20%), для темнопольных (второй и третий слои БИС)—проколы (20...25%), т. е. об- щее число дефектных ячеек на ФШ довольно значительное и составляет 30...35%. Устранение одних лишь недотравов позволяет увеличить выход годных ячеек на послойном ФШ в среднем на 20...25%. Таблица 8.10. Основные дефекты рабочих фотошаблонов БИС Номер фото- литографиче- ского слоя Годные ячейки, % Ячейки с дефектами типов. % недотрав | прокол | недотрав и прокол 1 61,9 15 16,2 7,9 2 62,3 5,7 23 9 3 64,3 6,1 25 5,6 4 62,6 21,6 10 6,8 Прост и эффективен лазерный метод ретуши дефектов светлого поля ФШ типа недотравов. Устранение недотравов основано на локальном удалении де- фекта методом лазерного разрушения. Используя проекцию прямоугольной мас- ки, можно с большой точностью устранить все дефекты такого рода путем их испарения На рис 8.41 показан по стадиям процесс устранения недотравов Д А а) Рис. 8.41. Схема прямого (а) и обратного (6) локального лазерного переноса вещества: Д—донор; А — акцептор (стрелками указано направление переноса) А Д б) 33'1
методом локального испарения. При малых размерах дефектов основным меха- низмом удаления материала недотравов (типов 2 — 4 на рис. 8.40) может быть его расплавление с последующим перетеканием жидкого металла в соседние об- ласти под действием сил поверхностного натяжения. Изолированные темные точки (типа 1 иа рис. 8.40) после расплавления принимают вид малых сфер, которые не влияют на процесс перепечатки. Некоторые статистические результаты по устранению дефектов ФШ на светлом поле приведены в табл. 8.11. Таблица 8.11. Результаты ретуши дефектов светлого поля ФШ БИС Полное число ячеек на рабо- чем поле ФШ Среднее число годных ячеек на рабочем поле ФШ БИС Среднее увеличение годных ячеек, % до корректировки после корректировки 31 (100%) 16 (49%) 26 (72%) 23 55 (100%) 29 (59%) 41 (74%) 21 Для ликвидации темнопольных дефектов может быть использован метод локального лазерного переноса вещества. Для устранения дефектов темного поля путем их лазерного запыления приемлемы схемы прямого и обратного лазерного переноса вещества. Однако возможность многократного напыления пленки в одну и ту же зону и меньшие плотности светового потока, необходи- мые для испарения вещества донорных пленок, дают преимущество методу прямого переноса. В качестве материала донора при устранении проколов мо- жет быть выбран любой, имеющий хорошую адгезию к пленке и подложке ФШ и обладающий подходящими оптическими свойствами (достаточным поглоще- нием света на рабочей длине волны ФШ). Хорошие результаты получены при переносе пленки, прозрачной в видимой области света (Л=0,5...0,6 мкм). Поиск дефектов можно вести непосредственно через эту пленку. Для удобства совме- щения операций удаления и перенесения донорная пленка напыляется на вспомогательную прозрачную для лазерного излучения подложку отдельными участками. Это позволяет на одной и той же установке удалять недотравы и запылять проколы. Если дефект имеет вид вырыва, разрыва, царапины и т. д., т. е. расположен вблизи края элемента, то при переносе вещества край может быть искажен. Его можно восстановить методом удаления. Комбинация методов удаления и переноса позволяет, не изменяя сущест- вующего технологического процесса изготовления ФШ, приблизить выход год- ных к 100% (не устраняются лишь дефекты подложки — сколы, трещины и т. п.) и дополнительно повысить срок службы каждого шаблона. В процессе эксплуа- тации ФШ появляются новые проколы, вследствие чего через 20...100 совмеще- ний он выходит из строя. Методом переноса его можно реставрировать. Возможно применение комбинированного метода, сущность которого ясна из рис. 8.42. Сначала на поверхность ФШ наносится защитный слой, например Рис. 8.42. Схема устранения прокола комбинироватшым методом: / — фоторезистивная пленка; 2— пленка ФШ; 3 — стеклянная подложка; 4— прокол в пленке ФШ 335
фоторезист (рис. 8.42, а), затем защитная пленка удаляется в области прокола лазерным пучком (рис. 8.42,6), после чего прокол запыляется методом обрат- ного переноса (рис. 8.42, в) и защитный слой снимается (рис. 8.42, г). Лазерные методы применяют для оперативной корректировки топологии ФШ, внесения или удаления дополнительных элементов. Эта операция особенно выгодна на стадии проектирования и опытного изготовления микросхем. Глава 9 Литографические процессы в технологии микроэлектронных устройств 9.1. Сущность фотолитографии и основные процессы В технологии микроэлектронных устройств литографические процессы уни- версальны и наиболее часто повторяемы. Они используются для получения кон- тактных и прецизионных свободных масок. Литографические процессы форми- руют на поверхности слой стойкого к последующим технологическим воздейст- виям материала, способного под действием облучения определенной длины волны изменять необратимо свои свойства и прежде всего стойкость к проявителям. Резистивный слой, локально облученный с помощью шаблона, обрабатывают в проявителе, где в результате удаления локальных участков получают рези- стивную маску. В зависимости от длины волны применяемого излучения различают оптиче- скую (фотолитографию), рентгеновскую, электронную и ионную литографию. Фотолитография (ФЛ) — это совокупность фотохимических процессов, в ко- торых можно выделить три основных этапа: формирование на поверхности ма- териала слоя фоторезиста; передача изображения с шаблона на этот слой; формирование конфигурации элементов устройств с помощью маски из фото- резиста. Фотолитография может быть контактной (шаблон при переносе изображе- ния приводится в плотный контакт с фоторезистом (ФР) и бесконтактной (на микрозазоре и проекционная ФЛ). Негативные н позитивные ФР. Фоторезисты — сложные полимерные компо- зиции. Фоторезисты, у которых растворимость экспонированного участка умень- шается, называются негативными (ФП), а ФР, растворимость которых после облучения возрастает,— позитивными (ФП). После обработки экспонированно- го ФР в составе, удаляющем растворимые участки, образуется рельефное изо- бражение (рис. 9.1), которое должно быть устойчивым к воздействию техно- логических факторов. Рнс. 9.1. Образование рельефа при использовании ФШ и ФП 336
Основу образования рельефного изображения составляют: фотополимеризация и образование нерастворимых участков; наиболее ти- пичными для системы, в которой используется этот процесс, являются ФН — эфиры коричной кислоты и поливинилового спирта, называемого сокращенно поливинилциииаматом (ПВЦ); сшивание линейных полимеров радикалами, образующимися при фотолизе светочувствительных соединений. Использование каучуков с добавками свето- чувствительных веществ (бисазиды и др.) дает возможность получить кисло- тостойкие ФН; фотолиз светочувствительных соединений с образованием растворимых ве- ществ — большинство ФП, в которых фотолиз соединений, называемых нафто- хинондиазидами (НХД), приводит к тому, что облученные участки становятся растворимыми в щелочных составах. Цепочка ПВЦ насчитывает тысячи атомов и скручена в длинную спираль, от углеродной основы которой отходят цинамоильные группы. При поглощении излучения с достаточной энергией рвется двойная связь С=С в цинамоильной группе. Возникающие при разрыве свободные связи приводят к образованию мостиков, сшивающих молекулу полимера в химически стойкую трехмерную сетку. Светочувствительность ФН на основе чистых каучуков недостаточна для ФЛ. Поэтому к каучукам добавляют сенсибилизаторы (например, бисазиды), содержащие двойные связи. Под действием излучения происходит разложение бисазидов и образуются динитрены, которые вступают в химическую реакцию с молекулами каучука, в результате чего возникает трехмерная сетка. В ФЛ в процессе фотолиза образуются растворимые соединения, выбор которых определяет класс используемого полимера (достаточно узкий) и спо- соб проявления изображения. Из растворимых в щелочах полимеров по кисло- тостойкости и способности к образованию пленок пригодны фенолформальде- гидные смолы — новолачные и резольные. Полимеры вводят в ФР двумя спо- собами: в составе сложного эфира со светочувствительным НХД и в виде компонента раствора. Один из наиболее применяемых эфиров — эфир 1, 2-нафто- хинондиазид-5-сульфокислоты и новолака — имеет следующее строение. Молекула НХД, не подвергнутая облучению, химически достаточно устой- чива и препятствует взаимодействию с ФР водных растворов, как щелочных, используемых для проявления, так и кислотных, в которых осуществляют впо- следствии травление, Молекулы НХД распределены по всему объему слоя ФР, но роль их особенно велика на поверхности, где они препятствуют разрушению неэкспонированных участков. После ухода из слоя ФР молекулы азота и обра- зования инденкарбоновой кислоты эти свойства теряются, экспонированные участки легко смачиваются щелочным проявителем и вымываются. 22 Заказ № 1270 337
В ФР иногда добавляют мономерные сенсибилизаторы, изменяющие спект- ральную чувствительность резиста. Важным компонентом ФР являются раство- рители, от которых зависят стабильность жидких растворов, характеристики нанесения, качество слоя ФР и т. д. Молекулы ФР представляют собой полимерные цепочки разной длины (длина молекулы каучуков может быть 1..2 мкм), и в некоторых случаях это влияет на разрешающую способность ФЛ. Цепочки полимера имеют довольно сложную форму, продиктованную стремлением системы достичь минимума сво- бодной энергии. От основной цепи полимера отходят функциональные группы (гидроксильные, галоидные, светочувствительные). Они определяют важнейшие характеристики резистов: светочувствительность, химическую стойкость, адгезию, эластичность пленки и др. Введение полярных групп повышает жесткость по- лимера, введение галоидных увеличивает кислотостойкость ФР. Параметры ФР. Рассмотрим ряд важнейших параметров ФР. Светочувствительность — величина, обратная экспозиции, требуемой для пе- ревода ФР в растворимое или нерастворимое (в зависимости от того, ФП или ФН) состояние. Разрешающая способность — максимальное число линий одинаковой шири- ны, разделенных промежутками той же ширины, которое можно получить в ФР на 1 мм. Стойкость к воздействию агрессивных факторов — понятие, как правило, не поддающееся общим определениям; в частном случае может означать величину, пропорциональную времени отслаивания пленки ФР в используемом травителе или проникновения травителя сквозь поры пленки ФР к подложке (с или мин). В последнее время стойкость пленки ФР все чаще характеризуют плотностью дефектов (мм-2), передающихся при травлении на подложку. Для ФП, в част- ности, указывают важный параметр: устойчивость к воздействию стандартного травителя (мин). Она должна быть по крайней мере на порядок выше времени проявления. Стабильность эксплуатационных свойств ФР во времени выражается сроком службы при определенных условиях хранения и использования. Обеспечение этого параметра — одна из важнейших проблем. К основным зависимостям, описывающим поведение ФР, относятся спектры поглощения и характеристические кривые. Спектры поглощения ФН показаны на рис. 9.2. У резистов на основе каучуков с бис-азидами наблюдается один отчетливый максимум на длине волны около 370 нм. Фоторезисты на основе ПВЦ имеют два максимума поглощения: один, как у сенсибилизированных кау- Рис. 9.2. Спектр поглощения ФН: I — каучук с бис-азидом; 2— сенсибили- зированный ПВЦ; 3 — экспонированный резист Рис. 9.3. Спектр поглощения ФП: 1 — новолачная смола № 18 (0,73 мкм); 2— ФР на основе НХД 7 (1,7 мкм); 3 —НХД № 7(0,17 мкм) до экспонирова- ния, 4 — то же после экспонирования 338
qvKOB связан с поглощением сенсиби- лизатора (360..370 нм), другой отра- жает поглощение самого ПВЦ (280 нм). Позитивные ФР характеризуются также двумя максимумами поглощения (рис. 9.3) на длинах волн 350 и 400 нм. Оба максимума связаны с поглощени- ем одиой и той же молекулы НХД. Спектры поглощения определяют тип источников экспонирования, помогают выбрать материалы для экранирования пластин с ФР от засвечивания. При экспонировании слоя резиста вид спектра поглощения меняется. Эти изменения отражают процессы разру- шения светочувствительных молекул или сшивания полимерных цепей. Полезным «выходом» реакции по- Рис. 9.4. Характеристические кривые для ФН и ФП глощения является изменение толщины слоя ФР после проявления Для оценки этого процесса снимают характеристи- ческие кривые (рис. 9.4). Кривая 1 показывает, как увеличивается толщина ФН на экспонированных участках по мере роста экспозиции. Из этой кривой можно иайти важные параметры резиста: чувствительность s=\fH2, где Н2 — экспози- ция, при которой слой полностью задублен (нли разрушен в случае ФП); кон- трастность y-(h2—h^KHr- Hi) и фотографическую широту L=H2—Hlt где Hi—экспозиция, при которой начинается сшивание или разрушение слоя. Для ФП можно снять аналогичную характеристическую кривую 2 на рис. 9.4. Более полную информацию о поведении ФП дает зависимость скорости проявления от экспозиции, которую также называют характеристической. При работе с ФП важно, чтобы слой находился в щелочном проявителе минималь- ное время (при этом число дефектов минимально), т. е. следует определить экспозицию, обеспечивающую максимальную скорость проявления. При экспонировании ФН часто возникает по краю рисунка характерный ореол, вызванный отражением света от подложки. В проявителе пленка ФН разбухает, что приводит к искажению размеров. Процесс растворения незадуб- леиной части слоя ФН подчиняется диффузионным ограничениям; по этой причине трудно проявить элементы малых размеров. По выборе типа ФР боль- шое значение имеют не только разрешающая способность, но и кислота- или щелочестойкость. Позитивные ФР не выдерживают щелочных травителей, хотя известны попытки создать щелочестойкий резист на основе О-нафтохинондиа- зидов путем добавления эпоксидных групп. Негативные ФР устойчивы к воз- действию кислот и щелочей, особенно ФН на основе каучуков. Отечественной промышленностью серийно выпускаются позитивные (ФП-383, ФП-РН-7 и др.) и негативные (ФН-5ТК, ФН-11, ФН-11К, ФН-4ТВ и др.) фоторезисты, а также светочувствительные продукты, являющиеся осно- вой ФП, такие как № 30, 27, 11 (продукты № 83 и 7, входящие в резисты ФП-383 и ФП-РН-7, отдельно не выпускаются). Эти продукты представляют собой сложные эфиры НХД и какой-либо фенолформальдегидной смолы, на- пример новолака. В молекулах этих полимеров водород может быть замещен галоидом — бромом, хлором, йодом. Введение подобных функциональных групп позволяет изменять свойства резистов: способность к растворению, адгезию к определенным подложкам, кислотостойкость. Фоторезист ФН-11—прозрачная жидкость светло-коричневого цвета, представляющая собой раствор циклокаучука, фотосшивающего агента в смеси ксилола с толуолом и применяемая в процессах ФЛ металлов: медн, хрома, алюминия. В качестве фотосшивающего агента используется 2,6 бис-(4-оцидо- бензоль)-4-метил-цнклогексана. Кинематическая вязкость (7...Э) • 10~6 м2/с. Разрешающая способность при толщине пленки ФР 2,5 мкм равна 100 ли- иий/мм Светочувствительность на уровне 0,5 мкм равна 10 Дж/см2. Сухой остаток не превышает 15%. а содержание азота в сухом остатке 1,1%. 22* 339
Фоторезист ФН-103— вязкая прозрачная жидкость от красновато- желтого до темновато-красиого цвета, представляет собой раствор циклокаучука в смеси толуола и n-ксилола и содержит в качестве светочувствительной добав- ки 2,6-ди-(4-озидобензаль)-4-метилциклогексанона (ДЦГ). Применяется также в качестве защитного электроизоляционного слоя микросхем. В состав ФР вхо- дят: 15% — циклокаучука; 0,4% ДЦГ; 56,4% толуола; 28,2% n-ксилола. Вяз- кость фоторезиста (11... 15) -10—6 м2/с; показатель преломления 1,5; плотность 0,85...0,89 г/см3; разрешающая способность при толщине пленки фоторезиста 1,5 мкм равна 50 линий/нм. Фоторезисты ФП-383, ФП-330 — вязкие прозрачные жидкости оранже- вого цвета, представляющие собой растворы светочувствительных продуктов и иоволачной смолы в диоксане. Вязкость при 293 К равна (5,9+0,5) • 10 ~® м’/с; разрешающая способность 400 лин/мм, кислотопроницаемость пленки ФР тол- щиной 1 мкм характеризуется плотностью дефектов диоксида кремния и для ФП-330 равна 0,75 мм-2, для ФП-383—0,5 мм-2. Устойчивость в проявителе (2%-ный раствор тринатрийфосфата) необлученной пленки ФР 60 с. В основу ФР входят светочувствительные продукты № 330 и 383, представлящие собой эфиры 1,2-нафтохинондиазид (2)-5-сульфокислоты и различных полифеиолов. Светочувствительные продукты — порошки желтого цвета, содержащие до 5% азота; 0,6% влаги и 1,5% нерастворимых примесей. Фоторезист ФП-334 — прозрачная жидкость от оранжевого до корич- невого цвета, представляющая собой 25%-ный раствор светочувствительного и пленкообразующего компонентов в смеси растворителей. Кинематическая вяз- кость при 293 К равна (4,5±0,5) • 10~в м2/с; разрешающая способность 400 линий/мм; устойчивость необлученной пленки ФР в проявителе 10 мин; кис- лотопроницаемость пленки ФР толщиной 1 мкм характеризуется плотностью дефектов диоксида кремния и не превышает 0,2 мм~2. Фоторезист ФП-307 — прозрачная жидкость оранжевого цвета, пред- ставляющая собой раствор светочувствительного продукта и новолачнон смо- лы № 18 в диоксане. Вязкость (6±1,0)-10*° м2/с; разрешающая способность 500 линий/мм; кислотопроницаемость пленки ФР толщиной 1 мкм характери- зуется плотностью дефектов диоксида кремиия и не превышает 0,35 мм-2; ус- тойчивость необлученной пленки ФР в проявителе (1,5%-ный водный раствор тринатрийфосфата) равна 90 с. Фоторезист ФП-333 — вязкая прозрачная жидкость бронзового цвета, представляющая собой 23%-ный раствор светочувствительного и пленкообра- зующего компонентой в смеси растворителей. Вязкость при 293 К равна 2,810~в м2/с; разрешающая способность при толщине пленки ФР 0,8 мкм равна 0,2 мм-2, а устойчивость к 1%-ному водному раствору трннатрийфосфата 3 мин. Фоторезист ФП-РН-7 — прозрачная жидкость темно-оранжевого цвета, представляющая собой раствор светочувствительного ортонафтохииондиазида и фенолформальдегидных смол в смеси органических растворителей. Содержа- ние сухого осадка в продукте 20%; вязкость при 293 К равна (2,28...2,54) • 10~6 м2/с; плотность 0,9 г/см3, разрешающая способность прн тол- щине пленки ФР 0,7...0,8 мкм равна 500 линий/мм. Маскирующие свойства ФР в буферном травителе при толщине пленки 0,7 мкм, определяемые как плот- ность проколов в пленке, не превышает 3%. Подготовка поверхности подложки. Одним из основных факторов, опреде- ляющих качество фотолитографического процесса, является очистка поверхности подложки для получения хорошей адгезии ФР к поверхности подложки. Она зависит от его химического состава н строения, состояния поверхности подложки н режимов формирования пленки. На процесс формирования адгезионного со- единения существенное влияние оказывает влажность окружающей среды. Относительная влажность окружающей среды не является постоянным фак- тором, воздействующим на поверхность подложки. Большинство процессов ФЛ проводится на поверхности, покрытой оксидами. Структурная вода может вхо- дить в состав оксида в виде гидроксильных групп ОН и присутствовать на поверхности оксида в виде молекул. Для удаления влаги перед нанесением ФР поверхность подложки подвергается отжигу. При 400...500 К удаляется во- да, слабо связанная с поверхностью, а при 750...800 К удаляется часть гид- роксильных групп. Для удаления влаги с поверхности подложки применяют 340
также гексаметилдисилоксан (ГМДС) (CH3)3Si—NH—Si(CH3)3, который хорошо гидролизуется влагой воздуха. На поверхности подложки протекают следующие реакции: 2S1OH + (СН3) 3Si—NH—Si (СН3) а—>2SiOSi (СН3) э+NH3; Н2О + (СН3) 3Si—NH—Si (СН3) з—[ (СН3) 3Si] 2О+NH3. В первой реакции образуются аммиак и новая группа Si—О—Si(CH3)3 со строго ориентированными функциональными группами СН3, обладающими боль- шой инертностью к молекулам других веществ. При этом дисперсионное воз- действие максимально для молекул одинаковой полярности (—Si—СН3; С3Н|2 и С6Н6) и минимально для молекул разной полярности (—Si—СН3 и Н2О). Вода не адсорбируется на поверхности подложки, обработанной ГМДС, и ФР имеет хорошее сцепление с поверхностью. Во второй реакции образуются гек- саметилдисилоксан и аммиак, которые испаряются с поверхности подложки. Подложки с диоксидом кремния на поверхности обрабатываются различ- ными способами: групповой обработкой (погружение в тефлоновой кассете с перемешиванием; погружение и обработка в парах ГМДС при нагреве с по- следующим центрифугированием с частотой 3000...6000 мин-1); индивидуальной обработкой погружением; обработкой погружением с последующим центрифу- гированием. После извлечения из ГМДС подложки обдуваются азотом (хра- нение подложек составляет 15 ч). Подготовка поверхности подложек перед нанесением ФР включает: гидро- механическую обработку щеткой в течение 10 с прн частоте вращения щетки 140 мин-1, частоте вращения центрифуги 500 мин-1 и расходе деионизованной воды 0,1 л/мин; сушку азотом в течение 15 с при частоте вращения центрифуги 5000 мин-1; обработку парами ГМДС 5...10 с при частоте вращения центрифуги 200...500 мин-1 и расходе паров ГМДС 80 л/ч. Регрессионный анализ результатов измерения угла смачивания поверхностей SiO2, Si3N4 и поликрнсталлического кремния с различной подготовкой позволил получить зависимость, которая может быть использована для определения по углу смачивания нижних границ подготовки поверхности подложек 0'=37,2+ +0,370, где 0' — угол смачивания (по воде) после обработки парами ГМДС поверхности пластины; 0 — угол смачивания (по воде) поверхности пластины до обработки парами ГМДС. Прн подготовке поверхности подложек перед нанесением ФР можно исклю- чить дегидратационную сушку (рис. 9.5), организовать межоперационный задел обработанных подложек с временем хранения до 24 ч (рис. 9.6). Нанесение слоя ФР на подложку. Чаще всего этот процесс осуществляется центрифугированием (рис. 9.7, а). При включении центрифуги жидкий ФР рас- текается под действием центробежных сил. Прилегающий к подложке гранич- ный слой формируется в результате уравновешивания центробежной силы, про- порциональной числу оборотов, и силы сопротивления, зависящей от когезии молекул резиста. Рис. 9.5 Влияние метода подготовки по- верхности пластин на угол смачивания 0: а — высокотемпературный отжиг; б — гидромеха- ническая обработка деионизованной водой н па- рами ГМДС Рис. 9.6. Зависимость 0/0' от времени при воздействии на подложки паров ГМДС (1) н после хранения этих подложек в течение 24 ч (2) 341
Рис. 9.7. Способы нанесения ФР: а — центрифугирование; б— распыление; в — электростатическое нанесение; г — окунание; б —нанесение волнами: 1 — дозатор для подачи ФР; 2, 8, 12, 14, 17 — подложки; 3 — столик центрифуги; 4 — привод; 5 —тахометр; 6 — двигатель; 7 — нагреваемая планштайба; 9— форсунка по- дачи резиста; 10— форсунка; //—кольцо для зарядки ФР; /3 — заземленный пьедестал; 15 — фильтрованный сжатый воздух; 16 — емкость для сбора ФР; 18— ведущий ролик; 19 — подача ФР; 20 — ролик нанесения Метод центрифугирования позволяет формировать резистивный слой иа подложке диаметром до 350 мм с отклонением в центральной ее части 5 нм от общей толщины 860 нм. Формирование слоя происходит в течение 20.. 30 с. Прн подаче ФР из дозатора (капельницы) на неподвижную подложку время между нанесением жидкого резиста н включением центрифуги должно быть ми- нимальным (0,5. .1 с), чтобы вязкость ФР не менялась в результате испарения растворителей. Толщина слоя и его качество определяются типом ФР и его вязкостью, максимальной частотой вращения, ускорением и замедлением цент- рифуги, температурой и влажностью окружающей среды, свойствами поверхно- сти подложки. Ускорение центрифуги влияет на равномерность толщины фор- мируемых резистивных слоев. Время достижения заданной частоты вращения центрифуги изменяется в пределах 0,1. .3,6 с. При более высоких ускорениях слой ФР получается более тонким и равномерным по всей поверхности под- ложки. Утолщение слоя к краю подложки (валик) уменьшается прн более вы- соких частотах вращения. Длительное центрифугирование приводит к неравно- мерности толщины слоя. Это связано с различной вязкостью ФР в разные мо- менты времени, что вызвано неодинаковыми условиями формирования слоя, поскольку скорость растекания резиста растет в квадрате по отношению к ра- диусу при удалении от центра пластины. Начальная стадия центрифугирования, включающая время, в течение кото- рого происходит изменение скорости, оказывает влияние на формирование слоя ФР. Процесс формирования происходит в три этапа: 1. Растекание резиста Доза резиста, подаваемая в центр пластины при вра- щении, растекается по ее поверхности неравномерно. Причина — большое на- чальное ускорение иа первых двух оборотах центрифуги, в результате чего из-за влияния сил вязкости образуется пограничный слой. Область ФР, на которую мало влияет его вязкость, в начальный момент вращения пластины под дейст- вием центробежных сил смещается от центра, а в дальнейшем ее площадь и смещение увеличиваются На втором-третьем оборотах поверхность пластины полностью покрывается ФР. При этом с одной стороны поверхности пластины 342
(еще при незаконченном процессе растекания) начинается сбрасывание излиш- ков резиста. 2. Сбрасывание излишков ФР. Поскольку доза ФР смещается до поверх- ности пластины относительно ее центра, процесс сбрасывания ФР начинается на краю пластины с небольшой дуги, которая постепенно увеличивается, но не замыкается в окружность. Это свидетельствует о неодинаково напряженном состоянии резистивного слоя иа поверхности пластины н требует дальнейшего изучения процесса его формирования с целью определеиия оптимального спо- соба предварительного покрытия поверхности пластины ФР перед центрифуги- рованием. Сбрасывание излишков ФР следует регулировать ускорением и ча- стотой вращения. Этот процесс заканчивается на третьем — шестом оборотах центрифуги. 3 Формирование профиля слоя ФР. Полученный профиль следует сохранять в течение операции нанесения ФР, не допуская появления «лучевого разбега» и образования краевого валика. Медленный разгон или даже двухступенчатое (сначала медленное, затем быстрое) изменение скорости частоты вращения центрифуги позволяет получать более качественные слои ФР. Нанесение ФР распылением (рис. 9.7, б) позволяет получать широкий ин- тервал толщины слоев, причем подложка может иметь неплоскую поверхность. Фоторезист наносится из пневматического распылителя. Параметры слоя зави- сят от давления и температуры воздуха, расстояния от сопла до подложки, вязкости резиста и концентрации сухого продукта, типа растворителя. Расход ФР при распылении можно уменьшить примерно в 10 раз, а дефектность слоя (вследствие отсутствия напряжений) в 3...4 раза по сравнению с пленками, по- лучаемыми центрифугированием. Отсутствие краевого утолщения делает метод распыления особенно эффективным прн нанесении фотослоя на прямоугольные подложки. При электростатическом нанесении (рис. 9.7, в) ФР диспергируется с по- мощью форсунки либо само электрическое поле дробит жидкость на мелкие капли диаметром примерно 10 мкм. Заряженные капли ускоряются полем и осаждаются на подложку. Для нанесения ФР используется полив или окунание (рис. 9.7,г), а также валиковый способ. Установка конвейерного типа (рис. 9.7, д) обеспечивает равно- мерность толщины слоя ±5%. Нанесение ФР окунанием — наиболее простой способ нанесения покрытия, когда обрабатываемую подложку погружают в ФР и выводят нз него с регулируемой скоростью. Качество пленок на этой стадии определяется типом установки, режимом нанесения, свойствами ФР (составом светочувствительного компонента, растворителя, вязкостью, плотностью жидко- сти и т. д.), параметрами подложек (размером, свойствами поверхности). Важнейшим параметром нанесенной пленки является стабильность ее тол- щины. Зависимость между толщиной пленки и скоростью движения подложки выражается формулой д=213у ur\[pg sin а, где d — толщина пленки; и — ско- рость движения подложки; т) — вязкость жидкости; р — плотность жидкости; g — ускорение свободного падения; а — угол между горизонтальной плоско- стью и касательной, проведенной в точке касания подложки жидкостью. Сушка. Окончательному формированию слоя ФР, при котором происходит удаление растворителя, способствует сушка. При этом в пленке ФР происходят сложные релаксационные процессы, уплотняющие молекулярную структуру слоя, уменьшающие внутренние напряжения и повышающие адгезию слоя к подложке. Неполное удаление растворителя из слоя снижает его кислотостойкость: при экспонировании молекулы растворителя экранируют нижележащий слой и после проявления, например, позитивного ФР возникают дефекты в виде нераство- ренных микрообластей. Правильно организованная сушка должна обеспечивать непрерывную диффузию растворителя к поверхности слоя и его испарение с по- верхности. Уплотнение структуры должно происходить в направлении от пла- стины к поверхности слоя, полностью вытесняя растворитель из ФР. Градиент температуры должен быть направлен от поверхности слоя к пластине. Зависимости времени экспонирования и точности передачи размера элемента после проявления от температуры сушки пластины приведены на рис. 9.8, При 343
Рис. 9.8. Зависимость времени экспониро- вания (7) и точности передачи размера элемента (2) от температуры сушки проведении сушки опасны перепады тем- ператур и слишком быстрый нагрев. Мак- симальную температуру сушки выбирают для конкретного типа ФР, исходя из кон- стант термолиза светочувствительных мо- лекул; прн превышении этой температуры изображение не проявляется или для его проявления требуется большее время, в результате чего растет плотность дефек- тов и падает точность передачи размеров элементов. При конвективной сушке, осуществляемой в термостатах прн не- высоких температурах (363...373 К), на поверхности ФР преждевременно обра- зуется сухой слой. Дальнейшее удаление растворителя становится возможным лишь в результате разрыва этого слоя, что увеличивает плотность дефектов. Образование поверхностного сухого слоя можно затормозить, если приготовить ФР на основе смеси растворителей с различной летучестью. В завнсимостн от состава н толщины фотослоя при конвективной сушке требуется выдержка в те- чение 10...60 мин. При инфракрасной сушке источником теплоты является полупро- водниковая пластина, поглощающая ИК-излученне, тогда как окружающая среда (очищенный и осушенный инертный газ илн воздух) сохраняет примерно комнатную температуру благодаря непрерывной продувке. Так как «фронт суш- ки» перемещается от пластины к поверхности слоя, качество сушки существен- но повышается, а время сокращается до 5...15 мин. При СВЧ- сушке нагрев пластин осуществляется в процессе поглощения электромагнитной энергии СВЧ-поля. Мощность печей 200...400 Вт, рабочая частота 2,45 ГГц, время сушки — несколько секунд. При любом методе сушки режим ее (температура и время выдержки) долж- ны исключать преждевременные структурные превращения (например, полиме- ризацию ФН). Обычно допустимая температура сушки не превышает 393 К. Высушенный фотослой необходимо экспонировать не позднее чем через 10 ч. Совмещение. Начиная со второй ФЛ необходимо совмещать рисунок ФШ с рисунком на подложке. В настоящее время используются визуальный н авто- матизированный фотоэлектрический способы совмещения. Прн визуальном ме- тоде точность совмещения определяется принципом работы н качеством выпол- нения микрома ннпуляторов, размером н контрастностью знаков совмещения, формой знаков, а также постоянством этих параметров в процессе технологи- ческих обработок подложки. Оптимальными могут считаться знаки, образующие прв совмещении штрих, вписанный между двумя другими штрихами. Ширина штрихов может равняться 3 мкм, длина в 10 раз превышать ширину, контраст- ность 0,3...0,4. Зазоры между совмещенными штрихами должны составлять 4...7'. Манипуляторы обеспечивают точность перемещения ±0,1 мкм, но реальная точность визуального совмещения составляет обычно ± 1 мкм. Для работы та- ких установок требуются специальные опорные знаки: на ФШ непрозрачные штрихи, на подложке вытравленные канавки, ширина которых в 2...4 раза больше, чем штриха. Автоматизированный фотоэлектрический способ совмещения более объек- тивен в отлнчие от визуального, определяемого индивидуальными особенностями оператора и ручным перемещением подложки. Предварительно с помощью опти- ческого микроскопа проводят грубое, а затем с помощью фотоэлектрического микроскопа точное совмещение. Точность совмещения равна ±0,5 мкм. Одна из основных трудностей обеспечения точного совмещения—создание механиз- мов плавных перемещений подложек на расстоянии менее 1 мкм. Экспонирование и проявление. Этн процессы неразрывно связаны между собой. Выбор режима экспонирования н проявления осуществляется в следую- 344
ей последовательности. С грубым приближением находят время экспонирова- ния и проявления, при котором получается удовлетворительное качество релье- *! q ]-]рИ работе с ФР проверяют плотность проколов в слое резнста данной тол- II ны для чего на пластину окисленного кремния с известной плотностью де- Ski tob в оксиде наносят слой ФР, высушивают его и проявляют в течение вре- мени примерно вдвое большего, чем найденное вначале время проявления. Затем проводят вторую сушку, травление и определяют, насколько увеличилась плотность дефектов в оксиде из-за проникновения травителя сквозь проколы в слое резиста. При этом предполагается, что рост плотности дефектов вызвал только процессом проявления. Для сравнения проверяют плотность дефектов на непроявлениом слое. Если при максимальном времени проявления плотность де- фектов слишком велика, следует увеличить толщину слоя нлн сменить ФР и снова повторить описанные выше процедуры. Затем устанавливают зависимости точности передачи размеров изображе- ния от времени проявления при фиксированном времени экспонирования и от времени экспонирования при фиксированном времени проявления; в результате находят оптмальные времена, соответствующие точности передачи, близкой к единице. Подбирая время экспонирования, тщательно стабилизируют остальные факторы, влияющие на точность передачи размеров изображения: колебания освещенности, неизбежный зазор между фотошаблоном (ФШ) и резистом, по- вышение температуры слоя, иногда возникающее при экспонировании. Термообработка (вторая сушка). Сушка проявленного слоя осуществляется для восстановления набухшего рисунка н придания устойчивости фоторезистив- ной маске к последующим воздействиям. Чтобы края ФР ие оплывали, термо- обработку, особенно для толстых слоев, лучше проводить при плавном или сту- пенчатом нагреве. 9.2. Реперные знаки в фотошаблонах Достигнутое уменьшение размеров элементов и зазоров между ними предъ- являет повышенные требования к точности совмещения рисунков на подложке и ФШ. Точность совмещения характеризуется суммарной погрешностью, зави- сящей от параметров оборудования н возможностей оператора (опыта, квали- фикации, эргономических факторов). В зависимости от типа реперных знаков (прозрачные или непрозрачные окна на ФШ) предельные погрешности совме- щения одного и того же оператора могут быть +0.885...1.401 мкм. Повышение точности совмещения обеспечивается автоматизацией процесса, что позволяет устранить субъективные ошибки оператора. При автоматическом совмещении с использованием фотоэлектрических микроскопов (ФЭМ) контроль взаимного положения подложки и ФШ ведется прн помощи реперных знаков, которые должны иметь улучшенные параметры по сравнению со знаками для визуального совмещения. Прежде всего это относится к контрастности знаков. Она должна сохраняться прн ряде последовательных ФЛ. На всех стадиях об- работки подложки реперные знаки должны иметь минимальные смещения фо- тометрических осей, а также минимальные изменения формы и размеров. Необ- ходимо обеспечить резкость границ знаков, онн должны быть технологичны и не вносить загрязнений в структуру приборов при высокотемпературных опе- рациях. Необходимо, чтобы знаки позволяли регистрировать как можно мень- шее значение их рассовмещения при использовании ФЭМ и визуальном контро- ле. Кроме того, следует компенсировать погрешности, возникающие при по- лучении знаков на ФШ и их переносе на подложку. Структура знака. Может обеспечить его цветовой или яркостный контраст на фоне подложки (табл. 9.1). Цветовой контраст возникает при интерференции света у поверхности оксидной пленки, нанесенной на подложку, причем знаки выполняются в виде вытравленных в этой пленке сплошных углублений (рис. 9 9). Цвет участка подложки и знаков создается равномерным белым светом за вычетом той его части, которая участвует в гасящей интерференции. В общем случае разности хода лучей A/i и Д/j для пленок оксида тол- щиной Т н t2 соответственно записываются как Д/, —2//i cos iz; Д/2—2^n cos iz, где n — коэффициент преломления света окисной пленки; i' — угол падения лу- ча на нижнюю поверхность окисной пленки. 345
Рис. 9.9. Реперный знак в виде сплошных углублений в оксидной пленке: I — поликремниевая пластина; 2— оксидная пленка (17 и V Vi — соответственно падающие и отраженные лучи) ' Таблица 9.1. Влияние структуры знака на контрастность Характеристи- ка знака Вид контраста цветовой яркостный Структура Сплошное Плоская по- Глубинная Растровые Шерохова- углубление верхность канавка углубления тая поверх- В оксидной пленке (рис. 9.9) инородного материала (рис. 9.10) (рис. 9.11) (рис. 9.12) ность (рис. 9.13) Коэффици- ент контра- стности о,3 о,1 0,6...0,85 0,6...0,85 0,6...0,85 Глубина рельефа, мкм 0,2.. .0,4 0 10...20 2...5 0,1...! Смещение оси, мкм — — 0,5... 1 0,5... 1 0,02.0,03 Гасящая интерференция определяется условием Д/= (2/г+ 1)7./2, где k — порядок интерференции; X — длина волны излучения. Прн изменении толщины оксидной пленки, неизбежном при выполнении ряда ФЛ, цветовой контраст знаков будет непостоянным. Кроме того, коэффи- циент нх контрастности недостаточен для фотоэлектрической регистрации сов- мещения. Края таких знаков из-за «клина» травления диффузно рассеивают падаю- щий на ннх свет н кажутся темными полосами на светлом фоне подложки, т. е. обладают яркостным контрастом. Это явление используется при наблюдении знаков, причем для уменьшения влияния интерференции света на оксидной плен- ке предлагается наносить на поверхность ФР дополнительное покрытие с малым коэффициентом пропускания и большим коэффициентом отражения неактинич- ного излучения, применяемого при совмещении (органические пленки типа родамина или тонкие пленки алюминия, свободно пропускающие актиничное из- лучение, имеющее меньшую длину волны по сравнению с видимам светом). В автоматизированных системах совмещения используют преимущественно знаки с чисто яркостным контрастом, который получают внедрением в поверх- ность подложки на участке знака инородного материала, имеющего отличный от подложки коэффициент отражения (рис. 9.10). Таким материалом может быть, например, ситалл, которым заполняют канавки в подложке, покрытые тонким слоем оксидной пленки (рис. 9.10, а). Однако коэффициенты отражения подложки и участка знака после их совместного полирования различаются недостаточно для получения требуемой контрастности. Поэтому для регистрации таких знаков предпочтительнее использовать инфракрасное излучение, не про- пускаемое ситаллом. В этом случае знаки могут размещаться на обратной сто- роне подложки. Прн высокотемпературных операциях, например эпитаксии, возможно их разрушение и загрязнение реакционного объема н получаемых структур посторонними материалами. Для исключения загрязнений обычно используют знаки типа (рис. 9.10,6) «глубинные ямкн», имеющие конфигурацию знаков, заполненных поликристал- лом того же материала, что и материал монокристаллической подложки. Кон- 346
Рнс 9.Ю. Знаки с плоской поверхностью ситалла (а) и поликристалла (б): .__кремниевая пластина; 2 „ксидная пленка; 3 - ситалл; \ полнкристаллическнй крем- ний 6) трастиость знака невелика и определяется различием коэффициентов отражения подложки и полосок окисной пленки по периметру знака, а также дефектами в поликристалле. Высокой контрастностью обладает группа знаков, участки которых имеют не плоские, а рельефные по отношению к подложке поверхности, рассеивающие падающий на них световой поток, например знак в виде глубинной канавки в подложке (рис. 9.11,а), дающий в отраженном свете изображение в виде темного штриха с узкой светлой полоской в середине. Совместно со штриховым знаком на ФШ они образуют конфигурацию биесектора для совместной фото- электрической регистрации. Контрастность этого знака обусловливается тем, что отраженные от его поверхности лучи рассеиваются в пределах угла 2<р, опре- деляемого для случая однократного отражения света соотношением sin <р= = (s/2г2)}'4r2—s2, где г — радиус сферической или цилиндрической поверхности элемента; s — размер сечения элемента. Максимальное значение (2<р=180°) угол рассеяния имеет при s=y2r. При уменьшении значения s угол рассеяния уменьшается. Увеличение s приводит к многократному отражению лучен от поверхности элемента, что также умеиь- шает угол рассеяния, который в данном случае можно определить из выра- 3 I s' жения 2®'= — ( 180°—arc sin — 4 \ 2г , где |2г^х^2г.При s=2r получаем 2<р=120°. Неравномерное распределение ФР по профилю канавки при нанесении его методом центрифугирования приводит к смещению фотометрической оси знака (рис. 9.11,6). При последовательном выполнении ряда фотолитографий ФР на- носится на подложку несколько раз и неравномерность заполнения канавки мо- жет быть непостоянной, что ведет к нестабильности положения фотометриче- ской оси (рис. 9.11,s). Используют знаки в виде растров идентичных симметричных поверхностей, например цилиндрических лунок, у которых линии пересечения поверхностей Рис. 9.11. Знак в виде глубинной канавки (о), схема смещения фотометриче- ской осн (б, в) Рис. 9.12. Знак с растровыми углублениями (а), схема смещения фотометриче- ской оси (6, е) 347
всех элементов лежат ниже рабочей поверхности подложки (рис. 9.12, а). Глу. бина микрорельефа в этом случае уменьшена в 4..5 раз по сравнению с преды- дущим знаком При нанесении ФР в каждом элементе растра происходит сме- щение фотометрической оси в меньшей степени, чем у глубинной канавки (рис. 9.12,б,в). Знаки могут иметь форму квадратов, заполненных множеством канавок или пирамидок с наклонными гранями, образованными травлением защитной оксидной пленки или непосредственно материала подложки. Косое отражение света от наклонных граней приводит к диффузному его рассеянию, в связи с чем наблюдаемый в микроскоп знак кажется темным на фоне подложки. Прн глубине рельефа не более 2...5 мкм регулярность структуры знака не имеет существенного значения н может быть заменена совокупностью впадин и выступов произвольной формы. Знак может быть в виде рельефа, образо- ванного совокупностью дислокаций и заданной области. Предварительно дис- локационные области формируют электронно-лучевой обработкой участка, а за- тем методом ФЛ вскрывают в оксидной пленке окна в заданных участках и матируют обнаженные поверхности путем вытравливания иа них дислокаций. Прн плотности дислокаций 107 см~2 параметры полученного рельефа примерно соответствуют растровому знаку. Для улучшения параметров необходимо создание знаков с глубиной релье- фа не более 1 мкм, выполненного в виде шероховатости на поверхности под- ложки (рис. 9.13, а). Контрастность знака тем выше, чем меньшая часть падаю- щего на него светового потока отражается им зеркально. Уменьшение зеркального отражения участка знака р3 в результате шероховатости со среднеквадратической неровностью о имеет вид р3= =роехр[— (4na/Xcosi)2], где р0 — отражательная способность идеально глад- кой поверхности материала знака; X — длина волны излучения, падающего под углом к нормали поверхности. При нормальном падении излучения на шероховатую поверхность с отноше- нием о/Л^0,2 зеркальная составляющая отражения близка к нулю. Для види- мой части спектра (Х=0,4...0,76 мкм) минимально допустимое значение средне- квадратической неровности о^0,08...0,152 мкм. Минимально допустимым значением шероховатости поверхности знака для работы с видимым светом можно считать /?а=0,1 мкм, а оптимальным диапа- зоном шероховатости участка знака /?а=0,1..,1 мкм. Таким рельефом обладают, например, участки поликристаллического кремния, полученного при эпитаксии на подложку, имеющую участки, заполненные поликристаллом (рис. 9.13,6). Конфигурация знаков на подложке н ФШ взаимосвязана с условием их совмещения, которое может предусматривать контакт элементов знаков, равен- ство зазоров нлн площадей между этими элементами и, наконец, соосность то- чек их пересечения (табл. 9.2). Когда условием совмещения является контакт элементов знаков, погреш- ность совмещения А включает, кроме наблюдаемого рассовмещения у, еще и координатные погрешности размеров обоих знаков в] и е3. При выполнении условия совмещения, т. е. при контакте линий и точек обоих знаков (рнс. 9.14, а) илн пары линий этих знаков (рнс. 9.14,6), погреш- ность совмещения будет равна сумме этих двух погрешностей. Когда размер знака на подложке нз-за погрешностей превышает размер знака на ФШ, сов- мещение должно проводиться по одной стороне знаков, в то время как с другой стороны образуется зазор, равный ei+e2. Прн визуальном совмещении опытные операторы совмещают знаки так, чтобы с обеих сторон оставались одинаковые QI б) Рнс. 9.13. Знаки в виде ше- роховатой поверхности на кремниевой пластине (а) и на подложке из поликри- сталлического кремния (6): 1 — монокристаллическая крем- ниевая пластина; 2 — окисная пленка; 3 — поликристалличе- ский кремний 348
Таблица 9.2. Влияние конфигурации знаков на условия совмещения — Условия совмещения знаков Характеристика знака Контакт элементов линия— линия- точка линия Равенство зазоров (площадей) Соосность точек пересече- ния элементов Конфигурация Погрешность со- вмещения Контактирующие фигуры (рис. 9.14) Д=б+ (81+ег) Биссектор- ные (рис. 9.15) Д=а—Ь—б Пересекаю- Линейные щиеся фи- растры гуры (рис. 9.16) Д= (6/2) tg ф Рис. 9.14. Совмещение знаков в виде контактирующих фигур: я —контакт линии с точкой; б — контакт линии с линией зазоры, т. е. по существу переходят ко второму условию совмещения (рис. 9.15). В этом случае контролируемой является разность зазоров (рис. 9.15, а) или площадей (рис. 9.15,6) с обеих сторон совмещенных знаков. При этом из-за симметричного изменения размеров обоих знаков их погрешности относительно центральных осей взаимно уничтожаются, что повышает точность совмещения. Знаки с использованием равенства зазоров илн площадей (биссекторныс) наиболее распространены в практике ФЛ. В качестве условия совмещения зна- ков можно использовать соосность точек пересечения их элементов, развер- нутых друг относительно друга на угол ф. Симметричные изменения размеров знаков в виде пересекающихся фигур (рис. 9.16) не влияют на точность сов- мещения. Рассовмещение точек пересечения элементов в таких знаках связано с погрешностью совмещения 6= (2/tg ф) Д. При достаточно малых углах раз- ворота элементов (5...20°) наблюдаемое рассовмещение во много раз превышает значение Д. Дальнейшим развитием знаков этого типа является использование вместо одной пары пересекающихся элементов их совокупности. В этом случае знаки на подложке и ФШ выполняют в виде линейных растров, представляющих собой ряды темных и светлых полос одинаковой ширины. Прн развороте осн одного из растров на угол ф возникает «муаровый» эффект. Он заключается в появлении системы чередующихся светлых и темных комбинационных полос, перемещающихся при совмещении в перпендикулярном направлении 349
Рис. 9.15 Биссекторные знаки совмещения: а — равенство зазоров между элементами знаков; б—равенство площадей Л тотермическую Рис. 9.16. Знаки совмещения в виде пересекающихся фигур В случае равенства шагов q\ = qr—q обоих линейных раст- ров шаг G образующихся комбинационных полос равен G— = q 12sin ф/2 — q | sin ф. В одном из таких знаков при шаге растров 6 мкм (шири- на полосы <7i=<72=3 мкм) и угле ф=5о44' шаг комбинационных полос составляет 60 мкм. Соотношение между наблюдаемым рассовмещеннем знаков и погрешностью совмещения в данном случае аналогично предыдущему варианту. Кроме линейных растров прн совмещении используют круго- вые растры и их сочетания. Они предназначены для контроля углового совмещения объектов. 9.3. Удаление резистивной маски маскирующей пленки ФР Для удаления ФР используют: деструкцию полимера (на- пример, сульфированием в серной кислоте); обработку в органи- ческих растворителях; плазмохимическую, термическую илн фо- обработку, сводящуюся в основном к окислительной деструкции в кислороде или кислородсодержащих газах. Химическая деструкция ФП в серной кислоте. Приводит к образованию коротких цепей полимера (наволака) и сульфированных мономеров IIX Д групп. После обработки в серной кислоте проводится отмывка водой. Эффективное сульфирование идет в нагретой до 433 К концентрированной кислоте либо ее смеси с двухромовокислым калием (хромпиком) или с перекисью водорода. Смесь серной кислоты с 30 %-ной перекисью водорода (3:1) обеспечивает при более низкой температуре (343...373 К) хорошую очистку поверхности. Удаление ФР химической деструкцией серной кислоты не применимо для металлизированных подложек, кроме того, нагрев серной кислоты свыше 433 К приводит к образованию пленки сернистого кремния, влияющей на процессы окисления и диффузии. Органические растворители. Рассмотрим наиболее часто используемые рас- творители. 350
Диметилформамид (CH3)2NCOH (молекулярная масса 73,09)—бес- ветная подвижная жидкость со слабым специфическим запахом; температура давления 334 К; температура кипения 426 К при давлении 1030 Па; смсшива- птся с водой, спиртом, ацетоном, эфиром, галоидосодержащимн и ароматиче- скими соединениями. Дибутилфталат (СбН4СООС4Н9)2 (молекулярная масса 278,25)—бес- цветная жидкость с тонким фруктовым запахом; температура плавления 308 К, температура кипения 603 ..613 К. Четыреххлористый углерод СС14 — бесцветная негорючая жид- кость со сладковатым запахом; температура кипения 349,75 К; плотность 1,593 г/см3. Трихлорэтилен С2С13Н (молекулярная масса 131,399)—бесцветная жидкость с запахом, напоминающим запах хлороформа; температура кипения 360,19 К; хорошо растворим в органических растворителях, плохо в воде. Один из наименее токсичных хлорсодержащих растворителей. Предельно допустимая концентрация паров в воздухе 0,05 мг/л. При длительном хранении на свету по- степенно окисляется кислородом воздуха до СОС12. Моноста нол а м ни H2NCH2CH2OH (молекулярная масса 61,08) — вяз- кая гигроскопическая жидкость, смешивается с водой н спиртами, хорошо рас- творима в СНС13, плохо в углеродах и эфире; температура кипения 444,1 К при давлении 106 Па. Метилэтилкетон СН3СОСН2СН3 (молекулярная масса 71,1)—бесцвет- ная жидкость, по запаху напоминает ацетон; температура кипения 352,57 К. Взрывоопасная концентрация паров в воздухе 1,97... 10,2%; с органическими растворителями смешиваются во всех отношениях; растворимость прн 293 К в воде 26,8%. Ди оке ан С4Н3О2 (молекулярная масса 88)—бесцветная прозрачная жид- кость со слабым приятным запахом; ядовита, легко воспламеняется, с водой и обычными органическими растворителями смешивается во всех отношениях; плотность прн 293 К 1,03 г/см3; температура затвердевания 283,5 К; температу- ры кипения 374 К, вспышки 284 К, самовоспламенения паров в воздухе 313 К; температурные взрываемости насыщенных паров в воздухе (нижний 277, верх- ний 331 К). Толуол С7Н6 (молекулярная масса 92,14)—бесцветная жидкость с харак- терным запахом, не растворимая в воде, но растворимая в ацетоне и смеши- вающаяся в любых отношениях со спиртом и эфиром; с воздухом толуол об- разует взрывоопасные смеси; оказывает раздражающее действие на нервную систему; температурный диапазон перегонки при давлении 1030 ГПа 382.. 384 К; плотность при 293 К 0,866 г/см3; показатель преломления света 1,495; нелетучий осадок не превышает 0,001%, а содержание влаги 0,03%. Хлорбензол С6Н6С1 (молекулярная масса 112,56)—бесцветная прозрач- ная жидкость с характерным запахом, смешивающаяся во всех отношениях со спиртом, этиловым эфиром н не растворимая в воде; плотность прн 293 К 1,1 г/см3; показатель преломления света 1,52; температурный предел, при ко- тором перегоняется 95% прн давлении 105 Па, 403...433 К. Изопропиловый спирт С3Н8О (молекулярная масса 60,6) — бесцвет- ная прозрачная жидкость, смешивающаяся с водой во всех отношениях; тем- пература кипения 353—355,5 К. М-кснлол СзНщ (молекулярная масса 106,17)'—бесцветная прозрачная жидкость с характерным бензиновым запахом, хорошо растворяющая смолы, каучук, масло и жнры; растворяется в спирте н эфире; горюча. Выбор растворителя для удаления пленки ФР с поверхности пластин можно осуществить только после количественной оценки растворимости этой пленки в растворителе. Оценку растворимости можно провести в соответствии с теориями Гиль- дебранда — Скетчарда и Флори — Хаггинса. Согласно первой теории растворе- ние будет происходить при любых соотношениях полимера ФР и растворителя, если мольная энтальпия смешения будет близка к нулю в уравнении Д//= = (Ci—62)2V|<pi<p2, где 61 — параметр растворимости полимера ФР; б2 — па- раметр растворимости растворителя; Vi — мольный объем; <pi, <р2—объемные доли полимера и растворителя соответственно 351
Параметры растворимости компонентов определяются соотношениями: 61 — Г El/VI мол! &2—Г МОЛ, где £i — мольная теплота испарения полимера нли энергия когезии; £2—моль- ная теплота испарения растворителя; V, ыол— мольный объем полимера- Vg мол — мольный объем растворителя. Для полимеров физический смысл мольной теплоты испарения или энергии когезии заключается в величине меж молекулярного взаимодействия, которое осуществляется в результате действия сил трех типов: дисперсионных, водород- ных связей и обусловленных наличием диполей. Для практического использования наиболее удобной является трехмерная концепция параметра растворимости — концепция Хенсеиа, в которой каждый из типов взаимодействия представлен как компонент общего параметра рас- творимости: бо6щ= / EI Уыол = ]f(Ed + Ep + Eh)/VMOn = 8j + bS + ^, где Еа — энергия дисперсионного взаимодействия; Ер—энергия полярного взаи- модействия; Eh — энергия взаимодействия водородных связей; 6,1 — параметр растворимости, обусловленный дисперсионным взаимодействием; &р — параметр растворимости, обусловленный полярным взаимодействием; б* — параметр рас- творимости, обусловленный взаимодействием водородных связей. Из теории Гильденбранда — Скетчарда следует, что чем ближе значения параметров растворимости, тем лучше растворение компонентов. Однако из уравнения по концепции Хансена следует, что и при близких значениях 60бщ полимера н растворителя могут встречаться случаи нерастворимости данного полимера в данном растворителе. Это бывает, когда определенный внд взаимо- действия полимера сильно отличается от взаимодействия того же типа другого компонента при равенстве общего параметра растворимости, т. е. если 60вщ равны, но есть расхождения хотя бы по одному из трех параметров раство- римости. Оценить растворимость полимера в конкретном растворителе можно, для чего необходимо сравнить параметры растворимости. Позитивные ФР различаются составом, но в качестве основы большинство их имеет фенолформальдегидные смолы, параметры растворимости которых представлены в табл. 9.3. Таблица 9.3. Параметры растворимости полимеров и растворителей Вещество Параметры растворимости, МДж м3 8общ «р 6h Фенолформальдегидная смола: новолачная резольная Диметилформамид Этилцеллозольв 23,1 18,4 8,2 11,2 26,7 19,2 10,8 15,1 24,77 17,38 13,7 5,9 24,07 16,01 9.2 14,3 В производстве изделий электронной техники для удаления ФР часто ис- пользуют диметилформамид. Из табл 9.4 видно, что значения 60ощ фенолфор- мальдегидных смол и диметилформамида близки по своим значениям. Но по параметру 6( имеется существенное расхождение. Однако на практике приме- нение диметнлформамнда не всегда дает хорошие результаты, особенно для пленок ФР, прошедших длительную термообработку при высоких температурах. Параметры растворимости этилцеллозольва, приведенные в табл. 9.3, более близки к параметрам растворимости основ ФР, чем параметры днметилформа- 352
мида- Позитивные ФР лучше растворяются в зтилцеллозольве, чем в диметил- формамиде. При обработке в диметилформамиде иа поверхности пластин об- наруживаются остатки ФР, которые приходится удалять ватным тампоном. Удаление пленки ФР с поверхности пластин в зтилцеллозольве идет при тем- пературах более низких, чем в диметилформамиде, а ФН-РН-7 полностью уда- ляется и в холодном зтилцеллозольве. При повышении температуры раствори- теля время удаления пленки ФР с поверхности пластин уменьшается. После удаления ФР пластины отмываются в деионизованной воде, нагретой до 323 К, в течение 10 мин, затем в деионизованной воде без подогрева 10 мин. Практикуют длительные выдержки (до 24 ч) пластин в органических рас- творителях с последующим удалением разбухшего рельефа механически (там- поном). Эффективное удаление ФР с алюминия осуществляют в нагретой до 353 К смеси димегилформамида с моноэтаноламином (1 : 1). Качество удале- ния в органических растворителях существенно зависит от температуры второй сушки. Желательно, чтобы эта температура была минимальной, не выше 393 К При 423...473 К происходит глубокая полимеризация ФР, что усложняет уда- ление рельефа с подложки органическими растворителями даже при дополни- тельном механическом воздействии, т. е. протирке тампоном или ультразвуковой обработке. С целью поиска пути повышения качества обработки пластин проводился анализ процессов удаления позитивного ФР на основе нафтохинондиазида и фенолформальдегидных смол (ФН-РН-7) с окисленных кремниевых пластин (табл. 9.4). Химическая обработка в растворе на основе перекиси водорода и гидроокиси аммония с добавлением этиленгликоля н поверхностно-активного вещества (ПАВ) обеспечивает наиболее эффективное удаление ФР, создавая предпосылки для улучшения качества последующей химической обработки. Таблица 9.4. Результаты удаления ФР с поверхности окисленных кремниевых пластин Метод удаления Реактив Краевой угол смачивания водой, град Число светя- щихся точек в темном поле микро- скопа Характер поверхности Химический Диметилформамид 42±2 78 Гидро- фобная Н2О2: H2SO4= 1 : 3 2±2 60 Гидро- фильная Н2О2: NH4OH : : С3Н4О: ПАВ=„. 2±2 Отсутству. ют Плазмо- химический Азот 45 330 Гидрофоб- ная С целью повышения эффективности удаления металлических примесей (Au, Cd, Fe и Zn) после снятия ФР пластины обрабатывались в буферном рас- творе, содержащем хлор-ионы, переводящие металлические примеси в легкорас- творимые и комплексообразующие соединения. Комплексный анализ фотолитографических и химических процессов показал возможность эффективного удаления адсорбированных на поверхности полу- проводника органических и неорганических примесей (до 1010 см-*2) с помощью растворов одинаковой с ними химической природы, в которых механизм десорб- ции примесей преобладает над механизмом адсорбции их поверхностью полу- проводника. Удаление ФР после травления слоя металлизации и пассивирующего слоя. Для определения скорости разрушения металлизации в результате взаимодейст- вия металлизации с контактирующей средой при удалении ФР, влияния особен- 23 Заказ № 1270 353
зго Рис. 9.17. Характерные зависимости (7—3) плотно- сти гальванического тока тестовой структуры От концентрации водного раствора растворителей фр НО 160 60 з костей процесса на скорость разрушения ис- пользуют тестовую структуру, состоящую из алюминиевого анода н кремниевого катода, разделенных оксидом кремния. Алюминиевый анод представляет собой гребенку металли- зации, кремниевый катод — область не защи- ——gg7'“7l^%}n~rJ> шейной оксидом кремниевой пластины в фор- ме гребенки, зубья которой расположены меж- ду зубьями гребенки алюминиевого анода. Удаление ФР осуществляется либо в растворителе на основе диметил- формамида, либо на установках плазмохимического удаления ФР. Добавление к органическим растворителям органических оснований (этаноламннов) улуч- шает качество процесса. Удаление ФР проводится в органических смесях сле- дующих составов: моноэтаноламнн : перекись водорода=7 : 1, этиленди- амин : триэтаноламин — диметилформамнд=3 : 12 : 60, моноэтаноламнн : дпметил- формамид : вода=5 : 15 : 1. Плотность тока тестовой структуры прямо пропорциональна температуре, при которой растворяется ФР, и, как правило, не превышает 20-10-6 А/см2 (рис. 9.17). Наибольшие коррозионные гальванические токи отмечены на этапе отмывки, при которой содержание растворителя на поверхности пластины из- меняется в пределах 100...0%. Минимальный разрушающий гальванический ток образуется при удалении ФР в диметилформамиде (рис. 9.18). Применение в композициях (рис. 9.19) моноэтаноламина (3), триэтаноламина (2), этилентиамина (/) повышает кор- розионные токи при отмывке пластин от растворителей. Из рис. 9.19 видно, что максимальный гальванический ток тестовой структуры имеет место при кон- центрации этих активаторов в водном растворе, равной 20+10%. Возрастание гальванического тока на завершающей стадии отмывки связано с увеличением в результате диссоциации амниов в воде содержания групп ОН-. Измерение pH воды в отмывочной ванне при отмывке пластин от смесей, включающих амины, показало, что время, в течение которого в отмывочной ван- не существует щелочная среда, находится в зависимости от расхода воды, числа одновременно отмываемых пластин, конструкции отмывочных ванн. При отсутствии контроля характеристик воды (нейтральность или pH) в отмывочной ванне на завершающей стадии отмывки при большом числе одно- временно обрабатываемых партий не всегда гарантируется полное удаление сле- дов органических щелочей с поверхности пластин. Рис. 9.18. Характерные зависимости плотности гальванического тока тес- товой структуры от концентрации водного раствора компонентов рас- творителя ФР Рис. 9.19. Характерные зависимости плотности гальванического тока тестовой структуры от концентрации водного раствора компонентов ра- створителя ФР 354
3 4 5 Рис. 9.20. Схематическое изображение установки плазмохимического удаления фоторезиста: ; — разрядная камера; 2 — индуктор; 3 — обкладки конденсатора; 4 — натскатель; 5 — ре- дуктор: 6—источник газа; 7 — ротаметр; 8— клапан; 9 — крышка; /в— вакуумный дат- чик; 11 — вакуум-привод; 12— выпускной клапан Наличие между алюминиевыми шинами и подложкой, а также в пассиви- рующем слое пор, трещин, зазоров, щелей сильно затрудняет полное удаление следов растворителя с поверхности кристалла. При взаимодействии алюминия со щелочами на поверхности алюминия не образуется защитная пассивирующая пленка. Поэтому в дальнейшем в присутствии влаги следы органических щело- чей способствуют протеканию щелевой коррозии. Применение в составе растворителя для удаления ФР моноэтанола мина, этилендиамида, триэтаноламина увеличивает коррозионный гальванический ток, особенно в переходном процессе отмывки, когда концентрация водного раствора этих аминов на поверхности пластины составляет 20±10%. Чтобы уменьшить разрушение алюминиевой металлизации протекающими гальваническими токами, необходимо сократить время их воздействия с по- мощью максимального увеличения потока воды на одну пластину. Для снижения влияния остатков органических смесей необходимо обеспе- чить контроль качества отмывкн, а также исключить появление на поверхности пластины микропор, трещин, зазоров, щелей. Эффективное удаление ФР можно проводить в среде кислорода при 973...1073К. Одновременная окислительная и термическая деструкция увеличи- вает скорость удаления ФР. Освещение подложки ультрафиолетовым светом позволяет резко снизить температуру обработки; резист удаляется при 253 К за 25...40 мин. Добавление 2% озона ускоряет процесс удаления ФР. Плазмохимический метод удаления ФР. В основе метода лежит обработка в низкотемпературной кислородной плазме при давлении 5-Ю2 Па. В плазме образуются активные частицы: атомарный кислород, озон и возбужденные мо- лекулы кислорода. Содержание атомарного кислорода, например, может дости- гать 10...20%, столько же содержится возбужденного молекулярного кислорода. Под действием активного кислорода ФР разлагается. Введение 1% азота позво- ляет увеличить скорость удаления ФР на 20%, а 1% водорода на 100% по сравнению со скоростью удаления в чистой кислородной плазме. Схема установки для плазмохимического удаления ФР показана на рис. 9.20. От ВЧ-генератора возникает разряд между электродами. Генераторы работают на частоте 13,56 МГц прн выходной мощности на электродах 300...1200 Вт. Кислород поступает в реакционно-разрядную камеру (расход газа 120... 1000 см’/мин) и непрерывно откачивается вакуумным насосом для поддержания давления иа уровне 1,3-103 Па. 9.4. Методы переноса изображений Контактный метод. Обеспечивает возможность получения элементов с раз- мерами 2 мкм на полях 100X100...200X200 мм. Обеспечить достаточно плотиый контакт и отсутствие местных зазоров по большим площадям практически за- 23* 355
Рис. 9.21. Оптические эффекты прн контактной печати Рис. 9.22. Распределение интенсивности в изображении угла элемента микроизобра- жения, обусловленное дифракционными эффектами труднительно; увеличение же площади приводит к уменьшению возможной разрешающей способности метода. Зазор прн контактировании двух поверхностей (за исключением оптического контакта) носит случайный характер, т. е. имеет место неконтролируемый за- зор, что приводит к ряду оптических эффектов (рис. 9.21). К ним относятся влияние апертуры экспонирующего пучка на искажение размеров элементов; отражение света между контактируемымп поверхностями; дифракция света на краях элементов; рассеяние света в толще светочувствительного материала (ФР); интерференция падающего н отраженного света в толще ФР, образова- ние стоячих волн. К искажению изображения приводят механическая и темпе- ратурная деформации пластин 6 прн контактировании, которые создают не- совмещаемость изображений различных слоев топологического рисунка. Наличие зазора приводит к тому, что размеры элементов искажаются из-за конечной апертуры источника излучения, т. е. некоторого угла расходимости а пучка 1 световых лучей. Так, при наиболее часто используемых апертурах освещающего пучка 2а=3...8°, образующаяся область полутени увеличивает размер элемента на 0.5...5 мкм при колебании зазора 3 между объектом 2 и светочувствительным слоем 4 в диапазоне Д=5...2О мкм. Использование иммер- сионной жидкости с показателем преломления света п= 1,5...2 (при этом угол расходимости пучка изменяется в п раз) позволяет уменьшить область полу- тени, однако введение ее усложняет процесс экспонирования н приводит к возникновению дефектов. Многократные отражения между контактируемыми поверхностями, особенно при наличии иа них металлических пленок 5 (хрома, алюминия н т. д.) с боль- шим коэффициентом отражения, приводят не только к возникновению эффекта полутени, но н к интерференции отраженных пучков, зависящей от разности фаз, соответствующей зазору и изменению его в пределах области многократ- ного отражения. Этн явления приводят к отклонению размеров элементов и искажению нх формы из-за интерференционных эффектов н неравномерности дозы экспонирования. При использовании металлизированных ФШ с хромовым отражающим по- крытием (7?«0,7) в контактной ФЛ с малыми зазорами до Asa 5... 10 мкм мно- гократные отражения являются основным фактором, ограничивающим точность передачи размеров элементов, причем отклонения размеров по этой причине могут достигать 0,5... 1,5 мкм. Уменьшения этого эффекта можно добиться, ис- пользуя малоотражающие покрытия с R~ 0,04...0,1 и иммерсию, однако пол- ностью устранить его в контактном методе практически невозможно. Принципиально неустранимым оптическим эффектом является дифракция, проявления которой в контактной печати многообразны. Если бы можно было обеспечить идеальный (оптический) контакт между двумя поверхностями, то при переносе изображения с объекта идеального контраста (полностью непро- зрачный рисунок) дифракционные эффекты возникли бы из-за огибания свето- вой волной края элементов и некоторого размытия краев изображения, соответ- ствующего толщине h светочувствительного слоя. Очевидно, что при этом диф- ракционные искажения в ФЛ (hл0,5 мкм) минимальны. Обеспечить достаточно 356
потный контакт, хорошее взаимное прилегание поверхностей невозможно из за „^плоскостности пластин и трудиоустранимой пыли. и Топологический рисунок в технологии микроэлектронных устройств часто выполняется на маскирующих покрытиях с оптической плотностью d—1,4...1,8, т е покрытиях, пропускающих несколько процентов падающего светового по- тока. Прошедший через маскирующее покрытие свет интерферирует с дифра- гированным на краях элементов основным световым потоком и приводит к искажениям изображения. Длина когерентности излучения L» [s/ (2/)]Z, где s — расстояние до источ- ника света; 21— размер источника излучения; Л — длина волны света. Для реальных условий экспонирования (s=300 мм; лампа типа ДРШ с 2/=5 мм) 1>>25...3О мкм, т. е. имеет место когерентное сложение света. В этом случае контраст интерференционной картины высок даже при небольшой доле света, прошедшего через маскирующее покрытие. Если этот свет составляет лишь 1...2% от дифрагированного, то контраст интерференционной картины равен £=0,33.-0,43 и по краям элементов в изображении присутствует интерферен- ционная структура (оконтуривание, двойной край). Наибольшие искажения име- ются на углах элементов топологического рисунка, где накладываются интер- ференционные картины от двух сторон, образующих угол элемента. Типичное распределение интенсивности в изображении угла элемента рисунка, обуслов- ленное дифракционными эффектами, представлено на рис. 922. Распределение интенсивности имеет характерную лепестковую структуру, приводящую к не- ровности края и деградации углов элементов. Дифракционные эффекты, т. е. распределение интенсивности в изображении при контактной печати, накладывают существенные ограничения на возможности метода: приводят к изменению размеров элементов; искажают форму элемен- тов, особенно их углов; сужают допустимый диапазон экспозиций; вызывают оконтуривание элементов (двойной край). Для снижения влияния дифракции используют более коротковолновую об- ласть оптического излучения. Для уменьшения зазора между плоскостями объ- екта и изображения следует применять плоские пластины, а также подбирать для экспонирования пластины с кривизной одного знака н ие допускать исполь- зования пластин, имеющих две или более зоны с неровностями поверхности. Уменьшить дифракционные искажения при контактной печати можно с помощью иммерсионной жидкости. Целесообразно, чтобы показатель преломления жид- кости совпадал с показателем преломления материала маскирующего покрытия, образующего рисунок топологии. Для уменьшения интерференционных искажений необходимо использовать иекогереитный свет, источники с пониженной когерентностью излучения, для чего можно увеличить размер излучающего тела и апертуру источника света. Устранение дифракционных искажений и области полутени путем ликвида- ции зазора в результате увеличения усилия прижима при контактировании по- верхностей недопустимо, так как приводит к двум нежелательным эффектам: увеличению удельного давления и, как следствие, повышенной дефектности изображения нз-за повреждения светочувствительного слоя или подложки; воз- никновению повышенных усилий сжатия-растяжения и, как следствие, дефор- мации подложки, повышенной несовмещаемости изображений различных слоев рисунка топологии. Несовмещаемость и деформация изображения ограничива- ют возможность увеличения площади экспонирования н производительности процесса. Наиболее трудноустранимой причиной несовмещаемости изображений при контактной печати являются контактные деформации. Разность температур- ных коэффициентов линейного расширения контактируемых объектов достаточно просто реализуемой температурной стабилизации этих объектов и условий экс- понирования несовмещаемости изображений по этой причине можно устранить Так, для пары кремний—стекло с температурными коэффициентами линейного расширения ак=2410-7 град-1 и ас=6410-7 град-1 поддержание стабильности температуры в пределах Д/=±0,5 К дает несовмещаемость изображений на базовом расстоянии 100 мм не более, чем бн~±0,2 мкм. Причиной разности температур может являться н радиационный нагрев, поэтому в высококачест- венных системах контактной печати необходимо принимать меры по отводу 357
тепла, используя, например, интерференционные покрытия, отражающие ИК-об ласть спектра и пропускающие актиничное излучение. Контактные деформации подложек с объектом и изображением могут до. стирать больших значений и приводят к значительно большему несовмещению рисунков. Причем выделяют два основных вида деформаций и две причины несовмещення изображения соответственно: совместный изгиб контактируемых пластин и усилия сжатия-растяжения, возникающие при трении в отдельных точках контактирования. Условия деформации пластин при контактной печати определяются: формой пластин, нх механическими свойствами, формой опоры пластин, взаимораспо- ложением первичных точек контактирования (соприкосновения), коэффициентом трения между контактируемыми поверхностями. Одним нз основных дестаби- лизирующих факторов при контактной печати является именно трение между поверхностями, которое препятствует выравниванию пластин даже при значи- тельных усилиях. Коэффициент трения прн сухом контакте одних и тех же ма- териалов имеет разброс до 100% и более, причем этот разброс увеличивается при использовании в системах контактирования вакуумных прижимных уст- ройств. Такая нестабильность условий контактирования приводит к несовме- щеиию отдельных изображений до 1...2 мкм и более. Другой дестабилизирую- щий фактор, связанный с исходной неплоскостностью контактируемых пластин,— случайное расположение на нх поверхности первичных точек контактирования, в которых при прижиме возникают большие удельные давления, высокие усилия трения н относительно которых происходит деформация ближайших областей изображения. Метод контактной печати с использованием прижимных устройств неизбежно приводит к деформации изображения и несовмещаемости различных слоев. Совмещаемость топологических рисунков при контактном переносе изобра- жения. При совмещении возможен прогиб плоскости контакта рабочий ФШ — полупроводниковая пластина, одна из контактирующих поверхностей растяги- вается, вторая сжимается. Прогиб подложки представлен на рис. 9.23. Разность дуг 1лв—1св равна укорочению Ci вогнутой дуги подложки при величине про- гиба h: bi=lAB—lcn=2(R+dil2)(f—2R<p=dt<p. Величина прогиба h—R—/?cos<p. Разложим cos <р в ряд, получим h^2<^/2 или, учитывая, что /?=£>0/2Ч’, где Do — размер подложки, й=£)оф/4. И тогда 6,=4d,ft/D0. Выпуклая дуга поверхности второй контактирующей подложки будет рас- тягиваться на величину 62=4<yi/D0, где d2— толщина второй подложки. Если расстояние между центрами знаков совмещения равно D, то измене- ние этого расстояния на рабочей копии можно выразить как Дпр=/?(С1 + +б2)/Dc=4D(di+d2)/i/D02, или когда d1=d2=d, то Дпр=8£)с1й/По2. Величина ДПр соответствует уходу совмещаемости нз-за прогиба подложек при контактной печати. В табл. 9.5 приведены расчетные значения Дпр для раз- ных типоразмеров ФШ нрн d=3 мм. Рис. 9.23. Схематическое изображение прогиба плоской подложки Рис. 9.24. Схема эксперимента для определения влияния прогиба зоны контакта на уход совме- шаемости: 1 — фоторезистивные пластины; 2 — эталонный ФШ; 3 — полоска из фольги; 4 — резиновые стягивающие кольца 358
Таблица 9.5. Зависимость ухода совмещаемости на крайних модулях рабочей копии ФШ от прогиба контактирующих поверхностей Размер ФШ, мкм ДПр при прогибе, мкм — — 1 Рабочая зона Подложка I 2,5 5 10 60 76 0,25 0,63 1,25 2,5 76 102 0,18 0,45 0,9 1,75 102 127 0,15 0,37 0,75 1,5 127 150 0,14 0,35 0,7 1,4 Контроль изменения расстояния между центрами знаков совмещения можно осуществить посредством структуры, конструкция которой приведена на рис. 9.24. Две пары эталонный ФШ — фоторезистивная подложка связывались резиновыми кольцами. Между фоторезистивными подложками вставлялась по- лоска металлической фольги, так что заданное значение прогиба для обеих пар ФШ составляло половину толщины подложки. Такая связка экспонировалась с двух сторон. Зависимость ДПр от прогиба определяется измерением расстоя- ния D между знаками совмещения иа эталонных ФШ и копиях. Расчетные и экспериментальные данные ухода совмещаемости представлены на рис. 9.25. При наличии зазора между эталонным ФШ и фоторезистивной (или фото- эмульсионной) подложкой на рабочей копии граница проецируемого с эталон- ного ФШ элемента сдвигается на расстояние 63=btgP, где b—зазор; Р—угол падения лучей (угол коллимации), проецирующих данную границу (рис. 9.26). На крайних модулях элементы смещаются в противоположные стороны, что эквивалентно уходу совмещаемости на A3=bitg fh + bntg рп, где blt b„— вели- чины зазора; р( и рп — углы падения лучей соответственно в области первого и последнего модуля в измеряемой строке ФШ. Если принять, что зазоры и углы коллимации лучей на крайних модулях одинаковы (bi=bn=b и Pi = = рп=р), то изменение расстояния между центрами знаков совмещения выра- зится соотношением A3=2btgp. Значения Д3 для некоторых величин b и р приведены в табл. 9.6. Уходом совмещаемости Д3 можно пренебречь при Ь^4 мкм н Р^4°. Чтобы определить, какие зазоры возможны в зоне контакта эталонный ФШ — фоторезистивная пластина, был топографически измерен рельеф рабочей зоны фоторезистивных пластин на основе флоат-стекла, предназначенных для Рис. 9.25. Зависимость ухода совме- щаемости ДцР от прогиба зоны кон- такта: 1 — расчет; 2 — эксперимент Рис. 9.26. Схема проекции элемента на рабочей копии при наличии за- зора в зоне контакта 359
Таблица 9.6. Зависимость ухода совмещаемости иа крайних модулях рабочей копии ФШ от зазора и угла коллимации лучей осветителя (р) Д3 при зазор е, мкм ₽, град 1 2 5 10 2 0,07 0,14 0,35 0,7 4 0,14 0,28 0,7 1,4 6 0,21 0,42 1,05 2,1 8 0,28 0,56 1,4 2,8 10 0,36 0,72 1,8 3,6 изготовления эталонных и рабочих ФШ. Анализ интерференционных картин кон- тактирующих пар эталонный ФШ — подложка в контактных рамках, имеющих смотровое окно со стороны подложки, показал, что при любом избыточном давлении в рабочей зоне остаютси локальные зазоры 1...3 мкм, которые могут стать причиной искажении размеров и локальной несовмещаемости по краям рабочей зоны, где углы коллимации источника достигают наибольших значений. Величины зазоров в зоне контакта рабочий ФШ — полупроводниковая пла- стина значительно выше, чем при контакте эталонного и рабочего ФШ, из-за большой неплоскостности полупроводниковых пластин, которая у кремниевых достигает 20... 100 мкм. При изготовлении двух рабочих ФШ с одного эталонного при разных температурах уход совмещаемости рабочих копий относительно друг друга со- ставит &tanL, где Д/ — разница температур, ап — коэффициент линейного рас- ширения подложки (для флоат-стекла an=9-10-6 К-1); L—расстояние между центрами фигур совмещения на эталонном ФШ. При разнице температур 1 К расстояние между крайними модулями L, которое на эталонном ФШ равно 75 мм, на рабочих копиях отличается на 0,68 мкм. При контактном переносе изображения с рабочего ФШ на полупроводнико- вую пластину отклонение размеров рисунка пропорционально Д/(ап—«пп), где апп — коэффициент линейного расширения полупроводникового материала (для кремнии апп=4,7-10~6 К-1). Изменение расстояния между крайними модулими из-за температурных де- формаций не должно превышать половины допуска на совмещаемость. Допусти- мые колебания температуры при операциях контактного тиражирования ФШ и контактной ФЛ на кремнии могут быть представлены значениями (табл. 9.7), Таблица 9.7. Зависимость допустимых колебаний температуры от диаметра рабочей зоны изображения L и допуска на совмещаемость Операция L, мкм Д/ при допуске на совмещаемость, мкм 0.4 0,6 0,8 1 1,5 Контактное тиражиро. ванне рабочих ФШ Контактная ФЛ на Si 60 ±0,4 ±0,6 ±0,7 ±0,9 ±1,4 76 ±0,3 ±0,4 ±0,6 ±0,7 ±1,1 102 ±0,2 ±0,3 ±0,4 ±0,5 ±0,7 127 ±0,2 ±0,3 ±0,4 ±0,5 ±0,7 60 ±0,8 ±1,2 ±1,5 ±2,0 ±2,9 76 ±0,6 ±0,9 ±1,2 ±1,5 ±2,3 102 ±0,5 ±0,7 ±0,9 ±1,2 ±1,7 127 ±0,4 ±0,6 ±0,8 ±0,9 ±14 360
Due 9.27 Кинетика нагрева под- ложки ФШ: . — нагрев от руки в положении плаш- мГ на ладони; 2 — при обхвате пальцами * торцы; 3 — контактный нагрев на установке ЭМ-523; 4 — нагрев на опера- ции совмещения с кремниевой пластиной н якспонировання (минутные циклы) которые определяются по формулам: Д/=О,5Ло/^<и; Д/==0,5Дп/^(аи—ctnn), где До — допуск на совмещаемость; L — диаметр рабочей зоны изображения Зависимости температуры хромированной подложки размером 76x76X3 мм в условиях, имитирующих реальные технологические процессы, приведены иа рис. 9.27. При выставлении, совмещении и экспонировании происходит дополнительный нагрев ФШ. Все операции переноса изображения проводятся при температурах выше комнатной. По истечении определенного времени (5... 10 мин) устанавли- вается температура, характерная для конкретного технологического процесса. В ряде случаев (например, при непосредственном контакте ФШ с метал- лической поверхностью прогретой установки экспонирования или при контакте с ладонью оператора) дополнительный нагрев может достигать 10 К. Следова- тельно, для снижения температурных составляющих песовмещаемости необходи- мо уменьшить контактный и радиационный нагрев. Уход совмещаемости рабочих копий ФШ, изготовленных с одних и тех же эталонных ФШ на различных контактных рамках, составляет 1...2.5 мкм (рис. 9.28). Основные причины этого — прогиб зоны контакта (рис. 9.28, о), иеплоскопараллелыюсть движения приведения в контакт (рис. 9.28,6), неравно- мерный прижим и контактный нагрев (рис. 9.28,а — в). Лучшие результаты до- стигнуты на одно- и трехкамерных установках с прижимом стекла к эластичной прокладке (рис. 9.28, г, д). Однокамерные рамки обеспечивают изготовление ра- бочих копий с уходом совмещаемости в пределах ошибки контрольных средств. Рис. 9.28. Конструктивные схемы контактных рамок установок тиражирования ФШ с механическим при- жимом (о), жестким ва- куумным прижимом стекла к металлу (б), комбиниро- ванным прижимом эталон- ного ФШ к металлу под- ложки и к эластичной мем- бране (в), однокамерной рамки с мягким прижимом (г) и трехкамерной рамки с мягким прижимом (б): 1 — эталонный ФШ; 2 — под- ложка; 3— эластичная проклад- ка; 4 — эластичная мембрана; 5—кварцевое окно; В. Bi, Ва, Ва — вакуумные камеры г) 361
Рис. 9.29. Зависимость ухода совмещаемости на рабочих ФШ, изготовленных на трекка- мерной рамке, от начального зазора: 2 — по координате X; 1 — У; 3 — угловой разворот Трехкамерные установки обеспечивают мини- мальную несовмещаемость при установлении определенной величины первоначального зазо- ра I между эталонным ФШ и подложкой до подключении средней камеры в вакуумной си- стеме. На рис. 9.29 приведена зависимость ухо- да совмещаемости рабочих копий ФШ, изго- товленных при разных значениях I. Сущест- вует узкая область зазора, обеспечивающая минимальный уход совмещаемости рабочих ФШ. Уменьшение несовмещаемости трехкамерных рамок обеспечивается систе- мой с плавной установкой требуемого зазора. Одним из основных путей устра- нения этого весьма существенного недостатка является уменьшение длины вол- ны излучения до Z=18O...2OO нм, что позволяет уменьшить дифракцию и осу- ществить экспонирование при слабом прижиме и больших зазорах. Совмещаемость рабочих ФШ зависит от плоскостных подложек, на которых они изготовлены. На рис. 9.30 показана деформация на рабочих копиях перене- сенного с эталонного ФШ квадрата 52x52 мм, который образован центрами фигур совмещения; и бу — отклонения расстояний между центрами соответ- ствующих противоположных знаков совмещения на рабочем ФШ по сравнению с эталонным ФШ. Рабочие копии были изготовлены на подложках разной не- плоскостности на установке с контактными рамками (рнс. 9.28,6). Зависимость деформации рисунка эталонного ФШ на рабочих копиих от неплоскостности подложки проивляется сильнее на установках, не обеспечивающих совмещае- моств рабочего ФШ (рис. 9.28,а — в). На установках с контактными рамками, представленными на рис. 9.28, г, эта зависимость проявляетси нечетко. При контактном методе экспонировании часто происходит повреждение пленки ФР. Эти дефекты уменьшаются при использовании рельефной конструк- ции ФШ, исключающей непосредственный контакт маскирующего слои ФШ с ФР (рис. 9.31). Для изготовления рельефного ФШ рельеф в стеклянной заготовке можно создать ионно-химическнм лучевым травлением стекла либо жидкостным хими- ческим травлением, обеспечивающим глубину рельефа 0,4 и 1,5 мкм соответст- венно. Для рельефных ФШ с глубиной рельефа 0,4 мкм налипание ФР снижа- ется на 30%, а дли ФШ с глубиной рельефа 1,5 мкм налипание ФР отсутствует. Рис. 9.30. Картина деформации ри- сунка эталонного ФШ на рабочих копиях ФШ, изготовленных на под- ложках с различной неплоскост- ностью, мкм; 2(о), 5(6), 7(e) УФ I I | | I I I | Стекло .Маскирующее Фоторезист Кремниевая пластина Рис. 9.31. Принцип работы рельеф- ного ФШ 362
Современная оптическая контактная литография обеспечивает при доста- очно хороших экономических показателях формирование изображений па по- лях 100X100 мм с площадью отдельных микроизображений до 30...40 мм-2, минимальными размерами элементов 2 мкм, допуском на размеры ±0,5 мкм, совмещаемостью 0,8... 1 мкм. Совершенствование метода контактной печати свя- зано с уменьшением длины волны излучения вплоть до вакуумного УФ излуче- ния н примеиеиием мягкого рентгеновского излучения с Х~1 нм. Проекционный метод. Дли экспонирования в ФЛ используют проекционный метод переноса изображений путем одновременной передачи изображения ФШ на всю технологическую площадь; поэлементное (шаговое) экспонирование изображении с уменьшением или без уменьшения одного и того же элемента или разных типовых элементов по всей площади; Ьычерчивание изображения непосредственно на покрытой ФР технологической площади тонким ультрафио- летовым лучом, управляемым от ЭВМ. Проекционные системы по принципу формирования изображения могут быть разделены на системы, одновременно формирующие изображение на всем поле; сканирующие системы (последовательное экспонирование). Проекционные системы, формирующие изображение на всем поле, могут обеспечить высококачественное изображение только при наличии плоской под- ложки со светочувствительной пленкой (неплоскостность не должна превышать единиц микрометра по всей поверхности), а поэтому применяются ограниченно при формировании различных изображений на плоских стеклянных подложках, в частности для фотошаблонов ИС. В проекционных сканирующих системах получение микроизображений осу- ществляется путем последовательного формирования изображения, построения его по частям некоторым ограниченным полем, что обеспечивает более высокую разрешающую способность и соответствующую каждому участку экспонирования фокусировку изображения. Формирование изображения этими методами может осуществляться без изменения масштаба (V= 1) и с некоторым уменьшением. Наибольшее распространение получили масштабы 1:4; 1:5; 1:10; 1:15. Сканирование изображения может вестись непрерывно, без остановок и в прерывистом режиме, когда в момент экспонирования никаких перемещений компонентов оптической системы не происходит. Сканирование может проводить- ся как по одной координате, т. е. с разверткой только в одном направлении щелью или полосой, равной поперечному размеру поля изображения, так и по двум координатам. Наибольшее распространение получил стартстопный метод со сканировани- ем по двум координатам, широко применявшийся для мультипликации при изготовлении эталонных ФШ, а в настоящее время являющийся одним из наи- более прогрессивных методов формирования микроизображепня непосредственно иа подложке. Метод заключается в последовательном экспонировании отдель- ных полей изображении, соответствующих ИС (модулям), причем операция мультипликации изображений по всему полю проводится с использованием объекта, увеличенного в 5...15 раз (оптимальный масштаб 10:1), что позволяет повысить точность формирования изображения (рис. 9.32). Используют стартстопный проекционный метод с перемещением по одной координате и масштабом изображения 1 : I (рис. 9.33). Здесь объект представ- ляет собой не отдельное увеличенное изображение интегральной схемы, как в предыдущем случае, а ее предварительно мультиплицированное и приведенное к масштабу 1 : 1 изображение. Перемещаться могут либо объектив между не- подвижно размещенными относительно друг друга предметом и подложкой с изображением, либо предмет и подложка с изображением при неподвижном объективе. При наличии кривизны подложек необходима фокусировка, разре- шающая способность метода не менее 2 мкм. Для устранения ограничений, накладываемых кривизной пластин, использу- ют системы дли проекционного шагового переноса изображении в масштабе 1 : 1 с разверткой по двум координатам (рис. 9.34). Недостатком их является изго- товление предварительно мультиплицированного объекта (эталонного ФШ), сни- жающего точность совмещения изображений различных слоев. При непрерывном сканировании применяют метод однокоординатного ска- нирования — по одной координате частью кольцевого поля изображения в мас- 363
Рис. 9.32. Схема проекционного ша- гового экспонирования с одновре- менным уменьшением и мультипли- кацией по двум координатам: I — объект ФШ; 2 — объектив; 3 — пло- скость изображения; 4 — двухкоординат- ный стол Рнс. 9.33. Схема проекционного ша- гового экспонирования в масштабе 1:1с разверткой по одной коорди- нате: 1 — объект ЭФШ; 2 — объектив; 3 — одно- координатный стол; 4 — элементарное по- ле экспонирования Рис. 9.34. Схема проекционного ша- гового экспонирования в масштабе 1:1 с разверткой по двум коорди- натам: 1 — объект ЭФШ; 2 — объектив; 3 — двух- коордннатный стрл Рис. 9.35. Принципиальная схема одиокоординатного непрерывного ска- нирования кольцевым полем: 1 — кольцевая зона; 2. 3 — концентричные зеркала объектива; 4 — объект ЭФШ; 5 — изображение объекта 364
рис 936. Оптическая схема системы проекционной фотолитографии с ис- пользованием метода сканирующего переноса изображения в масшта- бе 1 : 1 / — объект; 2» 3—зеркальные объективы; 4 —зеркальная оборачивающая система; 5 — изображение; 6 — растровая освети- тельная система штабе 1 : 1. Схема метода представлена на рис. 9.35. В методе используется зеркальный объектив с V=—1, состоящий из двух концентрических сферических от- ражающих поверхностей 2, 3. В связи с трудностью обеспечения высокого качества изображения одновре- менно по всему полю система рассчитывается таким образом, что аберрации наилучших образом скоррек- тированы для некоторой кольцевой зоны поля изо- бражения 1. Сканируя частью этой кольцевой зоны, имеющей размер, равный ширине поли изображения, последовательно по всему полю и объекту, обеспечи- вают высокую разрешающую способность по полю, рав- ному произведению размера кольцевой щели Ц на зна- чение согласованного перемещения объекта и изображе- ния /2. При использовании этого принципа и оптической системы с Е=—1 объект 5 и изображение 4 будут двигаться с одинаковой скоростью, но в противополож- ных направлениях. Для устранения этого неудобства в схему необходимо ввести поворотную оптическую систему, например призму или зеркало (рис. 9.36). Достоинствами рассматриваемых систем ивляются: отсутствие хроматических аберраций, а следовательно высокое качество изображения и возможность использовать длиной волны, включая короткий ультрафиолетовый диапазон где создание линзовых систем затруднено из-за отсутствия свет с различной с Z=200 300 нм, необходимых сте- кол; меньшее рассеяние света, что является одним из определяющих факторов, влияющих па качество изображения современных оптических систем для ФЛ. Такие системы позволяют получать размеры элементов до 2 мкм на полях по- рядка 100X100 мм при искажениях (дисторсии) ±0,25 мкм, а при переходе на более коротковолновое излучение с Л=200 „250 им разрешение подобных систем может быть увеличено до 1 мкм. На качество изображения прн проекционном методе формировании микро- изображений влияют характеристики: источника излучения (спектральный со- став, длительность импульса, апертура, степень когерентности), осветительной системы (равномерность освещенности, степень и форма заполнения зрачка), объекта (размеры, взаиморасположение, форма элементов, контраст), объекти- ва (аберрации, апертура, ОПФ, Ч1\Х, светорассеяние), светочувствительного материала (спектральная чувствительность, толщина и ее равномерность, раз- решающая способность, коэффициент отражения пленки, вид и форма харак- теристической кривой, контраст, пороговые свойства), среды и условий работы системы (температурные условия, турбулентность среды, вибрации и т. д ). Эти факторы необходимо учитывать при оценке возможностей метода, разработке оборудования и технологических процессов. Проверка установок проекционной ФЛ с помощью ФШ. Измерение погреш- ностей современных фотоловторителей и мультипликаторов осложняется тем, что допустимые погрешности превышают точность средств линейных измерений. Для формирования и совмещения топологических рисунков с размерами 1...2 мкм допустимые погрешности не должны превышать 0,1.„0,2 мкм. Это означает, что погрешность измерительных средств для аттестации фотоповтори- телей и мультипликаторов должна быть не более 0,01...0,03 мкм иа поле размером 100X100 мм. 365
Рис. 9.37. Промежуточный ФШ: / — основная шкала; 2 — нониусная шкала Рис. 9.38. Изображение отпечатков ФШ в слое ФР на подложке и уве- личенное совмещенное изображение двух шкал в поле зрения окулира Для определения погрешностей установок совмещения и мультипли- кации используют специальный про- межуточный металлизированный фо- тошаблон (ПФШ) с нониусными и основными шкалами (рис. 9.37). По точности изготовления к ПФШ предъявляются требования: отклоне- ние координат расположения основ! значений должно быть не более 1 г. более 0,5 мкм; погрешность ширины элементов шкал—не более 0,3...0,5 мкм. Метрологическую аттестацию ПФШ выполниют на специальных двухкоор- динатных измерительных установках и компараторах для измерения шкал. При измерении координат используют высокоразрешающие системы типа лазерного интерферометра по схеме Майкельсона и специального измерительного фото- электрического микроскопа. Погрешность указанных систем не превышает 0,1 мкм. При измерении ширины элементов шкал можно использовать фотомет- рирующие измерительные устройства с погрешностью не более 0,1 мкм. Применение такого ПФШ позволит выявить следующие составляющие по- грешности установок совмещения и мультипликации: погрешности масштаба датчиков линейных перемещений и проекционной системы, шага мультиплика- ции, разворотов осей мультипликации, совмещении и базировании ПФШ, а также погрешности вследствие искажений, внесенных проекционной системой (дисторсия, трапецеидальность). Расчет этих погрешностей с помощью ПФШ может быть выполнен с ошибкой не хуже 0,1 мкм. Определение разворота осей мультипликации и трапецеидальных искаже- ний проекционной системы показано на рис. 9.38. В установке мультипликации и совмещения с помощью этого ПФШ на подложке формируется изображе- ние А. Затем подложке задается перемещение по координатам х нли у и формируются повторно изображения Б и В. Координатные перемещения по ортогональной координате отсутствуют. После проявления подложки можно обычным микроскопом определить смешение основной и нониусной шкал и, следовательно, величину искомой погрешности Ограничения ФЛ по разрешающей способности. Разрешающая способность R и ширина линий L связаны между собой соотношением /?= 1000/2L. Под шириной линии L и разрешающей способностью R следует понимать значения, которые могут быть получены на проявленном ФР. 366
Подготовка поверхности хранение пластин Понесение ФР Сушка ФР Храпение пластин Зкспояиро- Вание - Хранение пластин Проявление пластин хранение пластин Контроль качес- тво рисунка на ФР б| Р Р Р 77 Р J Р 4 Й1л1Й ЙЕ^ЙЙЙЕ^Й Дубление ФР хранение Подбор режима Травление пластин травления пластин Контроль качес- тво партии Рис. 9.39. Технологический процесс ФЛ: / — температура; 2 — время; 3 — химический состав среды, раствора; 4 — количество пылинок в 1 м3; 5 — вязкость; 6 — число оборотов; 7 — масса подаваемого вещества, г; 8— интегральная освещенность; 9— равномерность освещенности; 10—зазор; // — ос ве- ща ем ость; 12 — адгезия; 13 — размер объекта; 14 — число локальных дефектов; 15 — число регенераций, причины; 16 — представительство от партии, однородность партии Предельная разрешающая способность ФЛ определяется дифракционным критерием Рэлея, и соответствующая ей минимальная ширина линии ДЛИн= = 0,611/л sin о/2, где а — апертурный угол выхода; п — показатель преломле- ния света среды; X — длина волны экспонирующего света. Поскольку в реальных случаях л=1, о=0,95 и Х=0,4 мкм, то £ЛВн= =0,25 мкм. Предельная разрешающая способность оптической ФЛ (контакт- ной и проекционной) составляет 0,25 мкм. Качество процесса ФЛ. В технологическом процессе изготовления микро- схем ФЛ составляет 50% всей трудоемкости производства. Практически после каждого блока операций (окисления, диффузии, эпитаксии, напыления) произ- водится ФЛ. Наиболее существенными параметрами, несущими информацию о качестве процесса ФЛ (около 54 параметров), являются: внешний вид фото- резистивной маски после проявления, уход размера от заданного и число дефектных модулей. Цепочка операций ФЛ и параметры, влияющие на процесс, представлены на рис. 9.39. Оценку качества проведения процесса ФЛ, а также его регули- рования можно осуществить по обобщающим параметрам: толщине нанесенной фоторезистивиой маски; числу регенераций фоторезистивного рисунка (с учетом анализа причин); уходу линейных размеров определенных фигур, вытравленных на пластине; числе модулей, имеющих локальные дефекты. По этим основным параметрам можно произвести оценку стабильности работы участка в целом: Кс= [1—(10ne+2p+1Опо+А)/1ОО], где кс — коэффициент стабильности работы участка; п6— число отклонений по толщине ФР при нанесении; р — число ре- генераций; п0 — число отклонений по линейным размерам после травления; Л — число дефектных модулей при контроле по внешнему виду. Коэффициент Кс участка ФЛ связан с процентом выхода годных микро- схем при контроле пластин иа функционирование. На рис. 9.40 показана зави- симость процента выхода годных микросхем (в условных единицах) от изме- нения стабильности работы участка ФЛ. Считается, что остальные процессы изготовления микросхемы остаются неизменными. Контрольной операцией фотолитографического процесса является проверка качества выполнения рисунка по фоторезистивиой маске. Если геометрия эле- 367
Рис. 9.40. Зависимость выхода годных ИС от коэффициента стабильности работы участка меитов не соответствует требованиям технологи- ческой документации, то пластины возвращаются на регенерацию, т. е. происходит восстановление по определенному маршруту. Контроль качества фоторезнстивной маски позволяет пропускать на последующие операции только ту продукцию, на которой с определенной достоверностью можно провести качественное травление диэлектрика или металла, т. е. провести необратимые процессы. Регенерация фоторезнстивной маски возни- кает из-за различных причин: неправильное по толщине или плохое по качеству (пятна, трещи- ны) нанесение ФР, плохое проведение процесса экспонировании или проивления фоторезнстивной маски и т. д. Число регенераций ивляется одним из важных показателей, способствующих регулированию технологического про- цесса. При контроле в большинстве случаев устанавливают причину регенерации однозначно, а в ряде случаев это возможно при статистической обработке. Напри- мер, «сползание» резистивной пленки с пластин у нескольких партий, на которые наносили резист на одной и той же установке или которые проходили одну и ту же термическую обработку (окисление после диффузии фосфора). В пер- вом случае определяется дефект работы установки нанесения, в другом — ошиб- ки при термических обработках, приведшие к ухудшению поверхностных свойств пластин. Выяснение причин регенерации позволиет установить качество работы установки. Так, определение разъюстнровки установок совмещения по нека- чественному совмещению пластин с ФШ или появлению «вуали» на пластинах, проходящих на одной и той же установке совмещения, указывает на непра- вильный подбор режима работы (интегральная освещенность), а появление «вуали» на фоторезнстивной маске с разных установок указывает на дефект при проявлении пленки. Таким образом, учет причин, приведших к регенерации, а также их статистическая обработка позволяют проводить своевременное ре- гулирование технологического процесса формирования рисунка иа ФР. Уход размера на фоторезнстивной маске, ее дефектность в немалой степе- ни зависят от толщины и качества нанесения ФР иа пластину. Определение такого параметра, как толщина ФР, может проводиться оперативно, практи- чески без разрушения и остановки процесса. Это позволяет оценить качество работы установок по нанесению ФР и несколько сузить круг поиска причин регенерации. Толщина ФР является обобщенным параметром и в совокупности со статистической обработкой причин регенерации позволиет однозначно опре- делить факторы, влияющие на формирование фоторезнстивной маски на пла- стинах. После формирования рисунка на ФР производится травление пластин и снятие ФР, чем практически заканчивается процесс ФЛ. Затем выполняется измерение размеров определенных вытравленных фигур по пластине — «изме- рение критичных размеров». Если по результатам контроля предыдущих пара- метров известно, что процесс проходил без отклонений, то измерение критичных размеров практически определяет только качество локального травления ди- электрика илн металла на пластине. Брак по этому параметру, как правило, является окончательным и пластины не могут быть восстановлены. С другой стороны, этот параметр несет информацию о качестве прохождения всего про- цесса ФЛ, и его статистическая оперативная обработка позволяет также воз- действовать на собственно процесс ФЛ. Так, при условии нормального форми- рования фоторезистивного рисунка на одном и том же изделии и одном и том же слое ФР получение, например, уменьшенных критичных размеров указывает на то, что либо есть отклонения во второй термической обработке ФР, либо неправильно воспроизведены размеры на ФШ. Дополнительный контроль этих параметров позволяет выявить и локализовать причину брака. 368
Другим важным параметром, определяющим качество проведения процесса фД, является определение числа локальных дефектов в модуле иа пластине, достоверность визуального контроля целиком зависит от опыта оператора. На- личие локальных дефектов на пластине может быть вызвано различными при- чинами: качёством формирования фоторезистивного рисунка, травлением пла- стин, несоблюдением вакуумной гигиены и культуры производства на участке. Распределение по видам дефектов, статистическая обработка по количеству забракованных модулей могут выявить отклонении ие только в технологическом процессе самой ФЛ, но и в комплектующих изделиях (качество ФР, ФШ ит. и), а также в предыдущих процессах (качество окислении, эпитаксии, на- пыления и даже межоперационного хранения). Локальные нарушения с Опре- деленной конфигурацией указывают на повышенную запыленность на участке или длительное и неправильное хранение пластин до ФЛ и т. п. 9.5. Электронно-лучевая литография Метод электронолитографии характеризуется высокой разрешающей способ- ностью. Эффекты дифракции, ограничивающие разрешающую способность в оптическом и субоптическом диапазонах и связанные с большой длиной волны экспонирующего излучении (Х=350.. 400 им), в электронно-лучевой литографии (ЭЛЛ) пренебрежимо малы. Практические возможности ЭЛЛ оцениваются размерами элементов микросхем 0,2...0,3 мкм. Другим преимуществом ЭЛЛ является большая глубина резкости передаваемого изображении. Поэтому прак- тически не происходит искажения рисунка микросхемы при увеличении глубины рельефа многослойных структур и неплоскостности поверхности пластины. При- менение ЭВМ дли непосредственного управления электронным лучом (ЭЛ) позволиет легко перестраивать и корректировать программу экспонирования. Существуют три основные области применения ЭЛЛ: в технологии произ- водства эталонных ФШ и промежуточных фотооригиналов (ПФО) с размерами элементов более 2 мкм; при изготовлении БИС с размерами элементов меиее 1 мкм путем непосредственной микрогравировки кремния; в производстве вы- сокоточных ФШ для рентгено- и фотолитографии глубокого ультрафиолета. Процесс ЭЛЛ показан на рис. 9.41. В основе метода лежит воздействие управлиющего остросфокусированного ЭЛ на резист. Электроны локально либо разрушают его, либо сшивают молекулы, изменяя его свойства. В процессе проявления селективно удаляется экспонированная (позитивный резист) или неэкспонированная (негативный резист) часть. Сформированный из резиста рельеф (топологический рисунок) и является маской для различных технологи- ческих воздействий. Окна в пленке резиста могут быть использованы для трав- ления подложки ионным пучком или в плазме, а также для селективного ион- ного внедрении примеси в подложку. Процесс создания металлических линий с помощью позитивного или негативного резиста более детально показан на рис. 9.42. Структурная схема электронио-лучевой установки (ЭЛУ) представлена на рис. 9.43. В ее состав входят четыре основные подсистемы: средства ввода данных — обычно накопитель иа магнитном диске или ленте, а также алфавит- но-цифровое печатающее устройство и ЭВМ, управляющая генератором топо- логического рисунка и другими основными блоками установки; блоки, передающие команды ЭВМ электронному лучу и контролирующие процесс формирования топологии; электронно-оптическая колонна; вспомогательные системы электронно-опти- ческой колонны, включающие вакуумные насосы, источники литания и т. д. Электронорезисты. Они лредставлиют собой композиции электронио-чувст- вительиых полимеров в органических растворителях. Требования к электроно- резистам: высокая чувствительность к экспонирующим электронам (I...5)-10~6 Кл/см2; высокая разрешающая способность (возможность воспро- изведения элементов ИС размером до 0,2...0,3 мкм); низкая микродефектность пленки резиста (до 0,1 см-2); хорошая адгезия к полупроводниковым материа- лам; высокая термическая, плазмохимическая и химическая стойкость в процес- сах, связанных с микрогравировкой полупроводниковых слоев. 24 Заказ № 1270 369
ЭВМ t памятью ИдП и схемы управления луиом ВВод данных Электронная пушка Электрод за- пирания луна Фокусирующая катушка Пятна от ЭЛ 3/1 экспонирование резиста Топологический рисунок а) система Напыление Напыление Металла металла д %8й8йй| Позитивный резист ЭЛ экспо- нирование Резист Подложка Резист ЭЛ экспо- нирование Негативный резист маска а — экспонирование; б — Последовательность операций ЭЛЛ: Рис. 9.41. варианты использования маски из резиста для создания dhcvh- ков и ионно-легнровапных областей 370
Линия металла- Экспони До проявлении районный 'резист Резист Ройложко Позитивный резист осле проявления и напыления металла Рис. 9.42. Электронно-лучевое экспонирование позитивного и негативного рези- стов для формирования линий металлизации Характеристики электронорези ст о в и методы их опре- делении. Чувствительность электроиорезиста может быть определена по ха- рактеристической кривой (рнс. 9.44). За чувствительность позитивного резиста принимается доза Do, при которой облученные участки проявляются на полную глубину слои, а на неэкспонированных участках сохраняется более 90% исход- ной толщины пленки. Чувствительность негативного резиста обычно определя- ется дозой £>o,s, при которой на экспонированных участках остается около половины исходной толщины пленки. Важной характеристикой электронорезиста являетси его контраст Г, опре- делиемый как тангенс угла наклона характеристической кривой к оси абсцисс: Г= Ug(^o/Di)] где Di—точка, соответствующая началу проявления пози- тивного резиста или началу формирования нерастворимой сшитой пленки для негативного резиста. Разрешающая способность резиста может измеряться двуми способами: по числу пар линий проявленного изображения на единицу длины (линии/мм) и но наименьшей ширине отдельной изолированной линии (мкм). Определение раз- решающей способности по первому способу приводит к искажению истинной разрешающей способности резиста из-за эффекта близости соседних линий. Это связано с причинами, по большей части не зависящими от резиста (энергии электронов, материал подложки и т. д.). Второй метод в большей степени отражает свойства резиста, хотя и в этом случае существуют эффекты, иска- жающие этот параметр. Между диаметром пучка экспонирующих электронов и шириной воспроизводимой в резисте линии существует определенная взаимо- связь. Зависимость чувствительности и разрешающей способности от энергии электронов для некоторых резистов приведена на рис. 9.45 и 9.46. При определении микродефектностн для электронорезистов используются те же методики контроля, что и для ФР. Плазмостойкость электронорезистов наиболее достоверно может быть оце- нена по соотношению скоростей травления резиста и подложки в заданном технологическом режиме. Свойства полимеров и характеристики электроноре- зистов. Под действием излучений высоких энергий (электронов) полимерная основа позитивного резиста подвергается деструкции, а негативного — межмо- лекулярной сшивке. Деструктированные участки позитивного резиста имеют повышенную растворимость в проявителе по сравнению с неэкспонированными, а у негативных резистов — наоборот. К основным параметрам электронорезистов относятся: чувствительность электронорезиста, минимальная доза радиации, необходимая для получении 24* 371
372
Рис. 9.44. Характеристические кривые для позитивного (а) и негативного (б) электронорезисторов Рис. 9.45. Зависимость чувствитель- ности позитивных электропорезистов от ускоряющего напряжения в ус- тановке экспонирования Ускоряющее напряжение, кВ Рис. 9.46. Зависимость разрешающей способности электронорезистов от ускоряющего напряжения определенной толщины резиста, оставшейся после проявления, которая, в свою очередь, зависит от соотношения скоростей растворения Sh^ экспонированных S* и неэкспонированных S участков; Sh=S/S* = ATc0/M(b»),s , где Ма, Мы, — среднемассовые молекулярной массы иеоблученного и облученного участ- ков полимера; as — параметр проявители. Для позитивных резистов S(l не более 1 : 10, для негативных —- около 2 • 1 Важной физико-химической характеристикой полимера является темпера- тура стеклования Тв. Резисты с низким значением Тв могут быть подвержены ивлеиию хладотекучести, т. е. искажению контуров проявленного изображения, они не стойки в высокотемпературных процессах н имеют повышенную микро- дефектность, вызванную растворением при проявлении низкомолекулярных фрак- ций на участках, не подлежащих растворению Позитивные элетронорезисты. Основным классом позитивных электронорезистов являются полимеры — производные лолиметилметакрилата (РММА) общей формулы я, --- (Сн. ------ С ----- )„ 373
где Rt и /?2 — алифатические либо ароматические заместители (для РММд #1=СН3, /?2=СООСНз). Электронный РММА обладает высокой разрешающей способностью и прекрасными пленкообразующими и маскирующими свойствами Однако чувствительность его недостаточно высока. Причина низкой чувствитель- ности— небольшой радиационно-химический выход деструкции Gs= 1,3...1,7. Замещая а-метильную группу R} или эфирную группу Rz можно модифи- цировать РММА и повысить значение Gs. При этом в ряде случаев чувстви- тельность электронорезистов возрастает почти на порядок (табл. 9.8), но ухуд- шаются другие его параметры — разрешающая способность и термостойкость. Повысить чувствительность РММА удалось благодаря увеличению поглощенной энергии облучения, которое наблюдается при введении в состав полимера ато- мов тяжелых металлов. Особую группу производных РММА составляют позитивные резисты, имеющие в своем составе структурные единицы, способные к сшиванию, ко- торые при термообработке пленки образуют более или менее прочные меж- молекуляриые связи, противостоящие действию проявителя. При экспонирова- нии таких резистов происходит деструкция как основной цепи полимера, так и образованных при термообработке связей, и резист на облученных участках снова становится растворимым. Достоинством данных резистов является более высокая устойчивость к высокотемпературным процессам. К позитивным электроиорезистам относятся полиолефинсульфоны — сополи- меры диоксида серы с олефинами общей формулы п, I --- (СН, ------ с------ s0')„ --- I R, где Д1=—Н или —СН3; R? — алифатический илн ароматический заместитель. Полимеры данного класса характеризуются экстремально высоким для позитив- ных материалов радиационно-химическим выходом деструкции (10...12), кото- рый в несколько раз выше, чем для производных РММА. По чувствительности алифатические полисульфоны более чем на порядок превосходят РММА. Негативные электронорезисты. Они более чувствительны, чем позитивные, хотя обладают меньшей разрешающей способностью (табл. 9 9). В качестве негативных электроночувствительных материалов применяют сопо- лимеры глицидилметакрилата (ГМА) с соединениими винилового и акрилового рядов, радиационно-химический выход Gx которых в 30..100 раз выше, чем значение Ge у полимеров позитивного типа. При введении в полимеры радиационно-стойких сомономеров, таких как стирол, фенилакрилат и другие, удалось повысить термическую и плазмохимиче- скую устойчивость негативных резистов, но чувствительность несколько сни- зилась К классу негативных электроиорезистов относят сополимеры и различные производные бутадиена и изопрена. По чувствительности они не уступают сопо- лимерам ГМА, но по контрасту и разрешающей способности значительно хуже. Хорошо известны негативные электронорезисты на основе кремнийоргани- ческих соединений, а также сополимеров сложного строения с разветвленными боковыми цепями, содержащими виннльные и эпоксидные группировки. Применение электроиорезистов. В технологии изготовления прецизионных ФШ методом ЭЛЛ широко используются позитивный электроно- резнст PBS и негативный СОР. Высокая чувствительность этих резистов делает процесс достаточно производительным и экономически выгодным. Разрешаю- щая способность СОР и PBS позволяет воспроизводить на шаблоне элементы с размерами до 1,5...2 мкм. Внедрению PBS в технологию непосредственно изготовления кремниевых ПС препятствует его слабая плазмостойкость. Однако путем тщательного подбора 374
Таблица 9.8. Характеристики позитивных электроиорезистов Электронорезист Чувствитель- ность, К л см2 Ускоряющее напряжение, кВ Толщина, оставшаяся после прояв- ления пленки, % Разрешаю- щая способ- ность, мм Характеристики полимеров А»ш- ю—2 7>.К О, -—— РММА 5-10—4 10 100 0.2...0.3 — 378 1,3 Сополимер ме- 4-10-5 15 80 1,25 116 320 5,4 тилметакрилата (ММА) с изобути- леном Полиметилизопро- 2-IO-6 5 80 0,8 пенилкетон Сополимер ММА с 7-Ю-6 5 85 0,6 — — — мстилизопропенил- кетоном Полигексафторбу- 4-Ю-7 20 — — — 50 —. тилметакрнлат (FBM) Полиметакрило- 2-Ю-6 10 373 1 1330 380 3,3 нитрил (PMAN) Сополимер с ме- 8-Ю-6 25 65 568 — — тил-а-цианокрила- том Сополимер а-циа- 2-Ю-6 20 75 0,5 150 398 — ноэтилакрилата с а-амидоэтилакри- латом (FMRE-101) Полиметил-а- 5-Ю-6 10 90 0,5 125 5,8 хлоракрнлат (РМСА) Сополимер ММА с РЮ-5 10 90 — — — — метакриловой кис- лотой — сополимер ММА с хлорангид- ридом метакрило- вой кислоты Сополимер ММА с 1-Ю-5 20 100 0,3 403 метакриловой кис- лотой Сополимер ММА ЗЮ-5 20 100 0.5 200 433 —. с солью РЬ мета- криловой кислоты Полибутенсульфон 8-10-7... 5 100 0,8 1000 • 12 (PBS) Полистиролсуль- ...1-10-5 IO"5 5 100 — — До 523 — фои (PSS) ЭРП 1 5-Ю-5 10 100 0,5 — — — ЭРП-4 5-Ю-6 10 100 1 — — — ЭРП 7 1-Ю-5 10 100 1 — — — 375
Таблица 9.9. Характеристики негативных электронорезистов Электронорезист Чувстритель- ност tj К л см2 Ус коряющее напряжение, кВ Толщина, оставшаяся после прояв- ления пленки, % Контраст | г0,5 I Разрешающая способность, мкм Характеристики полимеров AfIO-10-3 га.к Полиглицидилмета- 4 10-’ 15 50 2 0,5 125 крилат Сополимер ГМ А с 4-10-’ 10 50 1 1 160 307 этилакрилатом (СОР) Сополимер ГМА со 8-Ю-6 10 50 1,4 49 363 стиролом Сополимер глипидил- 5-Ю-6 10 50 1,1 57 326 акрилата со стиролом Полистирол (PS) 1-ю-6 15 50 1 1460 Полихлорметилсти- 4 10-7 — — 1.4 0,2 — —. рол (CMS) Полибутадиен (РВ) 2-Ю-7 20 50 0,8 Эпоксидированный 5-Ю-6 20 50 0,4 — .— — полибутадиен (ЕРВ) Сополимер бутадиена 2-Ю-6 20 50 1.8 — ,— — со стиролом Полиметилциклоси- 2 10-5 20 50 1,9 локсан (PMCS) Поливинилциклоси- 7-Ю-7 20 50 0,5 локсан (PVCS) Сополимер октаде- 1-ю-7 9 — — — — цилвинилового эфира с аллиловым эфиром малеиновой кислоты (VL-100) Сополимер метилмет- 8 10-7 50 1,8 0,3 акрилата с мономе- тилмалеинатом (SEL-N) ЭРН-20 5-Ю-5 10 50 1...1.5 ЭРП-16 1 ю-7 10 50 — 2...3 — — режимов плазмохимического травления (ПХТ) удалось через маску PBS про- травить слои Si3N4 и поликристаллнческого кремния. Показано, что стойкость PBS сильно зависит от температуры процесса ПХТ, а резисты РММА и СОР менее чувствительны к ней. Для кремниевой технологии наиболее широко используемыми электроноре- зистами являются РММА и его производные, так как они обладают высокой разрешающей способностью. На РММА получены линии в 2,5-10~2 и даже 8-Ю-3 мкм. Повысить чувствительность РММА можно при многократном по- крытии подложки несколькими слоими РММА с разными молекулярными мас- сами. На рис 9.47 показана двухслойная система электронорезиста на подлож- ке (5), в которой нижний слой РММА (2) имеет молекулярную массу 82 тыс., а верхний (1) — 750 тыс. При проявлении такой системы в метилизобутилкето- не происходит довольно сильное уменьшение толщины верхнего слоя, а нижний оказывается полностью нетронутым на неэкспонированных участках и служит отличной маской при травлении. Чувствительность такой системы достигает 7-10-6 Кл/см2. Возможно использование системы из двух слоев разных резистов. Прояви- тель каждого слоя должен исключать проявление другого слоя, что обеспечи- 376
рис. 9.47. Схема процес- са «взрывной» металли- зации с применением двухслойного электроно- резиста РММА: д —нанесение резиста: б — профиль резнста после про- явления; в — осаждение алю- миния 1 2 5 а) вает бездефектность маскирующего слоя и позволяет достигнуть чувствитель- ности 5Ю~6 Кл/см2. Еще один способ повышения чувствительности РММА, содержащего около 1 % метакриловой кислоты, основан на различной способности экспонированных и неэкспонированных слоев к набуханию в соответствующем проявителе. На- бухшие участки удалиются при разбрызгивании органического вещества на по- верхность пленки резиста. Получена чувствительность 5-10—6 Кл/см2. В технологии важным является стойкость резистивных материалов в режи мах травления. Плазмо- и ионно-химические способы травления имеют сущест венные преимущества перед жидкостными, особенно прн воспроизводстве эле- ментов субмикронных размеров. В методах ЭЛЛ с использованием ПХТ нли «взрывной» металлизации сле- дует учитывать следующее: негативные электронорезисты обладают более высокой плазмохимической стойкостью, чем позитивные; наиболее плазмостойкими материалами являются полимеры, макромолеку- лы которых содержат в основной или боковой цепи радиационно- и термостой- кие группировки (ароматические, циануратные и т. д ). Относительное содер- жание таких групп определяет плазмо- и термоустойчивость электроиочувстви- тельных материалов; плазмоустойчивость органических резистов в основном будет определяться наличием или отсутствием следов кислорода при ПХТ, так как скорости уда- ления полимерных материалов в кислородной плазме в 5...10 раз выше, чем в чистой галогеносодержащей; стойкость резистов также зависит от температуры травящей плазмы. Кроющая способность электронорезистов. Одним из важ- ных свойств электронорезистов является кроющая способность (КС), т. е. способность резистов образовывать на микрорельеф ной поверхности пластин пленку равномерной толщины. Высокая КС электронорезистов обеспечивает не- обходимую однородность размеров элементов на выступах и впадинах пла- стины. Оценку КС электронорезиста проводят по тесту (рис. 9.48), выполненному на полупроводниковой кремниевой пластине в виде трех типов топологии полу- проводниковых структур, наиболее часто встречающихся в реальных условиях. 1 — одиночные (изолированные) выступы; 2— одиночные впадины; 3 — чередую- Рис. 9.48. Топология теста для опре- деления кроющей способности рези- стов Рис. 9.49. Фрагмент профиля сту- пеньки микрорельефа дли определе- ния коэффициента КС 377
Рис. 9.50. Зависимость Нх1Нг от ши- рины структуры элементов В: 1 — ХМПС-1; 2—ХМПС; 3 — СММК; 4 — ЭРН-14С; 5 —ЭРП-40; 6— ЭЛП-9 щиеся выступы и впадины. Ширина элементов для этих типов топологии 3...30 мкм, а длина 1000 мкм. Изготовление теста возможно на кремниевых пластинах с ориентаций кристаллографических осей [100] ани- зотропным травлением (АТ) монокри- сталлического кремния на необходимую глубину через резистивную маску и пленку SiO2. Ступенька микрорельефа должна быть с фиксированным углом наклона. В качестве коэффициента КС элект- ронорезиста принито отношение толщи- ны пленки резиста на выступе к толщине пленки резиста во впадине Нг микрорельефа. Толщина пленки электро- норезиста на гладкой части пластины Л, способ нанесения и сушки резиста, глубина микрорельефа могут быть при этом переменными. Толщины электронорезистнвной пленки на выступе и впадине микрорельефа измериются методом оптической интерферометрии в середине выступа или впадины (рис. 9.49). На рис. 9.50 показаны зависимости Н\/Н2 от ширины В элементов струк- туры при постоинной глубине микрорельефа Н=1 мкм и толщине электроно- резиста /г=0,55±0,05 мкм для топологии третьего типа. Выбор значений Н и h дли определения КС электронорезиста обусловлен их типичностью для совре- менного этапа изготовлении БИС с субмикронной и микронной геометрией. С ростом ширины элементов структуры относительное значение Н\/Нг для электронорезистов (табл. 9.10) независимо от взаимного расположения элемен- тов возрастает. Чем меньше ширина элемента, тем значительней неравномер- ность его покрытия пленкой резиста . Наиболее ярко это выра- жено для третьего типа топологии в области линейных размеров до 15 мкм (рнс. 9.50). Для первого и второго типов топологии эта область сужается до 7 мкм, а характер кривых зависимостей Нх/Н2 от В сохраииется. Наилучшей КС среди приведенных резистов для элементов топологии размером менее 3 мкм обладает позитивный электронорезист ЭРП-40 (КС=0,63...0,73), но и эта КС недостаточна для равномерного перекрытия ступенек рельефа глубиной 1 мкм. Таблица 9.10. Основные параметры электронорезистов Электронорезист Чувствительность, К л/см8 Максимальная разрешающая способность, мкм Температура сушки, К ЭЛП-9 2-Ю-5 0,9 433...443 ЭРП-40 1,5-10-5 0,9 433..443 ЭРН-14С 210 s 0,5 373...383 ХМПС 2-Ю-6 0,6 373...383 ХМПС-1 3-106 0,8 403...413 СММК 2-Ю-5 0,4 393...403 Прн ширине элементов В^(3...5)й понятие «кроющая способность» рези- стивных материалов териет физический смысл, так как фактически выравнива- ется поверхность пластины (рис. 9.51). Для элементов структуры шириной более 15 мкм Н\/Н2 (на примере третьего типа топологии) практически не за- висит от исходной толщины резиста h н стремится к единице, а с уменьшением линейных размеров структур влниние h на Нх/Нг становится существенным. Полученные зависимости Ну/Н2 от Н (рис. 9.52) свидетельствуют о резком снижении равномерности покрытия структуры пленкой резиста с увеличением 378
Рис. 9.51. Зависимость //i//72 от тол- щины исходной пленки электроно- резиста ЭЛП-9 при /7=1 мкм Рис. 9.52. Зависимость /71//72 от глу- бины рельефа Н для ЭЛП-9 Для первого типа топологии /7=0,5 мкм; В=3, 8, 30 мкм (кривые 1, 4, 6 соот- ветственно); для третьего типа тополо- гии при В=0,5 мкм /7=0,5; 1; 1,5 мкм (кривые 2, 3, 5 соответственно) глубины ступеньки микрорельефа, уменьшением ширины элементов и толщины резиста. Для получения методом ЭЛЛ топологических структур субмикроиной и микронной геометрий с высокой воспроизводимостью их размеров глубина ступеньки микрорельефа не должна превышать 0,5 мкм при толщине резиста не менее 0,5 мкм. Создание полупроводниковых приборов с размерами элемен- тов менее 1 мкм ставит перед технологами и топологами задачу совершенство- вания технологии их изготовлении не только по разработке и внедрению новых процессов и материалов, но и уменьшению высоты ступеньки рельефа полу- проводниковой структуры. Степень планаризации рельефной поверхности можно оценить с помощью приведенной методики как /^/(гА+Лг)- Планаризация поверхности будет до- стигнута в том случае, если это отношение равно или близко к единице. Методы электронно-лучевого экспонирования. Для получения рисунков ме- тодом ЭЛЛ применяются два способа: ЭЛ, управляемый ЭВМ, перемещается заданным образом по поверхности подложки; электронный пучок проходит через маски. Электронный пучок может быть сформирован в очень тонкий луч. который модулируется и перемещается по поверхности нанесенного на подложку резиста для получения необходимого рисунка. Электронный луч может быть сфокусирован в точку субмикронного размера при таких больших токах, при которых времи экспозиции составляет меиее 10~7 с. Поскольку для получения рисунков на подложке с кристаллом 0,5 X 0,5 см2 и размерами элементов 0,25 мкм2 требуетси 10ю позиций луча, то повышение скорости экспозиции является весьма важной задачей. Методы репродуцирования с высокой разрешающей способностью приведены иа рис. 9.53. Они основаны на бесконтактном репродуцировании рисунка ФП с помощью электронного (рис. 9.53, а, б) или рентгеновского (рис. 9.53, е) луча. Среди этих проекционных методов рентгенолитографии обеспечивает наиболее высокую разрешающую способность. Методом электронной проекции с фотока- тодом получены линии шириной 0,5 мкм на поле 75 мм и методом реитгено- литографии линии шириной 0,1 мкм. Целесообразно использовать шаблоны, по- лученные с помощью ЭЛЛ и в проекционной ФЛ с зеркальной системой (рис. 9.53, г) в сочетании с УФ излучением, чтобы свести к минимуму эффекты дифракции. Алгоритмы формирования топологии. Формирование топологи- ческого рисунка в слое резиста осуществляют: векторным сканированием, при котором сначала лучом рисуют требуемую фигуру, затем скачком перемещают 379
Рнс. 9.53. Методы репродуцирования с помощью электронного (а, б), рентге- новского излучения (е) н проекционной ФЛ с зеркальной системой (г): 1 — фотокатод с маской; 2 <— фокусирующие отклоняющие катушки; 3 — кремниевая пла- стина; 4— проекционная линза; 5 — конденсаторные линзы; 6 — электронная пушка; 7 — шаблон; 8— подложка; 9 — резист; 10— маска из золота; 11— основное зеркало; 12 — вспомогательное зеркало Рис. 9.54. Экспонирование ческого рисунка методом го (а) и растрового (б) вания топологи- векторно- сканиро- его в другое поле сканирования « при- ступают к формированию следующей фигуры (рис. 9.54, а); растровым ска- нированием, при котором сканирование проходит по всей площади наподобие телевизионного растра, однако воздей- ствие осуществляется только на тех участках, которые должны быть экспо- нированы (рнс. 9.54, б); экспонировани- ем всего топологического элемента сра- зу лучом с переменным сечением. 3$0
Рис. 9.55. Варианты использования векторного сканирования: а — растровое сканирование; б— растровое сканирование с обходом по контуру; в — спи» ральное сканирование; г — ВЧ-сканирование лучом с заданным сечением; 3 —сканирова- ние с обходом по контуру лучом с изменяемым сечением; е — спиральное сканирование лучом изменяемого сечения При формировании топологических фигур используют несколько вариантов метода векторного сканирования (рис. 9.55). Луч может сначала обойти контур фигуры, а затем двигаться по спирали к центру (рис. 9.5, в) или, начав с кон- тура, описывать петли, заполняя внутреннюю часть фигуры (рис. 9.55,6); мо- жет и не обходить контур (рис. 9.55, а). Преимуществом вариантов с обходом контура является возможность экспонирования внутренней части лучом боль- шего сечения, если, конечно, установка позволяет быстро изменять размер се- чения, не внося искажений в топологический рисунок (рис. 9.55, д,е). Максимальная разрешающая способность последовательной ЭЛЛ опреде- ляется минимальной шириной линии экспонирования. Она зависит от диаметра электронного пучка d и размера области обратного рассеяния, т. е. области резиста, в которую проникают электроны, отразившиеся от рабочего материала. При обработке слоев резиста толщиной 0,3..0,5 мкм электронным пучком диаметром d=0,05 мкм получены линии экспонирования шириной 200...260 нм, что в 5 раз превышает размер диаметра пучка. Следовательно, если в уста- новке для последовательной ЭЛЛ диаметр пучка равен ds, то минимальный размер создаваемой микроэлектронной структуры не может быть меньше 5ds. Ширина линий экспонирования зависит от толщины резиста. Чем тоньше слой резиста, тем меньшую ширину линии можно получить. Следует учитывать, что слои резиста толщиной меньше 0,2 мкм не обладают достаточными защит- ными свойствами при травлении. Теоретически электронный пучок может быть сфокусирован до размеров порядка единиц нанометров Диаметр электронного пучка исходя из дифрак- ционного предела определяется выражением d£= 15f/D]:U, где / — фокусное расстояние электронной линзы; D — диафрагма электронной линзы; U — уско- ряющее напряжение в вольтах. При последовательной ЭЛЛ на резисте получены линии шириной 0,1 мкм. 381
Шаблоны для ЭЛЛ. Для субмикронной проекционной ЭЛЛ создают шаб. лоны на тонких мембранах, которые прозрачны для электронов и служат ос- новой для нанесения на нее маски. Материал мембраны должен обеспечивать прохождение электронов с минимальным рассеянием, обладать необходимой механической прочностью, технологическими и физическими характеристиками, позволяющими создавать на поверхности мембраны доброкачественное масочное покрытие. В качестве мембран для масок используются в основном кремний и его соединения, а в качестве маскирующих покрытий — золото, платина и не- которые другие металлы. При прохождении электронных пучков через тонкие пленки электроны ис- пытывают многократные упругие и неупругие столкновения с атомами вещества. Характер взаимодействия существенно зависит от энергии электронов. Для электронов с энергиями 20...100 кэВ, которые являются оптимальными для осу- ществления процессов экспонирования в ЭЛЛ, характерны следующие явления: сильное торможение и рассеяние в среде; малая глубина проникновения; потеря энергии на ионизацию атомов среды, во много раз превышающая потери на тормозное излучение; невозможность ядерных реакций и рождения пар. При энергии электронов на входе и выходе из пленок соответственно 50 и 20 кэВ глубина проникновения электронов в пленки из Si, Al, SiO2, А12О3, BN, SiC примерно в 4...5 раз превышает глубину проникновения в пленки из Au, Pt, Fe, Си, Mo, W. Прн этом боковое рассеяние электронов на единицу глубины проникновения d/t для тонких пленок из материалов первой группы значительно меньше, чем для тонких пленок из материалов второй группы. Материалы Si, SiO2, А12О3, Al, BN могут быть рекомендованы для использова- ния в качестве мембран шаблонов, a Au, Pt, Fe, Си, Mo, W могут быть ис- пользованы как маски. Расчет оптимальных толщин структуры тонкопленочного шаблона на мем- бране. Для современных электронорезистов энергия электронных пучков долж- на быть не меньше 10...20 кэВ. В то же время для обеспечения контрастности изображения необходимо, чтобы энергия электронов, прошедших через маску и мембрану, была много меньше заданной энергии экспонирования резиста. Значение энергии электронов, прошедших маску и мембрану, можно вы- брать так, чтобы значение энергии Ei=0,6/, где J — средняя энергия атомных переходов в материале маски, которое для различных материалов пленок со- ставляет 0,2...1 кэВ и удовлетворяет условию получения контрастных изобра- жений. Расчеты показывают, что при прохождении электронного пучка в по- следовательности мембрана — масочное покрытие прн £с=20 кэВ, маски из Ап, Mo, Fe, Ti, Си и Ni имеют соответственно толщину 1,07; 1,52; 1,55; 2,82; 1,43; 1,41 мкм. Толщина мембраны определяется энергией облучающих электронов (в данном случае £о=50 кэВ) и энергией экспонирующих резист электронов (£(=20 кэВ). При заданном расположении мембраны н маски относительно падающего электронного пучка уширение линий при ЭЛЛ будет определяться в основном геометрической формой канала маски и зазором между маской и электронорезистом. Если зазор пренебрежимо мал, то уширение экспонируемых линий будет происходить в результате облучения электронами, вышедшими из мембраны под углом, большим некоторого критического значения срКр (рис. 956). Электроны, вышедшие из мембраны под меньшим углом, будут испытывать многократные столкновения со стенками канала, в результате которых плотность электронного пучка может значительно уменьшиться, и при одинаковом времени облучения доза экспонирования от этой части электронов будет недостаточной для засветки электронорезиста. Оценки показывают, что при 5 ..6 столкновениях Рис. 9.56. Критический угол засветки резистов при прохождении электронных пучков через ка- налы маски в структуре шаблона мембрана — маска: 1 —-мембрана; 2 — маска 382
ектронов со стенками канала плотность электронного пучка уменьшается на ЭЛ пядок Из геометрических размеров канала маски (рис. 9.56) может быть "пределено <pi!p=arctg[ft/(ft+ 1)Z], где ft —толщина маски; I— ширина канала маски; ft=5 .6 — число столкновений электронов со стенками канала маски. Из формулы видно, что засветка электронорезиста под шаблоном будет тем меньше, чем больше отношение ft//. Для рассчитанных толщин маски при ширине линий 0,1...0,3 мкм <ркр—50...60 . При прохождении электронного пучка через шаблон в последовательности масочное покрытие — мембрана значения толщин рассчитываются следующим образом Определяется толщина мембраны, при прохождении которой энергия электронного пучка изменяется от начальной энергии облучения до энергии экспонирования электронорезиста. Затем определяется толщина маски, которая в совокупности с найденной толщиной мембравы обеспечивает снижение энер- гии проходящего электронного пучка от Ео до Ei—OfiJ. Для этого по найден- ной толщине мембраны и энергии ва выходе из иее определяется энергия элект- ронов на границе маска — мембрана. Исходя из значения этой энергии и энер- гии облучающих шаблон электронов можно определить толщину маски. Ре- зультаты расчета толщин маски и мембраны для некоторых материалов при прохождении электронного пучка в последовательности маска — мембрана при- ведены в табл. 9.11. Толшииы тонкопленочных маскирующих покрытий в струк- туре шаблонов маска — мембрана меньше, чем в структуре шаблонов мембра- на — маска. Это объясняется тем, что в первом случае маска должна снизить энергию падающих электронов примерно на 5...6 кэВ. Оставшаяся часть энер- гии пучка поглощается в мембране. Во втором случае маске необходимо погло- тить электрон с энергией 20 кэВ, что и обусловливает ее большую толщину. Таблица 9.11. Соотношение толщин маски и мембраны Толщина, мкм Толщина, мкм Структура шаблона маски мембраны Структура шаблона маски мембраны Ли — Si Мо — Si Fe—Si Си — Si Ли — Л1 Mo —Al Fe —Л1 Си — Л1 Ли — SiO2 Мо — SiO2 Fe — SiO2 Си — SiO2 0,88 1,35 1,27 1,19 0,98 1,46 1,55 1,43 0,96 1,47 1,53 1,41 20 17 16,22 Au — Л12О3 Мо — А12О3 Fe — А120з Си — Л120з Ли - BN Мо — BN Fe —BN Си —BN Ли — SiC Мо — SiC Fe — SiC Си — SiC 0,96 1,47 1,53 1,41 0,89 1,4 1,46 1,34 0,929 1,454 1,513 1,393 11,03 17,46 13,55 9.6. Рентгеновская литография Основу рентгеновской литографии (РЛ) составляют принципы контактной или бесконтактной печати при использовании для экспонирования низкоэнерге- тического (1...10 кэВ) рентгеновского излучения. В этом случае можно прене- бречь явлением дифракции, свойственным фотолитографии, а также явлением обратного рассеяния, возникающим при ЭЛЛ. Принцип РЛ иллюстрируется рис. 9.57. Система РЛ состоит из собственно рентгеновской установки для экс- понирования; системы совмещения шаблона с подложкой; прецизионного шаб- лона. Лучи проходят через шаблон, задерживаются на одних участках и пре- ходят через другие, проецируя изображение шаблона иа резисте. Чувствитель- ность реитгенорезистов приведена в табл. 9.12. 383
Рис. 9.57. Принцип РЛ и искажения при экспонировании резиста в системе проекционной печати: а — геометрические; б — полутеневые искажения; / —• вакуумная камера; 2 — катод; 3 — электронный пучок; 4— мишень; 5—источник рентгеновских лучей; 7 — вакуумное окно; 8 — подложка шаблона; 9 — поглотитель рентгеновских лучей; /0 зазор; 11 —- ре- зист; /2 — пластина Таблица 9.12. Чувствительность рентгенорезистов Рентгенорезист Тип Доза облучения, мДж/см2 ПММА Позитивный 1000 Полибутенсульфон 100 Сополимер глицидилметакрилата и Негативный 50 этилакрилата Поли- (2,3-дихлор-1 -пропилакри лат) 10 Эпоксидированный полибутадиен 5,2 Поли-2-хлорэтилвннил эфир (1%-ный 18 винилоксиэтилакрилат) Сополимер метилметакрилата и ме- Позитивный 28 такрнлата Т1 (массовая доля 28%) Смесь диакрилата барня (массовая 25 доля 4 части) и диакрнлата свинца (массовая доля 1 часть) Сополимер глицидигакрилата и 2,3- дибром-1 -пропилакрилата (молярная доля 60%) 15 Поли-(2,2,3,4,4,4-гексафторбутилмета- крилат) Позитивный 52 384
рис. 958 Зависимость коэффициента по- глощения различных материалов от дли- ны волны Маски для рентгенолитографии. Ос- новная цель при создании масок для РЛ заключается в изготовлении тонкой под- ложки, прозрачной для рентгеновского из- лучения. Из-за высокого коэффициента аб- сорбции всех твердых материалов маски должны выполняться на тонких подложках (1 .12 мкм). С одной стороны, маска долж- на хорошо пропускать рентгеновское излу- чение в местах, где отсутствует поглощаю- щий слой, с другой — хорошо поглощать его в непрозрачных местах. Для изготовле- ния подложек используют два типа мем- бран— органические и неорганические (по- лиамид, кремний, двуокись кремния, алю- миний и их соединения). Подложки должны обеспечивать ста- бильность размеров масок при изменении температуры и воздействии влаги. При совмещении маски с подложкой с точно- стью 0,1 мкм стабильность размера маски должна быть лучше 0,1 мкм на расстоянии свыше 1ии мм. Маска для РЛ не может быть изготовлена на стеклянной основе (1\ТР стекла 3,7 Ю6 град-’), так как в том случае она поглощала бы большую часть рентгеновского излу- чения. Обычно применяются материалы с малой удельной массой, такие как бериллий, алюминий, кремний и другие простые вещества и соединения легких элементов, например SiO2, Si3N4, SiC, а также некоторые органические соеди- нения. Как видно из рис. 9.58, передача рентгеновского излучения через подлож- ку определяется коэффициентом поглощения, зависящим от длины волны и толщины. Так, при использовании источника А1к (Х=0,834 нм) для обеспечения достаточной прозрачности маски толщина подложки кремния не должна превы- шать 10 мкм, а для излучения с длиной волны более 2 нм подложка должна быть тоньше 1 мкм. Более короткие волны (около 0,5 нм) можно использо- вать для экспонирования структур с размерами элементов 1...10 мкм на более толстом слое поглощающего материала, в то время как для элементов, требую- щих высокого разрешения, предпочтительнее применение более длинных волн Материал — поглотитель рентгеновского излучения — должен иметь максималь- ное произведение удельной массы на атомный номер. К таким материалам от- носятся золото и платина, однако поглощение золота зависит от длины волны рентгеновского излучения, и эту зависимость необходимо учитывать при конст- руировании масок. При экспонировании коротковолновым излучением кон- трастность рисунка уменьшается вследствие возникновения рентгеновского из- лучения со стороны золотого покрытия маски и экспонируемого резиста. Для уменьшения влияния эмиссии оже- и фотоэлектронов из резиста между экспо- нируемой пластиной и резистом вводят тонкий буферный слой металла или покрывают резист тонким слоем (5...80 нм) металла, например эрбия, который обладает большим коэффициентом поглощения рентгеновских лучей для А1к-излучения При этом рентгеновское излучение поглощается в металле, и вторичные электроны, имеющие значительно большее сечение взаимодействия с резистом, экспонируют его. Оптимальная толщина подложки для рентгеновской маски и толщина абсор- бирующего материала являются функциями длины волны рентгеновского из- лучения и параметров применяемого резиста. Поглощающий рентгеновское из- лучение материал можно сформировать разными методами Обычно наносят два металлических слоя: нижний-—слой хрома, обеспечивающий адгезию к под- 86 Заказ Ks 1270 385
ложке, в верхний — слой золота, толщина которого зависит от степени жестко- сти рентгеновского излучения и контрастности ФР и составляет обычно 0,5..0,7 мкм. Рисунок на слое золота формируется с помощью одного из четырех процессов: ионно-лучевого травления, электрохимического осаждения, травления распылением или взрывной ФЛ. Платина является несколько лучшим поглоти- телем, чем золото, но она менее технологвчный материал. Наиболее приемлемыми материалами для изготовления рентгеновских ма- сок являются кремний и его соединения. Кремний имеет скачок поглощения рентгеновского излучения при Х—0,674 нм, что ограничивает его использование в качестве маски с алюминиевым нли кремниевым источником рентгеновского излучения. Маска со структурой золото-на-кремнии имеет коэффициент теплового рас- ширения, согласующийся с тепловыми свойствами кремниевых пластин, на кото- рых изготавливаются приборы. Толщина подложки маски составляет 2..5 мкм. Для формирования рисунка маски используется сканирующий электронный микроскоп. Относительно небольшая скорость этого процесса является серьез- ным ограничением. Высокая точность метода (0,1 мкм) обеспечивает высоко- точное совмещение масок. Кремниевые мембраны толщиной 1, 3 и 5 мкм для масок на основе кремния ориентации (100) н (110) получают легированием материала л-типа бором на соответствующую глубину. Исходная толщина пластин составляет 250 мкм. Глубина диффузии бора определяет конечную толщину мембраны. Диффузия бора на глубину 1...3 мкм была проведена при 1320 К в течение 3 ч из бро- мистого бора, для получения глубины 5 мкм — из нитрида бора при 1410 К. После удаления боросиликатного стекла на рабочую поверхность пластины на- пыляли слой хрома 30 нм и слой золота 400 нм, затем наносили резист и формировали в нем необходимый рисунок маски, а на завершающем этапе ионно-хвмическим травлением получали рисунок в слое золота. После удаления резиста рисунок маски защищали слоем Si Оз толщиной 100 нм. Для упрочне- ния конструкции маски по периферии кристаллов формировали систему укреп- ляющих ребер: ширина ребер 0,5 мм при размере кристалла 2X2 мм. Для этого иа обратную сторону пластины наносили слой ФР. После экспонирова- ния ее укрепляли на сапфировой подложке и защищали по торцам воском. В процессе травления применяли два типа травителей. Сначала пластину обра- батывали в травителе неселективного действия (смеси азотной, уксусной и пла- виковой кислот в отношении 5:3:3), нечувствительном к присутствию бора. Пластину отмывали от парафина в горячем трихлорэтане, снимали с сапфиро- вой подложки и помещали в селективный травитель, в котором растворение кремния прекращается по достижении легированного бором слоя кремния. Помимо методов диффузии кремниевые мембраны можно изготовить эпи- таксиальным наращиванием. Известен способ изготовления масок на основе мембран нитрида кремния или оксинитрнда кремния толщиной 0,1...0,4 мкм, в которых несущей основой служит кремний. Этапы изготовления таких масок показаны на рис. 9.59. Пла- стину кремния ориентации (100) п- или р-типа проводимости подвергают хи- мико-механическому полированию с рабочей стороны и химическому полирова- нию — с обратной стороны до толщины 200 мкм. На рабочую сторону плазмо- химическими методами при 1070 К в среде азота наносят слой Si3N4 толщиной 0,2 мкм и на него—защитный слой S1O2 толщиной 0,2 мкм. Пластину терми- чески окисляют с обратной стороны для выращивания пленки SiO3 толщиной 0,5 мкм. Обе стороны пластины покрывают ФР и на обратной стороне пластины в пленке S1O2 формируют окно После удаления ФР на рабочую поверхность методом вакуумного испарения наносят пленки хрома толщиной 0,005 мкм и золота толщиной 0,02 мкм (хром является адгезионным подслоем для золота, а золото — основой для создания рентгеновской маски), а затем покрывают ее позитивным электронорезистом и подвергают электронно-лучевому экспониро- ванию для формирования рисунка. На следующем этапе на сформированный рисунок маски электролитическим методом осаждают слой золота 1 мкм. После удаления электронорезиста обратную сторону пластины травят в селективном травителе до пленки Si3N4. Двухслойная мембрана Si3N4—SiO2, полученная хи- мическим осаждением слоев из паровой фазы или распылением, прозрачна не 386
Рис. 9 59. Этапы изготовления маски на основе мембраны SiO2—Si3N«: 1 — кремний (100); 2 — слой нитрида кремния; 3, 4 — слой оксида кремния; 5 — пленка хрома; 6 — пленка золота; 7 — отдельные участки Рис. 9.60. Схематическое изображение участка маски на основе мембраны: Si3N4 — SiO2 — Si3N4: I — пленка золота; 2 — пленка нитрида кремния; 3 — пленка оксида кремния 1 мкм; 4— пленка нитрида кремния 0,2 мкм; 5 — пластина кремния (100); 6 — пленка оксида кремния 0,2 мкм; 7 —• пленка нитрида кремния 0,2 мкм Рис. 9.61. Участок мембраны из карбида крем- ния на кремнии: 1 — пленка SIC; 2, 4 — диффузионные р+-слои; 3 — кремниевая пластина только для рентгеновского излучения, но и для видимого, что позволяет опти- чески совмещать маску с подложкой. Была предложена трехслойная система пленок Si3N4—SiO2—Si3N4 (рис. 9.60), по КТР в большей степени соответствующая кремнию, что существенно повы- шает точность изготовления и совмещения такой маски. Пленку Si3N4 наносят на рабочую поверхность пластины плазмохнмнческим методом при 1050 К из смеси силана и аммиака. Толщина пластины кремния (100) составляет 300 мкм. После образования пленки Si3N4 толщиной 0,2 мкм на нее методом ВЧ-распы- ления осаждают пленку SiO2 толщиной 1 мкм и поверх нее пленку Si3N4 тол- щиной 0,2 мкм. Общая толщина системы составляет около 1,5 мкм. На обратной стороне пластины формируют пленки SiO2 толщиной 0,2 мкм и Si3N4 толщиной 0,2 мкм. Поглощающий слой для рентгеновского излучения состоит из пленки титаиа толщиной 0,1 мкм, нанесенной распылением, и 0,5 мкм пленки гальва- нического золота. Для получения мембраны кремний травят с обратной стороны пластины в 30%-ном водном растворе КОН в течение часа. Видимый свет проходит через мембрану на 80%, что намного лучше по сравнению с мембраной из кремния, легированного фосфором. Минимальная ширина линий, полученных на такой маске, 1 мкм. Толщина слоев в трехслойной системе Si3N4—SiO2—Si3N4 и их соотношение подобраны так, чтобы механическое напряжение в мембране со- ставило 8-Ю7 Н/м2. Маску можно также изготовить на тонком слое карбида кремния иа пла- стине кремния (100) n-типа проводимости толщиной 280 мкм. На ее обеих сто- ронах диффузией из нитрида бора формировались р+-слои 1,5 мкм. После напы- ления пленки SiC на рабочей стороне пластины формируют рисунок маски, а обратную сторону протравливают до р+-слоя в водном растворе КОН (рис. 9.61). 25* 387
Изготовить маску можно методом взрывной ФЛ. Рисунок маски формилу ется с помощью ЭЛ. Этот метод устраняет эффекты, связанные с явлением обратного рассеяния, которое ухудшает четкость рисунка (рнс. 9.62,а). дЛл этого ФР наносят на тонкую подложку (рис. 9.62,6). При экспонировании излучение проходит сквозь подложку, и обратное рассеяние электронов очень мало. После экспонирования и удаления резиста на подложку наносят метал- лическую пленку. В растворителе удаляют оставшийся резнст и получают го- товый рисунок маски; ширина металлических линий 0,3 мкм. В качестве под- ложки можно применять тонкие пленки S13N4, А!2О3 илн любых органических материалов. Толщина абсорбирующего металла определяет степень контрастности маски которая, в свою очередь, зависит от отношения высоты маскирующего материа- ла к его ширине. Обычно это отношение меньше илн равно единице. Это озна- чает, что для получения большей степени контрастности маски необходимо уве- личивать толщины пленки металла, формирующего рисунок маски и, следова- Рис. 9.62. Эффект взаимодействия электронного луча с толстой (а) и тонкой (6) подложками / — электронный луч; 2 — экспонируемый участок; 3 — резист; 4 •— подложка Рис. 9.63. Этапы изготовления высоко контрастной рентгеновской маски: 1 *- подложка; 2 — гальванический слой меди; 3 — слой позитивного резиста; 4 — электронный луч; 5 — экспо- нируемые участки; 6 — гальванический слой золота; 7 — слой негативного резнста; 8 — рентгеновское излу- чение 388
ельно, резиста, однако рассеивание ЭЛ в толстом резисте может повлечь за обой изменение конфигурации рисунка маски. Можно применять многоэтапный процесс наращивания резиста, тонкого слоя металла, совмещения и экспониро- вания. Недостаток такого процесса — многократное совмещение шаблона с ри- сунком, что резко уменьшает точность воспроизведения рисунка. у Маски для РЛ, степень контрастности которых выше единицы, выполняют во технологическому процессу (рис. 9.63). На подложку, пропускающую рент- геновское излучение, наносят тонкий гальванический слой металла, например медь 0,2 нм, и сверху слой позитивного резиста Толщина слоя резиста должна примерно соответствовать наименьшей ширине линии рисунка маски Пластину экспонируют ЭЛ, проявляют и затем удаляют проявленный резист. Вытравлен- ные отверстия гальваническим способом заполняют слоем поглощающего метал- ла, обычно золота или платины, не удаляя оставшийся резист, поэтому толщина металлизации соответствует толщине резиста. На следующем этапе на пластину наносят слой негативного резиста и проводят экспонирование рентгеновским из- лучением со стороны подложки. При этом засвечиваются те участки резиста, которые не защищены участком металла. В этом случае рисунок маски, нане- сенный гальваническим осаждением золота, служит шаблоном при последующих операциях экспонирования, следовательно, они проводятся уже без совмещения После облучения негативный резист удаляют с неэкспонированных участков, ко- торые гальваническим способом покрывают слоем золота до высоты негатив- ного резиста, а так как рельеф резиста сформирован с помощью облучения че рез обратную сторону подложки, то профиль незащищенных участков резиста представляет собой точную копию первоначального рисунка и имеет вертикаль- ные стенки, которые заполняются осажденным золотом. После этого стравливают позитивный и негативный резисты, а также удаляют слой меди с участков, кото- рые не являются рисунком маски. При необходимости высоту рисунка маски можно увеличить, повторив операции нанесения негативного резиста, экспониро- вания, травления и гальванического травления металла. Такой метод формиро- вания рисунка применим и для изготовления других типов масок. Для получения тонких и оптических плоских мембран предлагается создавать их непосредственно в процессе изготовления рентгеновской маски. Для этого па подложку из оптически плоского кварца толщиной до 1,5 мм центрифуги- рованием полиимндной кислоты наносят тонкий слой полиимида 0,5...5 мкм Ча- стота вращения центрифуги и концентрация кислоты определяют толщину плен- ки. Полиимидная кислота превращается в полиимид после термообработки при 420...520 К в течение 1 ч. После термообработки на пленку наносят поглощаю- щий слой металла, в котором методом ЭЛЛ создают необходимый рисунок; при этом пленка остается прикрепленной к подложке и в процессе формирования рисунка. Кварц в данном случае служит теплоотводом, и проблема нагревания пленки при ионно-лучевом травлении или других операциях устраняется. Опор- ное кольцо из нержавеющей стали, кремния или другого материала прикреп- ляется к полиимиду перед стравливанием подложки в растворе плавиковой кис лоты. В процессе травления со стороны полиимндной пленки наносят слой изо- пропилового спирта, который обеспечивает позитивное давление от поверхности полиимнда и нейтрализует травитель, проходящий через проколы в пленке. Маски, изготовленные для РЛ, могут использоваться и для ионолитографии, основанной на облучении пленки электронорезиста коллимированным ионным лу- чом. Метод в принципе позволяет получать элементы размером менее 0,5 мкм Совмещение. При РЛ рабочий шаблон помещают в установку экспониро- вания рентгеновскими лучами, где происходит перенос топологии шаблона на подложки (рис. 9.64). Подложка предварительно грубо совмещается с шаблоном, после чего включается рентгеновская установка. Часть рентгеновского излуче- ния, проходя через метки совмещения, частично прозрачные для этих лучей, попадает в детектор, сигнал с которого поступает на пьезоэлектрические приво- ды, приводящие в движение столик с подложками по осям X и У. Перемещение столика продолжается до тех пор, пока середины реперных меток на шаблоне и подложке не совпадут. В этом случае непрозрачные для рентгеновских лучей области полностью поглотят лучи и детекторы нуля дадут сигнал об оконча- нии совмещения. Для совмещения используют источники ИК-излучения, имею- щие большую, чем у рентгеновских лучей, глубину проникновения в кремний. 389
Рис. 9.64. Схема устройства совмещения (а), заготовка-шаблон (б) и рабочий шаблон (в): / •- метки совмещения для рентгеновских лучей; 2 — реперные знаки; 3 — кристалл; 4 •— шаблон; 5 — топология Вследствие малой длины волны рентгеновского излучения (Л=0,4...5 им) источ- ник рентгеновских лучей конечного размера удален от шаблона и резиста до- статочно далеко, что обеспечивает малую расходимость. Конечные размеры ис- точника и расходимость рентгеновских лучей вызывают полутени и геометриче- ские искажения (рис. 9.65). Полутеневое изображение снижает четкость линий иа рентгенорезисте и определяет минимально допустимое значение литографи- ческого разрешения системы Д, мкм: A=S(d/D), где S— ширина зазора между шаблоном и пластиной; d — диаметр источника излучения; D— расстояние от источника до шаблона. В системах с высоким разрешением Д не должна превышать 0,1 мкм. В то же время зазор S должен быть достаточно большим, чтобы исключить контакт резиста с шаблоном. 390
Бесконтактные проекционные системы обеспечивают низкую плотность дефектов. Гео- метрические искажения возникают из-за того, что проецирование рисунка шаблона на ре- зист осуществляется расходящимся пучком. Их величина Z зависит от положения изобра- жения относительно центральной оси пучка: Z=S(W/D), где № — расстояние на пластине от центральной оси пучка до изображения. При одновременном экспонировании всей пластины W равно половине ее диаметра. Разброс ширины зазора ds приводит к флук- туациям геометрических искажений d2= =d« (W/D). Флуктуации геометрических ис- кажений влияют на минимально достижимую величину разрешения, поэтому dz не должно превышать 0,1 мкм. Установки ЭЛЛ могут быть использованы не только для производства шаблонов, но и для непосредственного формирования рисунка 9.65. Схема процесса РЛ Рис. на пластине, а рентгеновские установки — для переноса изображения с шаблона на пластину. В зависимости от производительности, размеров элементов ИС и типа си- стем совмещения РЛ развивается по двум направлениям: использование излучения с Х=0,4...1,3 нм, рентгеновских установок с вра- щающимся анодом, относительно простых систем совмещения, достаточно чувст- вительных (около 5 Дж/см3) негативных резистов, обеспечивающих разрешение 0,5 мкм и требующих продолжительности экспонирования не более 1 мин, для изготовления ИС с размерами элементов 0,5...1 мкм; использование синхротронного излучения с Х.= 1...2,5 нм, более сложных, чем в первом направлении, систем совмещения, менее чувствительных, ио обладаю- щих высокой разрешающей способностью позитивных резистов типа РММА, обес- печивающвх изготовление многослойных структур с размерами элементов 0,05... ...0,5 мкм. 9.7. Ионно-лучевая и голографическая литографии Ионно-лучевая литография (ИЛЛ)—метод получения структур с субмик- рометровыми размерами элементов. Разрешающая способность ИЛЛ выше, чем электронной. Дифракционные ограничения практически отсутствуют, так как длина волны де Бройля для ионов при сравнимых энергиях значительно меньше, чем для электронов и тем более фотонов. Для ИЛЛ не существует эффекта бли- зости. Боковое рассеяние ионов при их проникновении в вещество незначитель- но, вторичные электроны имеют малую энергию и тормозятся на расстояниях, меньших сотой доли микрометра. Резисты обладают значительно большей чувст- вительностью к ионам, чем к электронам, так как удельные потери энергии ионов в десятки раз больше. Ионные источники. Известны три типа ионных источников: дуоплаз- матрацный, жидкометаллический и газофазный с полевой ионизацией. Работа первого основана на извлечении из плазмы газового разряда, а второго и третье- го— на явлении отрыва ионов жидкого металла или сорбированного газа с острия анода под действием сильного электрического поля. Принципиальные схе- мы ионных источников приведены на рис. 9 66, а их характеристики — в табл. 9.13. Наибольшей яркостью, достигающей значения 109 А-см_2-ср-1, и наимень- шим разбросом иоиов по энергиям обладает газофазный полевой источник. Не- сколько хуже свойства у жидкометаллического источника, но он прост, надежен в эксплуатации и наиболее пригоден для ИЛЛ. Дуоплазмотрон имеет недоста- точную яркость, поэтому при его использовании резко возрастает время экспони- рования резиста. 391
Рис. 9.66. Принципиаль- ные схемы ионных ис- точников: а — дуоплазмотрон; б — Га. зофазный источник с поле- вой ионизацией: в — жидко- металлический источник с острием; г — жидкометалли- ческий капиллярный источ- ник; / — электрод; 2 — плаз- ма; 3—анод; 4—экстрак- тор; 5—эмиттер; 6—-жид- кий металл Таблица 9.13. Основные характеристики ионных источников Источник Характеристика дуоплазмотронный жидкометаллический газофазный Яркость, А-см_2-стер~| Эмиттанс, мкА/стер Виртуальный размер ис- точника, мкм Разброс ионов но энер- гиям, эВ Рабочее вещество ю2 2 103 50 10е 20 Менее 3-10~2 109 10...60 Менее 1-10-’ 4 5... 10 1 Аг Ga, Au, In, Sn, Pb, Bi, Cu, Zn, В — Ионно-лучевая литография развивается в трех направлениях: с использо- ванием коллимированных управляемых ионных пучков остросфокусированных ионных пучков и ионно-проекционных систем. Экспонирование коллимированным ионным пучком. Экспериментальные ус- тановки ИЛЛ, в которых используются широкие пучки протонов (рис. 9.67) подобны установкам ионного легирования. Система ИЛЛ для получения рисунка ИС путем экспонирования чувстви- тельного слоя органического резиста аналогична системе РЛ с микрозазором. Шаблон для ИЛЛ изготовляется на основе сплошной тонкой (~0,5 мкм) плен- ки аморфного (монокристаллического) материала с нанесенной на нее пленкой (0,5... 1 мкм) тяжелого металла, поглощающего протонное излучение, или с от- верстиями в тех местах, где должна отсутствовать металлическая пленка. Пластина, предварительно покрытая слоем резиста ПММА, экспонируется через шаблон, помещенный в непосредственной близости от нее, путем скани- рования коллимированным проточным пучком, сечение которого около 1 см2. Энергия протонов в пучке составляет 150...250 кэВ, ток пучка 1 мкА. При ука- занной энергии протоны имеют малую длину пробега в материале основы шаб- 392
Рис. 9 67. Схема экспонирования коллимированным ионным пучком: / — источник ионов; 2 — нонныЙ пучок; 3 — коллимирующая система; 4 — управ- ляющая система; 5 — шаблон с отверстия- ми или сплошной; 6 — пленка материа- ла, не пропускающего ионы; 7 — под- ложка для ИС Рис. 9.68. Схематическое изображение таллиевого сканирующего ионного зон- да с ускоряющим напряжением 57 кВ: / — нагреватель; 2 — резервуар; 3 — жидкий галлий; 4 — вольфрамовая игла; 5 — эмитти- рующий наконечник; 6 — вытягивающий элек- трод. 7 — диафрагма; 8 — электроды уско- ряющей линзы; 9 — электрод электростатиче- ского дефлектора; 10 — электронный умножи- тель; 11 — мишень лоиа (1...2 мкм), что приводит к сильному поглощению ионов. Толщина основы сплошного ионошаблона должна быть в несколько раз меньше толщины основы рентгеношаблона. Это осложняет изготовление таких ионошаблонов. Кроме того, к недостаткам сплошного ионошаблона относится механическая непрочность многократно облученной тонкой пленки основы. Ионошаблон-маска с отверстиями на месте экспонируемых участков (анало- гичного шаблонам для электронно-проекционного экспонирования с уменьше- нием) улучшает условия экспонирования. Возможное при этом снижение ион- ного тока в пучке увеличивает стойкость маски. Пучки протонов в отличие от ЭЛ значительно меньше подвержены влиянию паразитных электромагнитных полей из-за большей массы частиц. Вторичные электроны, генерируемые в результате экспонирования протонами, имеют очень низкую энергию, и длина свободного пробега ие превышает 10 нм. Кроме того, отсутствие эффекта близости обеспечивает высокое разрешение метода. Ионно- оптическая фокусировка позволяет получать сильноколлимироваиные пучки и исключает возникновение полутеневых искажений. Вследствие большого тока пучка протонов и высокой чувствительности позитивного резиста МППЛ к про- тонам, превышающей в 100...1000 раз чувствительность к электронам, экспониро- вание происходит за доли секунды — отсюда потенциально высокая производи- тельность ИЛЛ. Экспонирование остросфокусированным пучком. В об- рабатываемом материале остросфокусироваииые ионные пучки характеризуются значительно более низким рассеянием ионов по сравнению с электронами. 393
Теоретически ионный пучок может быть сфокусирован с помощью элект- ростатических линз до очень малых размеров, и его диаметр с учетом дифрак- ции ионов определяется по формуле d,= 1,5)/£>у(7, нм/с где f — расстояние от линзы до пластины, D—диафрагма линзы; U—ускоряющее напряжение. Минимальный диаметр неотклоненного пучка dt ты зависит не только от дифракции, но и от сферической аберрации и теплового разброса di mln= =(4Сс/л3/4)1/4(/1/Вп1ах)3/в> где Сс — коэффициент сферической аберрации; Ц — ток ионного пучка у поверхности пластины; Втах— максимальная яркость источ- ника (плотность тока эмиссии, исходящего в единичный пространственный угол). Максимальная яркость источника рассчитывается по формуле Вгаах= *=je maneU/nkTK, где je max — максимальная плотность тока эмиссии; е — заряд электрона; k—постоянная Больцмана; Тк — температура катода. Большой коэффициент сферической аберрации и малые значения яркости су- ществующих ионных источников (200 А-см 2• стер.”1) приводят к тому, что при одинаковом токе минимальный диаметр ионного пучка был на один-два порядка больше чем электронного. Уменьшить диаметр пучка уменьшением угла сходи- мости в результате использования диафрагм не удавалось, поскольку сильное диафрагмирование вызывает резкое снижение тока пучка Д. Высокая плотность объемного заряда ионов (в \mi/me раз больше, чем электронов) обусловливает сильное расхождение ионных пучков под действием электростатических сил, что приводит к низким по сравнению с электронными пучками значениям плотности тока и яркости ионных источников. Однако по ме- ре повышения ускоряющего напряжения U влияние пространственного заряда ионов снижается. Для реализации ИЛЛ необходимо создание источников с вы- сокой плотностью тока и яркостью. Остросфокуснрованные ионные пучки используют для: локальной засветки ФР; создания локальных зон нарушения кристаллической структуры с после- дующим травлением (без применения ФР); локального удаления пленки физи- ческим распылением ионами аргона; локального нанесения металлических пле- нок осаждением ионов металлов. Для проведения ионно-лучевого экспонирования с помощью источников ио- нов, обычно применяемых для ионного легирования полупроводников, потребу- ется времени в lO'L.lO5 раз больше, чем необходимо для экспонирования рези- ста электронным пучком. Ионные источники с использованием полевого эффекта и эмиссии из жидкой фазы обеспечили повышение яркости на четыре — шесть порядков. Работа жидкометаллического ионного источника базируется на формирова- нии стабилизированного полем конуса жидкого металла, из которого под дей- ствием поля происходит испарение ионизированных атомов. К вершине конуса металл поступает нз вязкого потока на поверхности эмиттера в результате ка- пиллярных сил и градиента электростатического поля вблизи наконечника эмит- тера. Высокоинтенсивный сканирующий зонд представляет собой сфокусирован- ный луч, формирующийся из жидкометаллического таллиевого источника (ионы Ga+ при токе 0,1 нА и диаметре 0,1 мкм)—рис. 9.68. Для галлиевых пучков ток эмиссии может достигать 1...10 мкА. Энергетический спектр ионов (Д£) расширяется при увеличении тока и мас- сы частиц. Расширение спектра для Ga, In, и Bi составляет соответственно 5, 14 и 21 эВ при угловой интенсивности 20 мкА/ср. При малых углах (примерно 1 мрад) можно получить ток ионного пучка в несколько нанометров Ионный луч формируется ускоряющей линзой с еди- ничным увеличением и расположенной после нее дефлекторной линзой для ска- нирования. Электростатический дефлектор с помощью ЭВМ позволяет переме- щать пучок линейно или сканировать со скоростью 5-Ю4 мкм/с. Этот тонкий микропучок может использоваться для микрообработки, легирования и экспо- нирования резиста. На основе тонкого ионного микропучка можно получить раз- решение на уровне 10...50 нм. При использовании полевого эффекта источник обладает малой хроматиче- ской аберрацией, что дает возможность получать ионные пучки диаметром око- ло 10 нм, одиако токи при этом не превышают 10~н А. Источники с использованием эмиссии из жидкой фазы позволяют получать доки до 10-9 А, однако диаметр ионного пучка в этом случае вследствие зна- 394
Рис. 9.69. Схема экспонирования остросфокусированным ионным пуч- ком: / — источник ионов; 2 — ионный пучок; 3—фокусирующая система; 4 — управляю- щая система; 5 — подложка для микро- схемы Рис. 9.70. Схема модульного проек- ционного экспонирования с уменьше- нием изображения на шаблоне: 1—источник ионов; 2 —ионный пучок; 3 — шаблон с отверстиями; 4 — фокуси- рующая система; 5 — подложка для ИС чительного хроматического разброса (4,5... 14 эВ) достигает 0,25 мкм. Для того чтобы проэкспонировать слой резиста стандартной толщины 0.5...1 мкм, ионы в пучке должны обладать энергией более 100 кэВ. Использование ионов большой энергии увеличивает боковое рассеяние и уменьшает разрешение, однако, как по- казывают эксперименты, ионы с энергией 200 кэВ почти на два порядка эффек- тивнее электронов с энергией 20 кэВ. Установка с фокусировкой ионных пучков (рис. 9.69) будет аналогична установке ЭЛЛ, отличаясь от последней лвшь применением электростатических, а не магнитных систем фокусировки и откло- нения пучка. Получение ионной проекции изображения. В ионно-проек- ционной системе ИЛЛ ионы в виде пучка извлекаются из источника, проходят через конденсорную систему, зазоры в ионошаблоне-маске, затем через элект- ростатическую линзу, которая формирует уменьшенное (10: 1) изображение мас- ки на поверхности обрабатываемой пластины (рис. 9.70). Диапазон энергий ис- пользуемых ионов 30 .100 кэВ, плотность ионного тока составляет 0,5... 1 мА/см2. Процесс ИЛЛ в данном случае представляет собой последовательное мультипли- цирование уменьшенного изображения маски по всей площади подложки. Маски размером 50X50 мм могут быть изготовлены из металлической фольги обычной фотолитографией с точностью 3 мкм, обеспечивающей разрешение иа кристалле 0,3 мкм и размер облучаемого ионами участка 5X5 мм. Суммарная аберрация уменьшающих линз на всей обрабатываемой площади не превышает 0,05 мкм, что обеспечивает разрешение проекционной системы около 0,2 мкм. В случае применения актинорезиста (например, ПММА) ионно-лучевая си- стема аналогична системе электронно-проекционного модульного экспонирования с уменьшением. Использование радиационных дефектов. Ионными пучками можно получать рисунок без использования резистов, создавая радиационные дефекты на облученных участках подложки. Для облученных ионами (протонами) участков кремния, диоксида кремния и других материалов характерно локальное увеличение скорости травления и окисления, что аналогично радиациоино-стимулированиой диффузии. Можно со- здавать профили концентрации дефектов, имеющие экспоненциальный характер 395
Рис. 9.71. Принцип ис- пользования голографи- ческой литографии для изготовления решеток: а — экспонирование; / — фо- торезист; 2 — подложка; б — рельеф после травления ре- риста: 1 — задублениый ре- зист; 3 — подложка по глубине. Фокусировка пучка протонов и изменение его параметров позволяют регулировать наклон экспоненты, а также получать профили, близкие к прямо- угольным. Для пятикратного увеличения скорости травления облученных участков SiO2 на Si требуется доза электронов 5-Ю18 см-2, тогда как для ионов водорода или дейтерия она может быть уменьшена до 2-1016 см-2, а для ионов гелия до Ы015см-2. Голографическая литография. Принцип голографии заключается в том, что на поверхности или в объеме светочувствительного материала регистрируется интерференционная картина взаимодействия двух волн: предметной и опорной. Этот зарегистрированный интерференционный узор и есть голограмма (рис. 9.71). Если такую голограмму осветить опорной волной с фазой, сопряжен- ной с фазой волны при записи, то восстановится предметная волна, также сопря- женная с предметной волной при записи, т. е. волна, строящая действительное изображение объекта. Голография в проекционной ФЛ обеспечивает: высокую разрешающую способность на больших полях без использования сложных объек- тов; запись и восстановление с одной голограммы нескольких изображений (на- пример, различных слоев ИС); голографическую передачу высококачественных изображений через поверхности низкого оптического качества (например, через иллюминаторы вакуумных камер); малую чувствительность голограмм к повреж- дениям и дефектам, т. е. большой срок службы голограмм-шаблонов; возмож- ность голографической оптической мультипликации с полной совмещаемостью изображений. Схема голографической записи должна обеспечивать одинаковые условия для записи всего поля объекта (условие изопланатизма). Апертурная диафрагма го- лограммы (как проекционной системы) расположена на самой голограмме, по- этому имеется два варианта, обеспечивающие изопланатизм: схема с располо- жением объекта в непосредственной близости от голограммы и освещением его плоской волной; схема с расположением объекта на значительном расстоянии от плоскости регистрации и освещением его сходящейся на голограмме волной. Для формирования голограммы требуется оптическая система, обеспечивающая либо плоский, либо сходящийся однородный по интенсивности волновой фронт, сопряженный с опорным с точностью не ниже Z/4 Восстанавливающая волна проходит через подложку голограммы, поэтому любые ее несовершенства влия- ют на качество изображения. Для любых волновых фронтов, кроме плоских, даже идеальная плоскопараллельная подложка вносит дополнительные аберра- ции, учет которых при формировании требуемой восстанавливающей волны пред- ставляет трудности. Необходимо использовать только плоскую опорную волну, формирование которой требует высококачественной оптической системы и со- пряжено с рядом трудностей. Подложка для голограммы должна иметь не- плоскостность не более Л/4 (п—1), где п — показатель преломления света под- ложки, т. е. требования к ее качеству в несколько раз превосходят требо- вания к подложкам эталонных ФШ. В действительном изображении, формируемом голограммой, неизбежны ха- рактерные для когерентного света искажения, возникающие из-за интерференции света, рассеянного на различных дефектах компонентов и пыли иа их поверх- ностях, и света, формирующего основное изображение Это приводит к ухуд- шению качества изображения. Уменьшить этот эффект можно введением некото- рой избыточности. Интерференционная картина на голограмме имеет сложную пространственную структуру с характерными размерами элементов X. Получе- 396
нпе таких голограмм эквивалентно субмнкронной ФЛ, причем необходимо, что- бы пространственная структура не искажалась в процессе записи, а регистрация проводилась на линейном участке зависимости пропускание — экспозиция На ФР можно получать высококачественные голограммы, например дифрак- ционные решетки с пространственной частотой до 4000 мм~*. Фоторезист обес- печивает исключение искажений голограммы при регистрации, однако зависи- мость глубины рельефа от экспозиции является линейной только в определенных режимах проявления. Для сложных объектов трудно обеспечить высокую энергетическую эффек- тивность голограммы; так, при эффективностях свыше 4...5% возникает интер- модуляционный шум, искажающий восстановленное изображение и совершенно недопустимый в ФЛ. Неизбежны также потери, связанные с подбором оптималь- ной экспозиции и с необходимостью выравнивания интенсивности интерферирую- щих пучков Все это на практике снижает полезную долю используемого излу- чения до 1%. Эффективно для проекционной ФЛ использование синтезирован- ных голографических (дифракционных) оптических элементов в объективах и осветительных системах при создании высококачественных систем формирова- ния микроизображений. 9.8. Процессы травления в литографии В литографическом процессе после получения рисунка на резисте (фото-, электронно-лучевым или рентгеновским методами) передают изображение на ма- териал микроэлектронного устройства. Применяют процесс влажного (химиче- ского) травления в жидкостях и сухого травления в плазме. Составы травите- лей для травления материалов микроэлектронной технологии приведены в табл. 9.14—9.16. Для травления кремиия используют изотропное и анизотропное травление. Анизотропные травители травят кремниевую подложку в направлениях <100> и <110> с более высокой скоростью, чем в направлении <111>. В большинстве случаев анизотропные травители представляют собой многокомпонентные рас- творы, состоящие из окислителя, который окисляет кремний до гидратирован- ного диоксида кремиия, и комплексообразователя, предназначенного для того, чтобы в реакции гидратированным диоксидом кремния образовывать раствори- мый комплексный ион и воду. Изотропные травители травят кремний во всех кристаллографических направ- лениях примерно с одинаковой скоростью. Они используются для травления и хи- мического полирования кремния. Изменение соотношений составляющих изотропного травителя изменяет ско- рость травления. Например, травитель HF : HbiO3: СН3СООН= 1 : 40 : 15 травит кремний (111) со скоростью 0,15 мкм/мин, кремний (100)—0,2 мкм/мин, т. е. очень медленно (Т=298 К). Травитель HF : HNO3: СН3СООН=1 : 3 : 10 (трави- тель «Даша») отчасти является анизотропным. При 298 К он травит р и п- кремний в направлении <100< со скоростью 130 нм/мин, а в направлении <111>—4,5 нм/мин. Этот травитель травит сильнолегированный кремний рь- или п+-типа с концентрацией, большей чем 5Ю18см~3, намного быстрее (~2,5 мкм/мин), чем низколегированный кремний. Его можно считать концен- трационно-зависимым. Травитель «Даша» используется для выявления кристалло- графических дефектов в кремнии (111). В табл. 9.17 приведены характеристики изотропных и анизотропных трави- телей. Анизотропное травление кремния. В технологии микроэлектронных устройств широко используется АТ кремиия. Кремний с ориентацией (100). Плоскость (100) является единст- венной из главных плоскостей, при пересечении которой плоскостями (НО), (111), (100) и (211) образуются фигуры с прямоугольной симметрией. Поэтому этой плоскости отдается предпочтение прн создании приборов, которые изго- тавливаются с использованием методов АТ. Плоскость (100) пересекается че- тырьмя плоскостями (111) под углом 54° 44' (54° 74'). При ориентации сторон окна вдоль направления [НО] или перпендикулярно ему (параллельно следу 397
Таблица 9.14. Составы Трави Компонент 1 2 3 4 5 6 FeCl3, мл — — — — H2SO4, мл — — — НС1, мл 15 — — — — — HNO3, мл 50 — 250 250 Н2О, мл 70 50 1000 250 250 До 1000 СгОз, г — — 450 — — 450 (NH4)2S2Oe, г — — — — — — H2SO4, мл — — 50 — — 160 Н3РО4, мл — 50 — 250 — — СНзСООН, мл — 50 — 250 — Н2О2, мл 15 — —. — — •— §пС12, г — — — — — — KJ, г —— —- — —— — NH,OH (20%-иый), мл — — — — — — плоскости (111)) получаются фигуры травления пирамидальной формы с боко- выми стенками, ограниченными плоскостями (111), и дном, ограниченным плос- костью (100) (рис. 9.72). Анизотропные травители растворяют кремний в плоскости (100) до тех пор, пока травление не дойдет до плоскостей {111}, начинающихся у края окна в пленке SiO2 и встречающихся так, что они образуют V-образный профиль (рис. 9.73). Глубина V-образной канавки зависит от ширины окна иа пластине кремния, полученного с помощью ФЛ (рис. 9.74). Травление прекращается, когда участки плоскостей {100}, выходящие на поверхность, стравливаются. Ре- гулируя время травления, можно изменять профиль канавки трапецеидального до V-образного. На рис. 9.75 показана форма лунок, анизотропно вытравленных в кремнии (100) через окна различной геометрии в маскирующей пленке. Если травление по времени достаточно, то лунка на поверхности кремния представляет собой прямоугольник, ограниченный окном в маскирующей пленке. Вытравленные луики ограничены четырьмя сходящимися плоскостями {111}. каждая из которых об- разует угол 54°74' (arctg ]'2) с поверхностью (100). Рис. 9.72. Формы канавок, получае- мые с помощью АТ иа кремнии ориентации (100) (Ю0) Si (III) \A»e Рис. 9.73. Поперечное сечение V-об- разной канавки 398
травителей для меди тель" 7 8 9 10 11 12 13 14 —-—~ 450 — — 1000 1000 (65%-ный) 300 — — 450 — 160 1000 —- — —- 18,5 — — —- 10 — До 1000 —- 15 ) — (37%-иый) 113 До 1000 200 50 До 1001 50 До 1000 — — — — — — 100 — 50 50 50 Рис. 9.74. Форма вы- травливаемой канавки на пластине ориентации: а — (100); б - (ПО);------ поперечное сечение каиав- кн, ... — пересечение пло- скостей {111} с поверхностью пластины Q) Рис. 9.75. Форма лунок, вытравленных в кремнии ориентации (100) через окна различной геомет- рии (110) 399
Таблица 9.15. Состав травителей Травитель Скорость травления, мкм/мин Темпера- тура травле- ния, к Материал Состав Количество, мл Металлы Кислота ортофосфорная Изопропиловый спирт Деионизованная вода 60 15 10 0,33 333 ±2 Кислота ортофосфорная Кислота азотная 80 150...250 нм/мин 5 313 А1 Кислота уксусная Кислота ортофосфорная 45 228 — 333 Кислота азотная Вода дистиллированная 9 15 Кислота соляная Вода дистиллированная 10 40 — 293 +2 Кислота ортофосфорная Вода дистиллированная 45 55 — 333 Хромовый ангидрид Кислота плавиковая Вода дистиллированная 35 г 5 500 0,1 293 Кислота азотная Вода дистиллированная 10 50 — 293 Ni Кислота ортофосфорная Кислота азотная Кислота уксусная Вода дистиллированная 17 17 66 50 — 303 Персульфат аммония Серная кислота Вода дистиллированная 50 г 10 100 — 343 Хлорное железо Медь серно-кислая Вода дистиллированная 300 г 100 г 1000 1,5 293 Калий бромистый Бром Вода дистиллированная 20 г 20 г 100 293 Кислота соляная Кислота азотная 30 10 0,5... 1 293 Диэлект- рические слои Кислота фтористо-водо- родная Аммоний фтористый (50 %-ный) 50 50 0,06 293 SiO Кислота фтористо-водо- родная Аммоний фтористый Перекись водорода Вода дистиллированная 10 20 г 70 50 1,5 293 Кислота азотная Кислота фтористо-водо- родная 50 50 — 293 400
Продолжение табл. 9.15 —-— Материал Травитель Скорость травления, мкм мин Темпера- тура травле- ния, К Состав Количество, мл SiO2 A12O3 FC2O3 Подслой NiCr Кислота фтористо-водо- 140 родная Аммоний фтористый 389 г 100 нм/мин 293 Вода дистиллированная До 1000 Кислота фтористо-водо- 15 мл 12 нм/мин 293 родная (49%-ная) Кислота азотная (70%- 10мл ная) Вода дистиллированная 300 мл Кислота серная (d= 20 0,22 293 = 1,135) Аммоний фтористый 10 г Кислота фтористо-водо- 10 мл 0,06 нм/мин 293 родная (концентриро- ванная) Аммоний фтористый 30 г Вода дистиллированная 70 Кислота фтористо-водо- — 1000 нм/мин 333 родная (концентрирован- ная) Кислота серная 50 180 нм/мин 293 Кислота соляная 50 Кислота соляная 150 Хлорное железо 14 г — 313 Калий йодистый 10 г Вода дистиллированная 50 Кислота соляная (кон- 373 центрированная) Кислота соляная 20 Кислота азотная 20 — 293 Вода дистиллированная 60 Кислота соляная (d= 20 = 1,19) Кислота серная (d= 20 — 303...313 = 1,85) Вода дистиллированная 20 Едкий натр 20 г Натрий углекислый 50 г — 293 Вода дистиллированная До 1000 Перекись водорода (35 %-ный) Кислота азотная 10 — 293 Вода дистиллированная 100 26 Заказ Ku 1270 401
Продолжение табл.9.15 Материал Травитель Скорость травления, мкм. мин — Темпера- тура травле- ния, к Состав Количество, мл V Ti Кремний и германий Si (моно) Si (поли) Ge Кислота азотная 10 Кислота ортофосфорная 40 — 293 Кислота уксусная 10 Вода дистиллированная 40 Кислота фтористо-водо- 10 12 305 родная (48%-ная) Вода дистиллированная 90 Кислота серная 65 Кислота фтористо-водо- 1 — 293 родная Вода дистиллированная 30 Кислота ортофосфорная — До кипе- (концентрированная) ния Едкий натр (40%-ный) 50 — 293 Кислота фтористо-водо- 5 родная Кислота фтористо-водо- 20...10 4...8 293 родная Кислота уксусная 40...10 Кислота азотная 90...30 Кислота фтористо-водо- 10 родная Кислота азотная 40 — 293 Серебро азотнокислое 40 Кислота фтористо-водо- 10 родная Кислота азотная 40 — 293 Серебро азотно-кислое 10 (1 г на 100 мл Н2О3) Пропиленгликоль 200 Вода деионизованная 200 — 293 Кислота фтористо-водо- 10 родная Кислота азотная 10 3 293 Перекись водорода 10 Вода дистиллированная 40 Кислота фтористо-водо- 20 12 293 родная Перекись водорода 10 Кислота фтористо-водо- 15 0,1...0,15 293 родная Кислота азотная 25 Кислота уксусная 15 Кислота фтористо-водо- 20 0,5...0,6 293 родная Кислота азотная 40 402
Продолжение т а б л. 9.15 —— Травитель Скорость травления, мкм/мин Темпера- Материал Состав Количество, мл тура травле- ния, К -— Кислота уксусная Натрий бихромат 30 10 г Резистив- ные слои Соляная кислота (кон- центрированная) Соляная кислота Глицерин 50 50 150 нм/мин 80 нм/мин 293 353 Сг Кислота соляная Вода дистиллированная 62 50 353 Кислота соляная Кислота серная 50 50 — 293 Кислота серная Кислота соляная Церий серно-кислый 100 100 200 — 293 Едкое кали Вода дистиллированная 20 г 100 0,8 323...333 Та Кислота азотная Натрий фтористый (на- сыщенный) 100 10 г •— 293 Кислота азотная Кислота фтористо-водо- подная Кислота серная Вода дистиллированная 100 120...300 нм/мин 10 50 — 50 293 293 Кислота азотная Кислота фтористо-водо- родная (48%-ная) Вода дистиллированная 20 10 10 — 293 РС-3710; РС-3001 Кислота азотная Кислота фтористо-водо- родная Вода дистиллированная 14...20 2...6 24... 10 — 293 Кислота соляная Кислота фтористо-водо- родная Аммоний фтористый Вода дистиллированная 45 5 2 г 50 — 293 Кислота азотная Кислота фтористо-водо- родная Кислота соляная Вода дистиллированная 15 15 15 55 — 293 Кермет Кислота соляная Кислота фтористо-водо- родная Вода дистиллированная 160 160 80 353...373 26* 403
Окончание табл. 9.15 Материал Травитель Скорость травления, мкм/мин Темпера- «ура равле- ния, к Состав Количество, мл млт-зм Кислота азотная (54%- 20 — 293 пая) Этиленгликоль 100 Вода дистиллированная 80 Кислота фтористо-водо- 30 родная Кислота азотная 100 — 293 Вода дистиллированная 50 Кислота азотная 100 Натрий фтористый (на- 10 г сышениый) Кислота фтористо-водо- 50 — 293 родная Кислота соляная 45 Кислота фтористо-водо- 5 240 нм/мин 293 родная Вода дистиллированная 50 Кислота азотная 35 Кислота фтористо-водо- 5 120 нм/мин 293 родная Вода дистиллированная 60 Таблица 9.16. Зависимость режимов обра- Материал Травитель А1 HNO3 : Н3РО4: Н2О : СН3СООН SiO2 HF : NH4F : Н2О SiO2 HF : NH4F : Н2О Au K3[Fe(CN)6] : H2NCSNH2 : Na2S2O3-5H2O Cr — Си — SiCr НС1: HF : HNO3+H2SO4: H3PO4: CH3COOH : H2O Ni — Си — Cr HSPO4 : H2SO4 : HNO3 : H2O : СНзСООН : K3[Fe(CN)6] : KOH 404
Рис 9 76 Конфигурация профилей: а — при травлении в 30%-иом растворе КОН для канавок шириной 10 и 30 мкм и 0=0 и 90°; 15 и 75°; 30 и 60°; 45°; 0...90" в кислотном тра внтеле (1—5 соответствено); б, в — изменения профиля травления в времени для 0 = 0, 90 и 45° Профиль фигуры травления зависит от ориентации рисунка на плоскости (100). Конфигурация профилей представлена на рис. 9.76. Кремний ориентации (110). Пластина кремния (ПО) пересекается шестью плоскостями {111}, из которых четыре перпендикулярны поверхностя (ПО), а остальные две пересекают плоскость (110) под углом 35° 26'. Плоскости (111) и (Ш) перпендикулярны поверхности (ПО) и пересекаются между со бой под углом 109°48' (рис. 9.77). Плоскости (111) и (111) пересекают поверх- ность (НО) под углом 35°26' и внутри лункн под углом 109'48'. Форма вы- травливаемой лунки зависит от размера окна в защитной маске и времени трав- ления. Получаемый поперечный рельеф называют 77-канавкой Для ее формиро- вания окно в маске ориентируют вдоль следа плоскости (1И) или под углом 70° 53'. Последовательность процесса травления 77-канавкн показана на рис. 9.78. Подобно образованию V-канавки травление ее — самоостанавливающийся про- цесс и приостанавливается, когда 77-канавка ограничивается шестью плоскостя- ми (П1): четырьмя вертикальными н двумя наклонными. Наклонные плоскости ботки пленки от стравливаемого материала Время трав- ления, мин Фоторезист Задубливание Время, мин Температура, К 1 ФП-383 ФП-РН-7 15 15 423 403 До 7 ФП-383, 20 423...433 ФП-РН-7 30 398...403 Свыше 7 ФП РН-7 По 15 между В процессе травления слоями до 423...448 До 3 ФП-383, 30 423 ФП РН-7 15 403 До 1 ФП-РН-7 По 15 между 443 слоями 453 463 До 1 ФП-РН-7 15 423 4П.'>
Таблица 9.17. Характеристики травителей для кремния Тип Состав Ско- рость, мкм/м ин Пло- скость Примечание — . - ———_— Изотроп- ный Н3РО4: HNO3: : СН3СООН 5 (111) — Концентра- ционно-за- висимый Н3РО4: HNO3: : СНзСООН 3 (100) Медленное травление Анизотроп- ный, или ориентаци- онно-зави- симый КОН : пропиловый спирт : Н2О 1 (100) 7=353 К; в <Ш> скорость уменьшается в 100 раз, процесс пре- кращается на границе с р++-областью Анизотроп- ный КОН : Н2О 8 (100) 7=353 К; в направле- нии <110> скорость В 600 раз больше, чем в направлении <111> Анизотроп- ный концен- трационно- зависимый Этилендиамин: пи- рокатехин : вода (гид- разин) 1,1 (100) 7=373 К; травление прекращается на грани- це раздела с р+^обла- стью; травление SiO2 очень замедленное Рис. 9.77. Т|'а'йЛение v-канавки в кремнии ори- __________________ентации (110)__________________ Рис. 9.78. Последовательность процесса травле- ния (/-канавки {Ш} препятствуют травлению. Если дно канавки ограничено плоскостью (110), то самоограничения травления еще не наступило. Глубина самоограничивающейся (/-канавки определяется выражением d= = (c-|-fef 2 )/2]' b , т. е. зависит как от ширины окна а, так и от длины канавки b (для V-канавки d=a/]'2, т. е. является функцией только размера о). Длин- ные узкие (/-канавки с ах 1 мкм могут быть вытравлены на любую желаемую глубину независимо от минимального размера окна. В коротких канавках глу- бина травления ограничивается длиной окна b в маскирующем покрытии. Фигуры травления па подложках кремния с ориентациями (100) и (110) в зависимости от ориентационных условий приведены в табл. 9.18. При ориента- ции системы параллельных полос, расположенных вдоль [100], образуются тра- 406
Таблица 9. IB Ориентационные услобия и полиздры травления на подложное Si с ориентациями{ЮО} и {ПО} Ориента- ция под- ложки Форма шаблона и его расположение на подложке {ЮО} Гдадрат со стороной а, расположенной бдоль <п0 > {ЮО} Л бодр от со стороной а, расположенной бдоль <Ю0> {100} круг диаметра а {100} Система параллель- ных полос, расположен - ных бдоль <110* {110} круг диаметра а {ПО} Ромб с углом 70° 32'. расположенный большой диагональю бдоль [001] {ПО} Системо параллельных полос, расположенных вдольри] или [til) {ПО} Система параллельных полос, расположенных Вдоль (ЮО) Габитус подложки начоль- НЬШ Промежу- точный Предель - ныи <100* <по* •ЮО- -ПО- /\ у/ ^*(т} •по- ^^'} •ПО- {"’} {”'} ina-joon П001 fill} пецеидальные или V-образные канавки с (111) боковыми стенками под углом 35° 16' к начальной поверхности (100). Кремний ориентации (122). Прн травлении дисков кремния ори- ентации (122), разделенных границами двойникования на четыре прямоугольных сектора, наблюдаются И-, П-, V-образные профили. Конфигурации профилей травления при маскировании под углами а к границе двойникования представ- лены на рис. 9.79. Профиль И-образный образуется при маскировании под уг- лами И и 79'. Боковыми сторонами профиля являются плоскости (Ill), кото- рые наклонены к плоскости (122) под углом 78° 54'. Профиль П-образный полу- чается при маскировании под углом 45° и отличается прямоугольностью. Боко- вые стороны профиля образованы плоскостями {ПО}, быстро растворяющимися в анизотропных травителях, поэтому растравливание соизмеримо с глубиной травления (5=85% Н). Профиль, полученный при маскировании под углом 135°, характеризуется несимметричностью сторон, изменением конфигурации профиля от трапецеидального до V-образного несимметричного при увеличении глубины травления. Боковыми сторонами данного профиля являются плоскости {111}. Профиль (а=150°) несимметричен, меняет конфигурацию при травлении от трапецеидального до V-образного несимметричного. Боковые стороны на- клонены к поверхности под углами (3= 19° 28' и у=63° 36', которые образуются при пересечении поверхности (122) с плоскостями (ПО) и (122). Для этого профиля характерно большое растравливание в стороны, соизмеримое с глуби- ной травления. Профили И- и V-образные практически не растравливаются. Маскирующие покрытия при АТ. Маскирующим материалом ври АТ являются пленки SiOj. Устойчивость пленки S1O2 в щелочном растворе 407
I3°48' Рис. 9.79. Конфигурация профилей травления кремния ориентации (122) при маскировании под углами а: а—II и 79”; 6 — 45"; в —135; е—150° зависит от концентрации КОН. Превышение 40 %-ной концентрации травителя приводит к резкому увеличению скорости травления оксида кремния, а при страв- ливании последнего — к потере четкого микрорельефа на подложке. Для пра- вильного выбора толщины пленки оксида необходимо знать некоторое критиче- ское значение толщины пленки SiO2. Прн оптимальной концентрации щелоч- ного травителя (КОН; Н2О) скорость травления SiO2 0,01; Si {100} 3,2; Si {110} 4 мкм/мин. Зависимость скорости травления SiO2 от концентрации КОН представлена ва рис. 9.80. Исходя из соотношения скоростей травления Si и SiO2 рассчиты- вают оптимальную толщину маскирующей пленки для травления кремния на заданную глубину. Щелочные травители воздействуют на маски из алюминия. Практически не травятся в щелочи пленки нитрида кремния. При травлении сквозных отверстий в толстых пластинах кремния (500 мкм) в качестве маски используют Si2N» толщиной около 0,1 мкм. Пленки SiO2 практически нерастворимы в гидразингид- рате (ГГ), что позволяет использовать даже тонкие (0,3...0,5 мкм) слои в каче- стве маски. Маскировка алюминием позволяет травить в ГГ меза области высо- той до 30 мкм. Аминопирокатехиновые смеси позволяют использовать в каче- стве масок не только диоксид кремния, но и ряд металлов: Al, Ag, Au, Си и др. Качество вытравливаемого рельефа. Процесс травления крем- вия оценивают по скорости травления плоскости (100) или (110), качеству по- Рис. 9.80. Зависимость скорости трав- ления кремния и пленки SiO2 от кон- центрации щелочи при 370...371 К Рис. 9.81. Дефекты на дне (100) У-канавки 408
верхности боковых стенок и дна, угловому и боковому подтравливанням, кото- рые зависят от состава травителя, температуры травления и времени. На качест- во поверхности дна с ориентацией (100) влияют: образование четырехгранных пирамидок с боковыми гранями (111), образование волнообразной поверхности (рис. 9.81). Появление пирамидок обусловлено дефектами на поверхности исходного ма- териала, которые травятся с меньшей скоростью по сравнению с плоскостью (100), а также химической реакцией процесса АТ, сопровождающейся образо- ванием диоксида кремния с последующим ее разложением, что приводит к об- разованию бугорков. Когда избирательность раствора высокая (например, высо- кая скорость травления в направлении <100> и низкая в других направлениях), каждый дефект или загрязнение может стать причиной образования пирамидок. Боковые стенки V-канавки определяются плоскостями {111} и поэтому будут гладкими. Пирамидальные бугорки наблюдали и при химическом травлении по- верхности (100) Si, а не только при АТ. Поверхность (100) с наилучшей мор- фологией образуется при использовании ультразвукового (УЗ) перемешивания травителя, состоящего из смеси HF НМОз= 1 :3. По-видимому, УЗ-перемеши- вание способствует отрыву микровключений от поверхности, что препятствует формированию пирамидальных бугорков. Нарушения волнообразного типа на поверхности (100) могут возникать, ког- да не принимаются меры к предотвращению неоднородности состава травителя. Это явление имеет место при недостаточном просушивании пластин кремния пе- ред АТ, а также при плохом перемешивании раствора. Поэтому перед травле- нием пластины должны быть тщательно очищены и высушены. Для поддержа- ния постоянства концентрации раствора процесс АТ необходимо проводить в замкнутой системе, обеспечивающей конденсацию паров, испаряющихся веществ с последующим их стоком в травитель. При локальном АТ кремния особо остро стоит вопрос об искажении формы элементов вытравленного рельефа по отношению к форме элементов на ФШ Различают боковое и угловое растравливание заданного рельефа. Уменьшение растравливания достигается подбором состава травителя, точной ориентацией ри- сунка относительно выбранного кристаллографического направления, введением в рисунок углового компенсатора. Для кремния ориентации (100) геометрия ок- на вытравливаемого рельефа должна быть прямоугольной или квадратной, сто- роны должны быть ориентированы параллельно или перпендикулярно направле- нию [НО]. Для кремния (110) рисунок должен быть шестиугольным (ромб, па- раллелограмм), стороны которого должны располагаться параллельно или под углом 70° к направлению [111]. При ориентации исходной пластины (ПО) и направлении рисунка изолирую- щих областей параллельно плоскостям {111} можно получить канавки с верти- кальными стенками при очень малом растравливании (скорость бокового рас- травливания не превышает 3% от скорости травления в глубину). Так форми- руют канавки шириной ^1 мкм и глубиной 20 мкм и более. Разориентация окна в оксидной маске сопровождается увеличением разме- ра вытравливаемой лунки в подложке Si Увеличение размера вызывается под- травливанием кремния под оксидом в окне. Растворение кремния продолжается до тех пор, пока фронт травления не достигнет ближайших {111} плоскостей, ограняющих окно. Скорость бокового травления в различных направлениях оце- нивают коэффициентом подтравливаиия К\, равным отношению величины подтравливаиия b к глубине травления канавки Н: К —Ь/Н. Подтравливание углов. Разли- чают углы внутренние, образуемые при вы- травливании канавок с прямоугольным про- филем (угол 90е), и внешние, получаемые при травлении мезаструктур или в структурах с изоляцией У-канавками. Растравливания во внутренних углах в большинстве случаев не наблюдается, а получение выпуклостей, как правило, сопровождается завалом прямых уг- лов, причем форма образующейся мезаструк- Рис. 9.82. Подтравливание уг- лов мезаструктуры 409
KfS/H ВытраЪленная Область \ \ \ \ \ \ \ \ Верхняя поверхность (ЮО) {т} Край поверхности кремния \ (линия пересечения \ с плоскостью (Н2)> \ Рис. 9.83. Подтравливание выпукло- го угла под маскирующим покрыти- ем SiO2 туры (рис. 9.82) зависит от состава травителя, режима травления и ори- ентации исходной пластины. Под- травливание угла может быть из- мерено как расстояние б между сле- дом получающейся при травлении плоскости, например плоскости (112), и параллельной ей линией, проходя- щей через вершину первоначального угла, ограниченного пленкой оксида (рис. 9.83). Поскольку б находится в линейной зависимости от глубины травления Н, в рассмотрение вводят нормализованную величину коэффи- циента углового подтравливания. Область применения АТ. Анизотропное травление кремния при- меняют при изготовлении ИС высокой степени интеграции с воздушной и ди- электрической изоляцией компонентов. При травлении канавок для диэлектрической изоляции ИС обычно исполь- зуют рисунок в виде прямоугольников, стороны которых перпендикулярны или параллельны направлениям <110> на пластинах ориентации (100). В этом слу- чае достигается минимальное боковое подтравливание Si и максимальная плот- ность компонентов микрорельефа, определяемая только растравливанием рисун- ка, т. е. слоя SiO2. Анизотропное травление позволяет совмещать технологию изготовления по- левых и биполярных транзисторов на одном кристалле, используя, например, двухуровневые структуры. Метод АТ обеспечивает возможность в случае двух- уровневых структур разделять их канавками с наклонными (невертикальными) стенками, что устраняет разрыв слоя ФР или металлических полосок на сту- пеньках между двумя уровнями. Канавки V-образные и трапецеидальные, формируемые с помощью АТ, ши- роко используются при изготовлении мощных МДП-транзисторов с вертикаль- ными (рис. 9.84) и горизонтальными (рис. 9.85) каналами, в полевых транзи- сторах с управляющим р— «-переходом вертикальной конструкции и работаю- щих в режиме обогащения, в логических ИС и т. д. Метод АТ позволяет из- готавливать миниатюрные балочные выводы консольного типа (рис. 9.86). Они состоят из стержней: с тонкими (0,1 мкм) слоями SiO2, покрывающими слой Si р+-тнпа толщиной 0,2 мкм; тонким слоем SiO2 на объемном р-кремнин, изо- лированными от подложки толстой оксидной балкой (0,8 мкм); сформированных из толстого р-слоя (0,2 мкм); сформированных из толстого слоя SiO2 (0,8 мкм). Каждый из четырех типов балочных выводов изготавливался шириной 5...40 и длиной 15...160 мкм. Глубина канавкн под балочным выводом определяется Рис. 9.84. Поперечное сечение струк- туры мощного МДП-транзистора с вертикальным каналом Рис 9.85. Структура мощного поле- вого МДП-транзистора с трапеце- идальной канавкой 410
Рис. 9.86. Балочные выводы временем травления и в типичных случаях составляет 15.50 мкм. Тонкие ба- лочные выводы из SiO2, покрытые металлом, использовали в матрицах моду- ляторов света и в миниатюрных переключателях. Наряду с консольными балоч- ными выводами могут быть изготовлены двухопорные балочные выводы, состоя- щие из слоев SiO2 и Si р+-типа. Такие выводы могут найти применение в ИС при изготовлении линий передачи с очень низкой емкостью на длину. Метод АТ используют для изготовления очень тонких пленок — мембран (рис. 9.87). Получены тонкие кремниевые мембраны толщиной до 125 им на пло- щади до 1 мм2. Тонкие пленки используются в качестве масок в ФШ с высокой разрешающей способностью в РЛ; для получения подложек при создании сверх- миниатюрных рисунков в ЭЛЛ; при изготовлении тензодатчиков с высокими чувствительностью и линейностью. Метод АТ позволяет изготовить высококаче- ственные дифракционные решетки с субмикронным периодом (порядка 100 им), имеющие канавки с четко определенной геометрической формой и почти полно- стью отражающими стенками. Дифракционные решетки были также использо- ваны в качестве ответвителей и фильтров в интегральной оптике. С помощью АТ можно получать в пластине кремния ориентации (100) сквозные отверстия с контролируемыми размерами. Такие отверстия можно при- менять для осуществления двустороннего совмещения. Были изготовлены вы- сокопрецизионные круглые отвер- стия в тонкой р+-кремниевой мем- бране для использования в каче- стве печатающего чернильно- струйного сопла. Свойство анизо- тропного травителя позволяет по- лучать квадратную форму из кру- га с размером стороны квадрата, равной диаметру круга. Такой подход к выбору конфигурации маски обеспечивает более ровные края квадратов и лучшую воспро- изводимость геометрических раз- меров. Метод АТ позволяет получать мезаобласти правильной (квадрат, Рис. 9.87. Технологическая схема изготовления тонких кремниевых мембран 411
прямоугольник и др.) геометрической формы. Это дает возможность изготовлять мпогоштыревые электрические соединители для использования при криогенных температурах; структуры многоканальных матриц, используемых в зарядных уст- ройствах и оптических приборах. Анизотропное травление применялось при изготовлении оптических волново- дов, тонкопленочных разделителей видов колебаний, оптических фильтров. Про- цесс АТ можно использовать для разделения пластин на кристаллы при группо- вой технологии. Он позволяет выявлять кристаллографические направления [НО] и [112] на кремнии ориентации (111), (100), (НО). Метод основан на формировании геометрических фигур, описанных вокруг круга: правильного шестиугольника для кремния ориентации (111) (рис. 9.88), квадрата для крем- ния ориентации (100), шестиугольника с неравными сторонами для кремния ори- ентации (НО). Выявленным кристаллографическим направлением считается реб- ро геометрической фигуры, описанной вокруг круга, полученной после локаль- ного анизотропного травления. Способ обеспечивает точность выявленного на- правления в пределах 0,5°. Метод АТ можно применять для получения естественных микрошлифов мо- нокристалла, которые могут быть использованы для исследования объемной ис- тинной кристаллографической микроструктуры исходного материала, не иска- женной механической обработкой; для исследования процесса кристаллизации и градиентов концентрации легирующей и инородной примесей, а также для оценки некоторых ключевых технологических операций. Например, его можно использовать в качестве метода обнаружения точечных дефектов (дырок) в ок- сиде кремния на пластинах с ориентацией (100) и (ПО). Анизотропные травители кремния. Рассмотрим основные АТ, их свойства и особенности. Система гидразин (ГГ) N2—вода Н2О. На результаты трав- ления влияют температура и концентрация раствора (рис. 9.89). Оптималь- ная температура составляет 373 К. Качество поверхности дна, ограниченного плоскостью (100), зависит как от температуры, так и от концентрации раствора (рис. 9.90, 9.91). Для раствора, содержащего равные объемы воды и гидразина, характерно образование пирамид и волнообразной поверхности дна при любой температуре травления. При 7=373 К уменьшение содержания воды в растворе приводит к более высокому качеству поверхности дна, а прн 30 %-ном и меньшем ее содержании пирамидок и волнистой поверхности на дне не образуется. Травле- ние в растворе, содержащем 20% воды и 80% гидразина, при 7’=373 К вызы- Рис. 9.88. Пластины кремния на этапах формирования точной гео- метрии фигур травления: Объем Н2О, % Рнс. 9.89. Зависимости скорости травления Si (100) в ГГ от тем- пературы и состава травителя 412
Рис. 9.90. Коэффициент углового подтравливаиия в зависимости от состава травителя ГГ при двух тем- пературах Рис. 9.91. Температурная зависи- мость коэффициента углового под- травливания в ГГ вает образование волнообразной поверхности дна (100). Если травление произ- водят при комнатной температуре, появляются пирамидки. Линии пересечения боковых стенок V-канавок с дном (100) становятся бо- лее прямыми по мере увеличения процентного содержания воды в растворе тра- вителя, нагретого до 373 К. Гладкие боковые стенки канавок получаются при Т=373 К в растворе, содержащем 20...50% воды Однако полезный диапазон концентраций для травления V-канавок ограничивается 40%-ным содержанием воды, так как при использовании раствора с более высоким ее содержанием воз- можно появление пирамидальных дефектов. При требовании высокого качества поверхности дна количество воды не должно превышать 30%. Процентное содержание гидразина в смеси гидразин — вода должно выби- раться в соответствии с назначением АТ; при формировании канавок, используемых для изоляции биполярных тран- зисторов, раствор, который одновременно обеспечивает наилучшее качество боко- вых стенок, небольшое подтравливание и приемлемый выход годных, должен со- держать около 65% ГГ. При таких концентрациях типичное значение коэффи- циента подтравливаиия К2 составляет примерно 0,25. Такой же диапазон кон- центраций может быть использован в случае У-МДП-траизисторов, где наиболее важный параметр — качество боковых стенок с кристаллографической ориентаци- ей {111}; при изготовлении структур ИС, где необходимо одновременно реализовать хорошее качество боковых стенок и поверхности дна, наиболее приемлемая кон- центрация ГГ составляет 70.80%. При использовании ГГ подтравливание внутренних углов незначительно, а на внешних углах наблюдается заметное скругление, что связано с травлением плоскостей. Травление при 293 К приводит к образованию большого числа пирамидок. Добавление в раствор катехина также увеличивает их число. Качество дна лун- ки при травлении в ГГ зависит от чистоты поверхности окна, вскрытого в за- щитной маске. Если в окнах остался тонкий слой SiO2, травления не происхо- дит; если остались островки недотравленного окисного слоя, появляется множе- ство пирамидальных бугорков. Поэтому перед АТ рекомендуется проводить крат- ковременное (2...5 мин) травление в растворе КОН (350 г/л). После такой обработки количество бугорков резко уменьшается, и они не препятствуют трав- лению сквозных отверстий. К преимуществам ГГ следует отнести нераствори- мость в нем диоксида кремния, что позволяет использовать относительно тонкие слои 8Ю2 (0,3...0,5 мкм) в качестве маскирующего покрытия при травлении сквозных отверстий в пластинах Si толщиной до 500 мкм. Роль защитной мас- ки также могут выполнять напыленные в вакууме пленки алюминия. Известно также использование смеси ГГ с водой в отношении 4:1 (Т= =373 К) при создании У-МДП- и t/ МДП-транзисторов. Травители на основе 413
ГГ представляют собой сильнейший яд, и работа с ними требует большой осто- рожности. Система этилендиамин NH2(CH2)2NH2 — пирокатехин СбН4(ОН)2 — вода. Растворы этилендиамин—пирокатехин — вода (ЭПВ) ши- роко используются в производстве ИС и при изготовлении Г-МДП-транзисторов. Этот травитель менее токсичен, чем ГГ, и в меиьшей степени загрязнен ионами щелочных металлов по сравнению с КОН. Отсутствие последних в составе тра- вителя делает его предпочтительным для травления V-канавок при изготовлении V-МДП-транзисторов Раствор ЭПВ чувствителен к концентрации примеси и ти- пу проводимости: скорость травления значительно уменьшается при достижении р+-поверхности раздела. Травитель можно использовать для травления в направ- лении [ПО], а для увеличения скорости травления в него добавляют ГГ. Не- обходимым условием контролируемого травления является обеспечение чистой поверхности. В противном случае из-за наличия на поверхности загрязнений, а также естественного оксида образуются холмики. Поэтому удаление остаточного тонкого естественного оксида на поверхности кремния в буферном травителе необходимо. В критических случаях наиболее управляемый процесс травления достигался в свежем растворе, содержащем повышенный процент этилендиамина. Для поддержания постоянства состава раствора травление проводят в замк- нутой системе с циркуляцией испаряющихся компонентов травителя. Через рас- твор пропускают (пробулькивают) азот либо аргон, чтобы предотвратить его окисление и обеспечить хорошее перемешивание. Дополнительное перемешивание проводилось с помощью магнитной мешалки. Однородное и контролируемое травление может быть получено с использо- ванием травителя (объемные доли, %), этилендиамина (Э); пирокатехина (П); воды (В) =46,4 : 4 : 49,4. Травление проводят прн температуре кипения раствора 391 ± 1 К в неокисленной атмосфере. Скорости травления для плоскостей {100}, {110} и {111} составляют соответственно 50, 30 и 1 мкм/ч. Скорости травления термически выращенной пленки SiO2 и химически нанесенного в вакууме SisN4 15 и 8 нм/ч соответственно. Низкая скорость растворения SiO2 является пре- имуществом использования ЭПВ-травителей по сравнению с другими анизотроп- ными травителями, особенно при длительном травлении. Травитель обладает до- статочной стабильностью, при условии хранения в неокнсляющей атмосфере оказывается пригодным в течение нескольких недель. Стабильным является и травитель Э: П: В=255 мл : 45 г : 120 мл. Этот рас- твор при 373 К травит кремний <100> и <111> соответственно со скоростью 1,1 мкм/мин и несколько мкм/ч, a SiO2 — 0,8 нм/мин. Система свободна от эф- фекта старения, так что после двух недель свободного кипения скорость трав- ления кремния остается почти такой же, как и в свежеприготовленном тра- вителе. При пропускании кислорода через раствор ЭПВ, нагретый до 368 К, осо- бенно при низком содержании в нем пиразина, наблюдается резкое увеличение скорости травления и изменение окраски раствора от желтой до красной. Это связано с присутствием 1,4-бензохинона, образованного при окислении пирокате- хина с одним из компонентов раствора. С ростом содержания пиразина в пер- вом травителе проявляются два эффекта: тенденция к образованию осадка и большая стойкость к воздействию кислорода. Травитель, стойкий к воздействию кислорода и не дающий осадка, содер- жит 6 г пиразина на 1 л этилендиамнна и имеет состав Э : П : В=7,5 мл : 2,4 г: 2,4 мл. Он используется для травления моно- и поликристаллического кремния при 323...392 К. Этот травитель обладает высокой скоростью травления 81 мкм/ч для плоскости (100) и наиболее эффективен при 388 К Для травления тонких пленок кремния, где требуется точный контроль за скоростью травления, рекомендуется травитель, который содержит 6 г пиразина в 1 л этилендиамина и имеет состав Э : П: В=7,5 мл : 1,2 г: 1 мл. Он является стойким к окислению и образованию осадка при изменении температуры 388 (скорость травления 45 мкм/ч)..323 К (скорость травления 4,7 мкм/ч). Нали- чие в растворах ЭПВ пиразина повышает их стойкость к воздействию кислорода. Скорость травления p+-Si (100) в растворах ЭПВ снижается. При концент- рации бора 10’9 см-3 она сильно падает и практически становится равной нулю при 7-Ю19 см-8. Слой кремния, сильнолегированный бором, представляет 414
Напьер против воздействия анизотропного травителя. Такое свойство обеспечивает получение очень четкого рельефа и позволяет изготавливать различные струк- туры, использующие мембраны толщиной 1...10 мкм. У При создании тонких мембран используют травитель состава Э: П: В= __ Ю:3:8 мл; температура 383 К; скорость травления кремния в направлении <100> 60...70 мкм/ч. Травитель приблизительно такого же состава используется при изготовлении балочных выводов Растворы ЭПВ являются хорошими анизотропными травителями для моно- кристаллического кремния, пригодны для выявления дислокаций и макронесо- вершенств кристалла, используются при травлении полпкристалличсских слоев в технологии изготовления ИС. К недостаткам травителей следует отнести: токсичность; слишком высокие скорости травления при температурах ^373 К; образование нерастворимых осад- ков при низких температурах: сложность используемого оборудования. Это ог- раничивает применение травителей ЭПВ. Система едкое кали КОН — пропиловый спирт С3Н7ОН (ПС)—вода Н2О Введение в азеотропную смесь пропилового спирта и воды 0,01-0,03 моля КОН сопровождается образованием практически одной фазы А (верхний слой) Объем возникающей при этом фазы Б (нижний слой) на два порядка меньше объема фазы А. Температура кипения травителя в этом слу- чае практически соответствует температуре кипения азеотропной смеси, она по- стоянна в процессе травления и равна 361 К, что, указывает на стабильность состава травителя При азеотропном соотношении между ПС и водой 71,7% (0,43 моля) спирта и 28,3% (0,57 моля) воды наблюдается постоянство скоро- стей травления во времени при содержании КОН 0,01...0,03 моля. Скорости рас- творения кремния Щи»] и »цц] в режиме травления постоянны и равны соответ- ственно 1 и 0,019 мкм/мин, т. е. наблюдается соотношение V[ioo]/f[iii]=50: 1. Скорость травления SiO2 составляет менее 10 нм/мин. Уменьшение содержания КОН менее 0,01 моля, при котором в системе еще нет расслоения, снижает Щ1001 ДО 0,3 мкм/мии. Травитель имеет ряд преимуществ: простота обращения с раствором, не- ограниченный срок хранения, повторяемость результатов при травлении в те- чение длительного времени после его приготовления. Он нашел применение в про- изводстве ИС с изоляцией диэлектриком на кремнии, ориентированном в плоско- сти (100). Для травления кремния (100) используется анизотропный травитель КОН ПС : Н2О (деионизированная) =250 г.: 200 мл : 800 мл. В качестве маски ис- пользуют в случае мелкого травления при 298 К ФР, для глубокого (>20 мкм) травления при 353 К SiO2 или S13N4. Скорость травления в направлении < 100> в 100 раз больше, чем в направлении <111>. Этот травитель растворяет SiO2 со скоростью 2,8 нм/мин и совершенно инертен в отношении S13N4. Даже через 5 ч травления (353 К) не было замечено подтравливания. Система едкое кали КОН — изопропиловый спирт (ИПС) СгН2ОН — вода НгО. Оптимизированная система содержит КОН : ИПС : Н2О= =32 г: 250 мл : 375 мл; температура травления 353±2 К. Это соответствует 1,5 н раствору КОН, взятому в соотношении с ИПС, равном 3.2. Скорость трав- ления Si (100) зависит от концентрации КОН и ИПС. Эта система (ИПС мень- ше 83%) дает наименьшее боковое травление в направлениях <310> и <210>, т. е в меньшей мере изменяет форму углов кристаллов. Добавка ИПС уменьшает и скорость растворения SiO2. Систему КОН — МПС — Н2О использовали при изготовлении нагрузочных МДП-транзисторов в ИС. Температура при травлении составляла 333 К. Отмечается, что понижен- ная температура позволила устранить неровности на дне (100) У-канавки. При изготовлении высоковольтного П-МДП-транзистора применяли трави- тель КОН : ИПС : Н2О (деионизованная) = 15 г ; 50 мл : 100 мл. Скорость травле- ния кремния в направлении <100> прн 353 К составляет 0,11 мкм/мин, а ее изменение вблизи этой температуры не превышает 4%/град. При создании дифракционных решеток используют травитель КОН : ИПС : Н2О (деионизованная)—4,5 г : 25 мл: 15 мл; температура травления 333 К, время 45 с. 415
Система едкое кали КОН — изопропиловый спирт CSH?OH-- вода Н2О— перекись водорода Н2О2; едкое кали КОН — вода Н2О — перекись водорода Н2О2. Для улучшения полирующего действия щелочного травителя в него вводят Н2О2. Составы анизотропных травителей КОН — С,Н?ОН - Н2О — Н2О2 (Д) и КОН — Н2О — Н2О2 (Б) обеспечивают по лучение микрорельефа высокого качества на пластинах кремния ориентации (100) в широком диапазоне глубин травления. Это позволяет уменьшить плотность дефектов в виде пирамидок с гранями (111) с 104 до 102 см-2. Температура трав- ления 353±1 К Введение небольшого количества Н2О2 в травитель заметно сни- жает скорость травления кремния в направлении <100>, но практически не ока- зывает влияния на боковое и угловое растравливание элементов. Добавка 5 мл 30%-ной Н2О2 является оптимальной для травителя Д. За оптимальное количество 30 %-ной Н2О2 в системе Б принят объем 2,5 мл. Недо- статком системы Б является ее повышенная активность в направлении <211> Особенно велико угловое подтравливание при получении глубоких микрорельефов. Эффективность добавки 30<-ной Н2О2 к травителю уменьшается по мере увели- чения глубины травления, что, по-вндимому, связано с разложением Н2О2 при 353 К за время травления порядка 30 мин Этот недостаток может быть устра- нен путем введения дополнительного количества 30 %-ной Н2О2 непосредственно в процессе АТ. При исследовании системы Б было установлено, что при увеличении коли- чества Н2О2 скорость травления кремния уменьшается (рнс. 9.92) и при ее объеме 35 мл процесс АТ практически прекращается. Скорости травления крем- ния (100) в травителях Д и Б составляют 0,4 и 1,3 мкм/мии соответственно (табл. 9.19). К особенностям травителя, содержащего Н2О2, следует отнести то, что травление необходимо вести при перемешивании или встряхивании раствора для удаления пузырьков воздуха. Система едкое кали КОН — вода Н2О. Для травления кремния в направлении <110> применяют раствор (%) КОН : Н2О=33...35 : 65. Темпе- ратура травителя 353 К. Кремний в направлении <110> травится в 600 раз быстрее, чем в направлении <111>. Скорость травления кремния с’[цо] = =0,8 мкм/мин, 0siO2^3 нм/мин. Улучшение качества травленной поверхности. В зависи- мости от режимов травления получают различную по своему характеру поверх- ность дна лунок травления. В оптимальном режиме поверхность блестящая, ячеи- стая с небольшим количеством микронеровностей, не превышающих 1 мкм. Для неоптимальных режимов травления характерна матовая поверхность травления с большим числом и большей величиной микронеровностей. Таблица 9.19. Основные показатели процессов АТ микрорельефа глубиной 400 мкм на пластинах кремния КДБ-4,5 с ориентацией (100) Состав травителя ©gp мкм/мин и51О2- мкм/мин Боковое рас- травливание 1 Ь, мкм Угловое ра- стравливание г, мкм Плотность пирамид, см-2 Максималь- ный размер стороны осно- вания пира- миды, мкм КОН : С3Н;ОН : Н2О= =35 г : 250 мл : 375 мл 0,6 3 3,25 19 10’ 40 КОН : СзН7ОН : Н2О : : Н2О2 (А) =32 г : 250 мл : 375 мл : 5 мл 0,5 2 3,35 21 103 20 КОН : Н2О : Н2О2 (Б) = 1,3 2,1 =32 г : 375 мл : 2,5 мл Примечание. Используется 30%-иая Н2О2. 3,4 100 10s 10 416
Рис. 9.92. Зависимость скорости трав- ления кремния в направлении [100] от содержания 30%-ной НгО2 в тра- вителях А и Б при 353 К Рис. 9.93. Форма маскированного эле- мента ФШ (/) н элемента вытрав- ленного рельефа (2) при АТ крем- ния ориентации (100) на глубину 40 мкм и расположении сторон эле- ментов параллельно [ 110]: а — угловое растравливание; 6 — угловая компенсация Перед травлением необходима тщательная обработка кипящей смесью НгОг : NH,OH : НгО=1 : 1 : 4 в течение 6 мни. Качество травлений поверхности кремния при выдержке в водном растворе КОН улучшается с повышением кон- центрации ИПС. Вид поверхности травления зависит от концентрации щелочи. Зеркально полированное дно без бугорков получается при 373 К и концентрации КОН 350 г/л (Р[юо]—2,6±0,1 мкм/мин). При понижении температуры травления величина микронеровностей увеличивается. Щелочной травитель 30%-ного раст- вора КОН по качеству получаемой поверхности и анизотропности травления не уступает ГГ (температура плавления 378 К). Для улучшения качества поверхности свежеприготовленные растворы перед травлением выдерживают не менее суток, а в пронессе травления травитель пе- ремешивают. Перед травлением образцы часто обрабатывают в течение 30 с в буферном травителе для удаления тончайшего слоя оксида, который может препятствовать нормальному процессу травления. Уменьшение растравливания заданного рельефа При разделении пластины кремния на квадраты (мезаструктуры) минимальный угол завала получается при травлении в 22 %-ном водном растворе КОН. Для систе- мы КОН — НгО уменьшение КОН до 0,013 моля приводит к значительно мень- шему угловому подтравливаиию по сравнению с растворами с большим содер- жанием КОН. Форма углов, близкая к прямоугольной, возможна в результате создания ком- пенсирующего припуска на вершинах элементов ФШ, например, в виде маскиро- ванного квадрата, центр которого совмещен с вершиной элемента (рис. 9.93) Для уменьшения растравливания углов, образованных пересечением двух взаимно перпендикулярных канавок на плоскости (100) в водный раствор КОН вводят ИПС. Он является причиной снижения скорости травления кремния в направлениях <100>, а также в направлении <211>, по которому происходит растравливание углов и искажение заданной формы элементов рисунка. Измене- ние формы углов зависит от содержания ИПС. Прямоугольную форму элементов рисунка можно сохранить при АТ без заметного искажения, если стороны элементов расположить под углом 15° к на- правлению <110>, но при этом будет происходить боковое подтравливание. Формирование мезаструктур малых размеров при глубине канавок более 10 мкм связано с приданием специальной формы вершинам прямых углов Если изменение формы угла структуры не имеет значения или учтено в рисунке ФШ, то травление V-образных канавок на плоскости (100) лучше проводить в рас- творе КОП (300..350 г/л) в кипящей водяной бане. При этом получается ми- нимальное боковое подтравливание в направлении < 110> и максимальная ско- рость травления в глубину (145 мкм/мин). 27 Заказ Ns 1270 417
Рис. 9.94. Зависимость скорости травления диоксида кремния от весовой кон- центрации травителя и температуры для водных растворов КОН (а) и NaOH (б) Скорости травления Si и SiO2. Скорость травления кремния в на- правлении, перпендикулярном поверхности пластины, определяет длительность операции травления. На рис. 9.94 и 9.95 представлены зависимости скорости травления SiO2 и SiO2 от температуры процесса травления и от концентрации используемых травителей. Для КОН считается оптимальным режим травления: 7=373 К, с=30% мас- совых долей, а для NaOH: 7=373 К; с=40% массовых долей. Использование водного раствора едкого кали предпочтительнее в тех случаях, когда необхо- димо иметь меньшую толщину оксидной пленки на поверхности кремниевой пла- стины. Более тонкие слои SiO2 можно использовать, снижая температуру травле- ния В качестве оптимального с точки зрения одновременного уменьшения вре- мени травления кремния на заданную глубину и толщины SiO2 рекомендуется режим: с~30% массовых долей; Т=348 К. Скорость травления Si в направле- нии <100> от температуры травителя при постоянной концентрации раствора имеет экспоненциальный характер, причем начиная с температуры 347 К она рез- ко возрастает. Влияние времени травления на фигуры травления. При концентрации раствора КОН 15% массовых долей и ниже малую скорость пере- мещения по поверхности исходной пластины имеют боковые грани, определяемые плоскостями {ПО}, а при 33% массовых долей и выше—боковые грани {100}. Форма лунки травления видоизменяется по мере травления. В качестве рисун- ка для локального АТ используют круглое отверстие. На плоскости (ПО) наименьшие скорости травления наряду с плоскостью (111) имеют грани (210), (ПО) или (100). При травлении в растворах травителя с концентрацией 15% массовых долей и ниже это будут плоскости (210), при 20% —грани (ПО), а при 33% и выше—грани (100). Рис. 9.95. Зависимости скорости травления кремния (100) от концентрации травителя и температуры водных растворов КОН (о) и NaOH (б) 418
Глава 10 Сборка микроэлектронных устройств 10.1. Монтаж кристаллов у плат микросхем в МЭУ Метод приклейки. Основные требования, предъявляемые к клеевым соеди- нениям: механическая прочность, высокая адгезионная способность (не менее 2...3 МПа) и стабильность электроизоляционных свойств в интервале рабочих температур (удельное объемное сопротивление не менее 1014 Ом см, tg б^ sgl-lO-3). Кроме того, газовыделения клеевого шва в герметизированных объе- мах при температурах эксплуатации не должны содержать агрессивные компо- ненты, вступающие в реакцию с элементами кристалла. Клей должен обеспечить условия для сравнительно легкого демонтажа на- весных электрорадиоэлементов (ЭРЭ) на мпкросборке. После приготовления клея требуемые клеящие свойства должны сохраняться в течение всей рабочей сме- ны, а полимеризация должна происходить при сравнительно низких температу- рах (не выше 353 К) во избежание повреждения навесных элементов. На поса- дочное место кристалла клей наносят лопаточкой, шприцем или трафаретной пе- чатью. Последний способ применяется для крепления кристаллов на коммута- ционных платах (КП), при этом избирательность нанесения клея сочетается с до- статочной точностью обеспечения необходимой толщины: (100±20) мкм. Ассор- тимент применяемых клеев и компаундов (для приклейки) широк, но чаще всего применяют эпоксиды, полиуретаны, силиконы. Эпоксидная смола имеет хорошие электрические характеристики, ме- ханическую стойкость и высокие адгезионные свойства. Применяется в виде двух- компонентных систем. В качестве отвердителя используются амины. НедостаТ- Таблица 10.1. Характеристика клеящих материалов Наименование характеристики Значение характеристики Эпоксиды Силиконы Полиуретаны Максимальная рабочая тем- пература, к 398 573 398 ТКЛРХ106, к-1 Т еплопрово дность, Вт/(мК): 40...90 200...290 100...200 без наполнителя с наполнителем 0,6...0,8 0,8...2,2 9,6... 1,0 1.0...2.5 0,6...0,8 Удельное объемное электри- ческое сопротивление, Ом-см (298 К, влажность 50%) 1О14...1О1е 1015...10’7 10|4...10,в Диэлектрическая проницае- мость (без наполнителя) 3.5...4 3,5 ..4,2 3.5...4.0 Электрическая прочность (кратковременная) при 296 К, В/мм 80...90 70...80 100... 120 Угол диэлектрических по- терь при 296 К и частоте 100 МГц 0,002...0,05 0,001...0,007 0,015...0,07 Адсорбция воды за 24 ч, % 0,08.0,15 0,04...0,08 0,02...0,15 Поглощение паров воды при 313 К (при 90%-ной влаж- ности), 103 (г мм)/мм2 2.2...3.6 88... 125 2,8...6,0 27* 419
ком является трудность удаления покрытия, что несколько усложняет ремонт при смене кристалла или другого компонента Полиуретановый клей применяется в виде одно- и двухкомпонентных систем. Он более эластичен, имеет больший коэффициент теплового расширения и легче поддается ремонту. Отвердитель-— толуол-диизоцианат, режим отверждения такой же, как у эпоксидных смол. Клеевые покрытия на основе силиконовых смол имеют отличительные диэлектрические характеристики, что делает их особенно пригодными для СВЧ- диапазона, Высокая эластичность силиконов позволяет удалять их механической обрезкой. К недостаткам следует отнести высокий температурный коэффициент расширения (ТКР) и относительно низкую адгезию (табл. 10.1). Клей КВК-68 рекомендуется для крепления навесных элементов с раз- мером более 3 мм2. Представляет собой смесь кремннйорганического силоксано- вого компаунда н пылевидного кварца (кварц вводится для увеличения вязко- сти). Благодаря своей эластичности клей КВК-68 позволяет проводить (3...4)- кратный демонтаж и монтаж элементов на одно и то же посадочное место; кро- ме того, он химически инертен по отношению к материалам, входящим в со- став мнкросборок. Основа клея — силиконовый каучук — представляет собой цепь чередующихся атомов кремния и кислорода: — s< — о — s> — о — R R В полиснлоксановом каучуке присоединяемые к атому кремния органические радикалы R — метильные группы СН3. Энергия связи Si — С и Si — О очень высока (свыше 1236 кДж/моль), поэтому полисилоксаны химически инертны и выдерживают без деструкции нагревание до 573...623 К. Силоксановый каучук представляет собой высокомолекулярную жидкость, состоящую из полимерных частиц, включающих 5000 ..9000 мономерных звеньев. При введении у-аминопро- пилтриэтоксилана с тетраэтоксиланом NH2—(СН2)3—S^OCjHjh+^HsOhSi про- исходит склеивание силоксана по типу поликонденсации (катализатор входит в состав поперечной связи). Поскольку н катализатор является силоксаном, проч- ностные и теплостойкие свойства не ухудшаются. Клей МК-400 рекомендуется для крепления навесных элементов преиму- щественно на коммутационные многослойные платы из полиимндной пленки. Он является модификацией клея К-400, который относится к классу эпоксикремний- органических, получаемых при совмещении эпоксидных смол с полиорганосилок- саиами типа к где R — алкосигруппы СН2О—, С2Н5О—, С3Н7О, образующие блоки-сополимеры, щверждаемые аминными отвердителями: ын --- 420
Для увеличения адгезии клея К-400 к полиимндной пленке в его состав вво- дится NH2(CH2)6NHCHSi(OC2H5)3. Модифицирующая добавка сшивается с бло- ком-сополимером без выделения побочных продуктов, в результате чего образу- ются боковые цепи, оканчивающиеся аминными и имидными группами, имею- щими сродство с полиимидом. Клей ВК-9 рекомендуется для крепления навесных элементов размером ме- нее 3 мм2 и представляет собой композицию, состоящую из эпоксидной смолы (ЭД-20), отвердителя (ПО-ЗОО) и катализаторов (АГМ-3 и ЛДЭ-3). Смола ЭД-20 имеет следующее строение: [сн — сн ] » причем относительная молекулярная масса ее сравнительно невысока (не более 4500). Отвердитель ПО-ЗОО представляет собой полиимидную смолу [— в ------ nh — с ------ R ----] „ II о Для крепления теплоотводящих элементов часто применяется компаунд ЭТК-21, содержащий в качестве наполнителя нитрид бора (основа — смола ЭЛ-20, полиэфиракрилат, полиэтиленполиамин и стирол). Основные характеристики клеев приведены в табл. 10.2 и иа рис. 10.1. В процессе приклейки кристаллов микросхем или других ЭРЭ в них воз- никают внутренние механические напряжения.Если пренебречь деформацией из- гиба, возникающей при склейке, то оценочная формула для расчета напряже- ний, возникающих в приклеиваемом элементе, может быть представлена в виде = £,{£^[(0,-0,) (Г—7с) + W'] +£3й3[(Д,-а2) (Т-7С)]} £1й|+£2й2+£3й3 где at, а2, а3 — температурный коэффициент линейного расширения ГГКЛР) ма- териалов кристалла, клея и посадочного места соответственно; £(, £2, £з — мо- дули упругости тех же материалов; 7~с—температура стеклования клея; Т — рабочая температура; W — химическая усадка клея при полимеризации; hlt h2, hs — толщины кристалла, клея и платы. Для клея ВК-9 (й2=100 мкм, £2=5 ГПа, а2=50-10~6 К-1), используемого для приклеивания кремниевого кристалла (M=500 мкм) и коварового осиоваиия корпуса (й3=370 мкм, £3= 117,6 ГПа, а3=5-10-6 К-1), значение а лежит в пре- делах 50...60 МПа. Теплопроводящие электроизоляционные клеи служат для отвода тепла от активных элементов. К числу технологических требований, предъ- являемых к таким клеям относятся: стабильная во времени вязкость, достаточ- ная жизнеспособность, возможность нанесения на склеиваемые поверхности спо- Рис. 10.1. Зависимость теплопроводности 1 эпоксидного клея от содержания и типа на- полнителя 421
Таблица 10.2. Пара Марка клея-комп ау ид а Плотность, г/см3 Предел прочности при разрыве, МПа Удельное электрическое сопротивление ру Ом’см Угол диэлек- трических потерь tgS на частоте 1 МГц КВК 68 1,2 1,5...2,5 Более 1013 0,0033 МК-400 1,3 0,03...0,1 Более 3-10'5 0,017 ВК-9 1,2 13,0 (на сдвиг) Более 1,5-101Е 0,039 собом автоматизированного переноса, высокая адгезия к подложкам и полупро- водниковым кристаллам в определенном интервале температур. Этим требовани- ям удовлетворяют клеи марок КЖТ-2 и КЖТ-8, представляющие собой компо- зиции на основе эпоксидных связующих с отвердителями соответственно ангид- ридного и аминного типов (табл. 10.3). В качестве наполнителей используются карбиды металлов. Таблица 10.3. Физико-механические и диэлектрические свойства клеев Параметр Значение параметра клея КЖТ-2 КЖТ-8 ВК-9 Жизнеспособность, ч Режим полимеризации (К/ч) Коэффициент теплопроводности, Вт/(мК) Прочность клеевых соединений алю- миния при сдвиге, МПа Удельное объемное электрическое со- противление, Омм 24 4 2; 5 433/6 353/20 303/24 1,5 0,7 0,9 5,2 5 — 7-1013 1-10’4 — Клеевая прослойка между поверхностями соединенных деталей, обладающая меньшей по сравнению с металлами теплопроводностью, создает сопротивление тепловому потоку, величина которого зависит от толщины прослойки. Последняя определяется размером частиц наполнителя, дозировкой и давлением при склеи- вании. Получение тонкого клеевого шва обеспечивается применением мелко- дисперсного наполнителя с размером частиц 2...7 мкм. При автоматизированной сборке микросхем толщина клеевого шва состав- ляет 40...60 мкм, что создает тепловое сопротивление 7,2 K/Вт, которое увели- чивается в 1,5 раза, если толщина клеевого шва возрастает до 100...120 мкм. Зависимость коэффициента теплопроводности этих клеев от температуры при- ведена на рис. 10.2. Важным свойством клеев является ремонтоспособность, которая достигается резким снижением адгезионной прочности склеиваемых деталей под действием определенных температур. Для клея КЖТ-8 наблюдается заметное снижение ад- гезионной прочности уже прн температуре 373 К (рис. 10.3). Для КЖТ-2 адгези- онная прочность практически не изменяется до температуры 543 К. Резкое сни- жение адгезионной прочности наступает при температуре выше 633 К. 422
метры клеевых соединений Диэлектрине-\ екая прони- 1 цаемость е 1 на частоте | 1 МГц Электриче- ская проч- ность£пр — г мм Срок хране- ния состав- ляющих ком- понентов, мес. Жизнеспособ- ность, ч Режим поли- меризации К (ч) Материалы, с которыми недопустимо совместное применение 3,8 19,7 6 0,5... 1 298 (72) 333 (2) Хлоросодержащие угле- водороды, бензин, уайт- 4,2 16,2 6 4 298 (48) спирт Ацетон, спирт 353 (4) 3,8 17,9 6—12 3 298 (48) » 348 (4) При повышенных температурах удельное объемное электрическое сопротив- ление клеев уменьшается, причем уменьшение этого параметра значительнее у клея с аминным отвердителем (рис. 10.4). При сборке применяются теплопроводные пасты, которые обеспечивают эф- фективный тепловой контакт между двумя соприкасающимися поверхностями. К их числу относятся пасты СКК-67 и СК-73 на основе кремнийорганического каучука и теплопроводного наполнителя, которые обладают вязкостью, позволяю- Таблица 10.4. Свойства теплопроводных паст Параметр Значение параметра ласты СКК-67 СК-73 Вязкость, Ст, при значении темпера- туры, К: 298+10 5,2 5,8 333 4,8 5,3 373 4,5 4,9 Коэффициент теплопроводности, 0,98 1,2 Вт/(м-К), не менее Срок хранения, мес. 12 12 Рис. 10.2. Зависимость коэффициен- та теплопроводности от температуры для клеев с ангидридным (7) и амииным (2) отвердителями 0 —.----1-------1------1------ 233 333 373 <>13 Г, к Рис. 10.3. Зависимость прочности клеевых соединений алюминия при сдвиге от температуры для клеев с ангидридным (/) и аминным (2) отвердителями 423
293 313 333 353 373 393 7,К Рис. 10.4. Зависимость удельного объемного электрического сопротив- ления от температуры для клеев с ангидридным (/) и аминным (2) отвердителями щей наносить их на совмещаемые поверхности ровным тонким слоем, и практи- чески не изменяют свою консистенцию в диапазоне рабочих температур (табл. 10.4). Метод пайки. Кристаллы ИС на посадочном месте закрепляются пайкой легкоплавкими припоями или контактно-реактивной пайкой на основе автектики Si-Au. В последнем случае на основание корпуса или посадочного места на плате наносят золотое покрытие толщиной не менее 2,5 мкм. Меньшая толщина золота приводит к недопустимому уменьшению эвтектического спая, а также к тому, что на границе эвтектики с материалом подложки (например ковара) появляются интерметаллические соединения (например, железа и кобальта). Наличие сплава железо-кобальт вблизи границы раздела и в самой эвтектике может оказать самое неблагоприятное воздействие на качество эвтектики — происходит охруп- чивание и даже разрушение соединения. Оптимальным является соединение Si-Au толщиной 5...7 мкм эвтектического равномерного спая с содержанием кремния 2,4..2,8 % и площадью эвтектики под кристаллом не менее 70%. Обычно температура такой пайкв составляет 683... 723 К, давление на кристалл — около 1 МПа. Для улучшения смачивания паяе- мой стороны кристалла на нее наносят золотое покрытие с подслоем из никеля, которое вжигают перед пайкой прн температуре 673. .693 К. При пайке кристал- лов больших размеров в зазор дополнительно вводят фольгу из золота толщи- ной около 20 мкм. Для создания надежного коллекторного омического контакта полупровод- ник-металл, обладающего высокой электро- и теплопроводностью, используют эвтектические припои на основе AuSi, AuGe, так как они имеют высокую электро- и теплопроводность и позволяют получать достаточно низкие значения теплового сопротивления Rt. Для снижения Rt припоем на основе AuSi и AuGe уменьшают толщину припоя под кристаллом. Но уменьшение толшины припоя более чем на 10...20 мкм (в зависимости от размера кристалла) приводит не к уменьшению, а, напротив, к увеличению Rt вследствие резкого снижения качества пайки. Уменьшение Rt возможно при пайке эвтектикой AlGe, которая может растворяться как в кремнии, так и в молибденовом компенсаторе. Взаимная растворимость мате- риалов, используемых при посадке кристаллов в корпус,— необходимое условие улучшения согласования коэффициентов теплового расширения сопротивления прибора. Температура плавления сплава AlGe равна 697 К, сплава AuSi — 643 К. Выдержка приборов при температуре 673 К в течение 33 ч равноценна выдерж- ке приборов при температуре 573 К в течение 1000 ч и при 323 К в течение 11...12 ч, что может быть использовано для ускорения испытаний. Контроль качества соединения полученного с использованием электрического припоя AlGe, проводят рентгеиодефектоскопическими и рентгеноструктурными методами. Рентгенограммы паяных соединений получают на рентгеноструктурной установке УРС-0,02, острофокусная труба которой дает возможность прн (2—3)- кратных увеличениях изображения добиться линейного расширения не хуже 100 мкм. Качество пайки оценивается по отношению площади непропаев к общей площади кристалла. Так, для материалов соединенных деталей Si и Мо были по- лучены значения отношения площади непропаев к общей площади кристалла 2,25, 2,65 и 4,49%. На соединяемые детали методом термического испарения в вакууме наносилась одно- или двухслойная металлизация из А1 и Ge в слон А1 (0,5 .1 мкм) и Ge (0,05...0,1 мкм) напылялись последовательно в одном цикле из разных испарителей. После металлизации пластины разделялись на кристаллы 424
(5X5 мм) и подложки (9X9 мм). Затем кристаллы напаивались иа подложку припоем AlGe при значении температуры (723±10) К в среде азота. При использовании припоя AlGe понижается тепловое сопротивление на 20 40% (при этом из-за взаимного растворения припоя и материала компен- сатора граница раздела между ними ие имеет четких очертаний) и повышается мощность рассеяния приборов. Эвтектическая пайка производится без флюса на воздухе, поэтому для по- садки кристаллов пайкой применяют вибрационную притирку. За счет разруше- ния и удаления окисных пленок с поверхностей припоя и соединяемых деталей прочность паяных соединений повышается в среднем на 30...40%. Для монтажа кристаллов наибольшего размера (менее 3x3 мм) используются как УЗ-, так л НЧ-колебания, а для кристаллов больших размеров — в основном НЧ-колеба- ния с большой амплитудой (до 0,5..0,8 мм). В табл. 105 приведены режимы бесфлюсовой вибрационной пайки иа воз- духе кремниевых кристаллов на основания корпусов и коммутационные платы. Таблица 10.5. Режимы безфлюсовой вибрационной пайки кремниевых кристаллов Вид пайки Покрытие, мкм Материал прокладки Темпера- тура пай- ки, К Время при- тирки, с Частота колебаний, Гн на кристалле иа посадочном месте Контакт- но-реак- Без покры- тия Золото (3...9) Отсутствует 683...723 1...5 1...50 или 20...60 тивная Слой золота (1...2) с под- слоем нике- ля (0,03) Золото (3) 703...723 2...3 1...4 То же Золото 703...723 1...3 До 50 Легко- плавкими припоя- Золото (I...2) с под- слоем нике- Сплав оло- во-висмут (3...10) ПОС-61 673...483 10...30 50 ми ля ПОС-61 (9... 18) Золото (1...2) или сплав олово- висмут (3...10) Отсутствует 673...483 5...20 1...50 При использовании в качестве припоя легкоплавких металлов и сплавов на тыльную поверхность кристалла обязательно наносят известным способом (ва- куумное осаждение, электрохимическое покрытие и т. п.) либо слой металла, хорошо смачивающегося легкоплавким припоем (например, как уже упомина- лось, золото с подслоем никеля), либо слой легкоплавкого припоя (например, сплав олово-висмут, сплав ПОС-61). Процесс вибрационной пайки дополняется иногда процессом лужения обратной металлизированной поверхности кристалла тем же самым легкоплавким припоем, причем в этом случае иногда применяют нереакционио-способиый флюс типа ФКСП. Введение вибраций в расплав олова способствует увеличению центров кристаллизации и, следовательно, получению мелкозернистой структуры. Пайку можно осуществлять припоями на основе свинца. Рассмотрим возможные схемы сборки торцевых соединений перед пайкой иа примере соединения кремниевой пластины 1 с медной пластиной 2 (рис. 10.5), т. е. соединения, характерного для большинства полупроводниковых приборов, например транзисторов. Припойная прокладка из чистого свинца 3 может вво- диться в зазор между кремниевой пластиной 1 и медиой пластиной 2, покрытой слоем никеля (рис. 10 6, п). Смачивание кремния свинцом в среде водорода про- исходит только при температурах 1073... 1123 К вследствие легирования жидкого 425
Рис. 10.5. Схемы сборки пластин, не обеспечивающие (а — г) и обеспечиваю- щие (д — з) заданную толщину шва при пайке в печах с защитной атмосферой: 1— кремниевая пластина; 2 — медная пластина; 3—свинцовый припой; 4 — пористое не- таллическое покрытие; 5 — пористая металлическая прокладка свинца никелем в процессе пайки. Эта схема сборки требует тщательной очистки свинцовой прокладки. Если перед пайкой между пластинами 1, 2 ввести припойную прокладку из сплава Pt — Ni (рис. 10.5,6), то температура смачивания кремния припоем снизится до 823...923 К при давлении на соединяемые пластины 5...10 кПа. Температуру пайки Si и Си можно снизить до 653...673 К, если в зазор ввести свинцовую прокладку, покрытую с обеих сторон слоями гальванического никеля толщиной 1...2 мкм (рис. 10.5, в). Перед покрытием свинцовая прокладка обрабатывается в травителе следующего состава: З...Ю% Н2О2, 3,..10% СНзСООН, остальное — вода. Значительное снижение температуры смачивания Si и Си в этом случае связано с удалением окисной пленки со свинцовой про- кладки, защитой ее никелевым покрытием от окисления в процессе нагрева и последующим растворением никелевого покрытия в жидком свинце. На рис. 10.5, а показана схема сборки кремниевой и медной пластин 1 н 2 перед пайкой при наличии капиллярных каналов на поверхности пайкн медной пластины. Сплав Pb-Ni в виде припойной таблетки после расплавления затекает в зазор между соединяемыми пластинами и смачивает поверхности пайки. Толщина паяного шва может задаваться толщиной пористого губчатого нике- левого покрытия 4 (рис. 10.5, д, е), нанесенного на медную пластинку 2. Тол- щина такого покрытия, полученного, например, методом пульсеризации карбо- нильного никелевого порошка, может составлять 50...70 мкм и выше. Смачивание кремния свинцом происходит при 633...653 К за счет активного растворения пористого никелевого покрытия в жидком свинце. Быстрое насыще- ние свинца никелем обусловливается сильно развитой поверхностью пористого покрытия. При сборке по схеме рнс. 10.5, д необходима тщательная очистка свинцовой прокладки от окисных пленок непосредственно перед пайкой, что усложняет технологический процесс пайки. Однако в схеме рис. 10.5, е очистки таблетки припоя 3 не требуется. Очистка в этом случае происходит при впитыва- нии свинцового припоя в пористое покрытие за счет фильтрации его от окисных пленок и прочих загрязнений. Паяное соединение кремниевой и медной пластинок с заданной толщиной паяного шва может быть получено при введении между ними пористой никеле- вой прокладки 5: пропитываемой в процессе пайки свинцовым припоем (рис. 10.5,ж, з). Прокладка из свинцового припоя размещена между медной пластиной 2 и пористой никелевой прокладкой 5 (рнс. 10.5,ж). Поэтому в зону контакта пористой прокладки с поверхностью кремния жидкий свинец поступает очищенный от окисных пленок после прохождения через капиллярно-пористую систему никелевой прокладки. В то же время смачивание меди может ухудшать- ся из-за наличия окисных пленок свинца в зоне контактов пористой прокладки 3 с медной пластинкой 2 (рис. 10.5, ж). Полное смачивание и кремниевой, и мед- ной пластин достигается при плотном контакте пористой никелевой прокладки 426
с поверхностями пайки по всей площади спая (рис. 10.5, з); для получения плот- пости контакта требуется приложить давление 5...10 кПа. Следует заметить, что давление при описанных схемах сборки способствует в процессе пайки удалению окисных пленок и обеспечению плотного контакта припоя с поверхностями пайки, что улучшает смачивание. Фильтрация припоя от окисиых пленок в процессе пайки также улучшает смачивание. Поэтому наилучшее качество паяных соединений Si с Си заданной толщины шва при 603...643 К в среде водорода обеспечивают схемы рис. 10.5, е, з. Чем шире температурный и временной интервалы допустимого контакта жидкого припоя с паяемой основой, тем выше совместимость этих материалов, проще разработка технологии и надежнее технологический процесс. Особенно это важно при разработке технологических процессов поточной пайки в печах с защитной атмосферой, например водородных, конвейерного типа. В таких пе- чах длительность контакта жидкого припоя с паяными материалами может ко- лебаться от нескольких минут до 30 и более. При пайке полупроводников и ме- таллов свинцовыми припоями температурный и временной интервалы довольно широкие. Время контакта жидкого припоя с поверхностями Si, Си, Ge при 603... 673 К может колебаться от 1...3 до 10..20 мин. При пайке Mo, W, Ni, Be время контакта может быть увеличено до 60...90 мин в интервале температур 773... 873 К. Это позволяет осуществлять поточную пайку различных сочетаний метал- лов и полупроводников в печах конвейерного типа, для чего производится сбор- ка деталей узлов приборов в кассеты, которые обеспечивают сжатие соединяемых деталей под необходимым давлением в течение всего процесса пайки. Сжатие может осуществляться плоскими или спиральными пружинами. Контроль внутренних напряжений. Свойства соединяемых материалов, тем- пературные условия формирования соединений и герметические размеры опре- деляют внутренние механические напряжения, которые могут приводить к раз- рушению изделия в местах соединений. Для определения значений внутренних механических напряжений в ситалло1 вых подложках, возникающих в процессе монтажа бескорпусных элементов и приклейки микросборок на несущие основания, используют метод определения кривизны подложки с помощью голографической интерферометрии. Интерферо- граммы сопровождаются координатной сеткой. Любому узлу сетки соответствует количественная мера — число интерференциовных полос между узлом и любой полосой, принятой за начало отсчета. Матрица числовых значений представляет собой матрицу деформаций подложек. Данная методика обеспечивает возмож- ность измерения деформаций по всей последовательности технологических опе- раций в любой точке поверхности. При определении напряжений в узловых точках используют общие зависимости между напряжениями и деформациями в дифференциальной форме: Ed2 / й2ы д2м \ 6(1 — ц2) \ дх2 ду2 /’ Ed2 / д2ы д2и \ а"- 6(1-р2) 'ду2 +Ц дх2 )’ где Е — модуль упругости; d — толщина подложки, р — коэффициент Пуассона; а» и Оу—проекции напряжения в точке (х, у); ы (х, у)—прогиб подложки в точке (х, у). Объектом исследований служили ситалловые подложки толщиной 0,5 мм, иа которые методом групповой пайки с помощью пастообразных припоев микро- паяльником напаивались конденсаторы типа К-10-17 ОЖ0.460.Ю7 ТУ третьего типоразмера. Подложки устанавливались с помощью компаундов ВК-9 и «Вик- синт К-68» на стеклотекстолитовые платы толщиной 1,5 мм. Перед монтажом ситалловые подложки металлизировались никелем на толщину 0,3...0,5 мкм. На полученном слое методом фотолитографии вытравливалась координатная мил- лиметровая сетка. Чтобы устранить дополнительные механические напряжения, которые во время металлизации накладывались на интерферограммы и затруд- няли их расшифровку, перед голографированием все ситалловые подложки под- вергались отжигу при температуре 513 К в течение 30 мии. Так как на краю 427
Рис. 10.6. Механические напря- жения в ситалловой подложке с напаянными микропаяльни- ком тремя конденсаторами ситалловых подложек из-за скрайбирования возможны микротрещины и сколы, наибольший интерес представляет определение напряжений и их влияния на си- талл. Для этого были проведены следующие эксперименты. При монтаже с помощью микропаяльника группы конденсаторов типа К-10-17 на ситалловую подложку расшифровка интерферограмм (рис. 10.6) по- казывает, что максимальные напряжения (свыше 160 МПа) достигаются в об- ластях под конденсаторами. Поскольку предел прочности ситалла составляет 200 МПа при механических и климатических испытаниях, когда конструкция испытывает дополнительные нагрузки, возможно разрушение подложек. Механические напряжения под конденсаторами при групповой пайке в 2,5... 3 раза меньше по сравнению с напряжениями при пайке микропаяльником (рис. 10.7). Наклейка собранных микросборок на несущие основания может уве- личить те напряжения, которые уже возникли при напайке конденсаторов. На- пряжение в снталловых подложках, наклеенных компаундом ВК-9, достигает 100... 120 МПа. Для уменьшения механических напряжений в подложках вместо жесткого компаунда ВК-9 для креплений мнкросборок следует использовать эластичный компаунд типа «Виксинт К-68». На эпюре механических напряжений подложки для склеивания эластичным компаундом (рис. 10.8) показана четверть подложки, так как полученная интер- Рис. 10.7. Механические на- пряжения в ситалловой под- ложке с напаянными микро- паяльником (слева) н группо- вой пайкой (справа) конден- саторами Рис. 10.8. Эпюры механических на- пряжений в ситалловой подложке, установленной на стеклотекстолито- вую плату с помощью эластичного компаунда «Виксинт К-68» 428
(Ьеренционная картина симметрична. Напряжения симметрично возрастают по ьраям подложки и не превышают 10 МПа. Проволочные материалы Электрические соединения в МЭУ мож- но выполнить тонкими проволоками на основе Au, Al, Ag и т. п. (табл 106— 10 9). Таблица 106 Размеры круглой проволоки из золота, серебра и их сплавов (ГОСТ 7222—75), мм D Д£) 0 020; 0,025; 0,030; 0,036; 0,040; 0,045, 0,050 —0,004 0,055; 0,060; 0,070 -0,005 0,080; 0,090; 0,100 —0,007 0 11; 0,12; 0,14 —0,009 0,16; 0,18 —0,010 0,20; 0,22; 0,25; 0,28; 0,30; 0,32; 0,34; 0,36; 0,38; 0,40 -0,02 0,42; 0,45; 0,50; 0,55; 0,60; 0,65; 0,70; 0,75; 0,80; 0,85; 0,90; 0,95 -0,03 1,00; 0,10; 1,15; 1,20; 1,30; 1,40; 1,50; 1,60; 1,70; 1,80; 1,90 —0,04 2,00; 2,10; 2,20; 2,40; 2,50; 2,60; 2,80 -0.05 3,00; 3,20; 3,40; 3,60; 3,80; 4,00; 4,20; 4,50; 4,80 -0,06 5.00; 5,20; 5,50; 5,80; 6,00; 6,50 —0,07 7,00; 7,50; 8,00; 8,50; 9,00; 9,50; 10,00 -0,08 Примечание. Проволоку диаметром менее 0.05 мм изготавливают только из золота марок Зл999; Зл999,9 и серебра марок Ср999 и Ср999.9. Таблица 10.7. Размеры проволоки из золота и серебра (ТУ 48-1-27—80), мм D Д£) О А£> 0,13; 0,15 0,17; 0,19; 0,60 -0,009 -0.010 0,70; 0,80; 0,83; 0,96; 1,15 1,20; 1,50 —0,012 —0,020 Таблица 10.8. Масса проволоки из золота, серебра и их сплавов в мотке или на катушке (ГОСТ 7222—75) D, мм Масса, г не менее О, мм Масса, г, не менее нормаль- ная пони- женная нормаль- ная пони- женная 0,02...0,055 1 0,3 0,42... 1,00 50 25,0 0.06...0.10 2 1,0 1,10...2,00 150 70,0 0,11...0,20 0,22...0,40 5 15 2,0 8,0 2,10... 10,00 300 150,0 Для разварки применяют микропроволоку из золота марки Зл999,9. При создании контактов золотой проволоки с алюминиевыми контактными площад- ками возможно образование химических соединений типа Al„Aum и возникнове- ние микропористости в месте сварки, особенно в присутствии различных метал- 429
Таблица 10 9. Временное сопротивление ав, МПа, и относительное удлинение проволоки из золота, серебра и их сплавов (ГОСТ 7222—75) Марка металла или сплава Проволока твердая мягкая ав °в а Зл999,9; Зл999 200 120 10 ЗлСрМ583-80 800 450 35 Ср999,9; Ср999 260 160 27 СрМ960 400 250 25 СрМ925 350 250 20 СрМ916 350 250 20 СрМ900 350 250 20 СрМ875 350 250 20 Ср Пл 12 400 200 18 СрПд20 400 250 30 Примечание. Определение механических свойств проводилось на проволоке диамет- ром 0,8...4,0 м, а для сплавов марок СрПл12 и СрПд20 — на проволоке диаметром 0,04... 1,0 мм. лических примесей и фтор- или углеродов типа фреона, что приводит к отказам приборов в процессе их эксплуатации. Для повышения стойкости изделий МЭУ к вибрационным, ударным нагрузкам с большим ускорением используют алю- миниевую проволоку. К проводниковой микропроволоке нз алюминиевых сплавов предъявляются требования по содержанию основного легирующего компонента (с массовой до- лей 1±0,1) и примесей (с массовой долей не более 0,05), предельных отклоне- ний диаметра (±1...2 мкм) и высокой чистоты поверхности. Для стабилизации процесса микросварки необходимо соблюдение определенного напряжения про- волоки при намотке ее на катушки в один слой без ослабления витков и отсут- ствие петель прн свободной размотке проволоки с катушек. Используют мнкропроволоку из алюминиево-кремнневого (А999КО9, АКО9П) и алюминнево-магниевого (АМг08) сплавов, получаемых на основе особо чистых компонентов (табл. 10.10). Микропроволока марки А999КО9 имеет двухфазную мелкодисперсную структуру, а АМг08 — структуру однородного твер- дого раствора. Таблица 10.10. Механические свойства микропроволоки алюминиевых сплавов Наименование характеристики Значение характеристики А999К09 АМгОЗ АК09П Предел прочности, МПа 280...450 >200 200...400 Относительное удлине- ние, % >0,8 ^>1 1...4 Номинальный диаметр, мкм 27...50 30...35 27...50 430
10.2. Микросварка при монтаже выводов МЭУ Термокомпрессия. Метод соединения металлов с металлами и неметаллами микросваркой давлением с подогревом соедвняемых деталей. Один из соеди- няемых материалов (обычно вывод микросхемы) при термокомпрессии должен обладать достаточно высокой пластичностью. Температура соединения при тер- мокомпрессии ие превышает температуру образования эвтектики соединяемых материалов и обычно соответствует температуре отпуска или отжига более плас- тичного металла. Термокомпрессия обеспечивает сварку мягких высокоэлектропроводных ма- териалов в виде круглых н плоских проводников с тонкими металлическими плен- ками, напыленными на хрупкие диэлектрические подложки. Одиако термокомп- рессия ограничена числом сочетаний свариваемых материалов (термокомпрессией весьма трудно сварить ковар, никелевые и железные сплавы); при этом мате- риал подложки должен обладать малой чувствительностью к термическому уда- ру и хорошей адгезией с напыленными пленками (кремний, в том числе окислен- ный, ситалл или другая керамика). Соединяемые материалы требуют подготовки путем травления, зачистки, обезжиривания, защиты от окисления и др. Термокомпрессия классифицируется по трем признакам: 1. Способу иагрева (рис. 10.9). 2. Виды соединения (нахлесточное или встык). 3. Типу образующегося соединения, обусловленного формой инструмента (рис. 10.10). Высокой прочностью обладают соединения, выполненные по схеме рис. 10.10,6. По схеме сварки (рис. 10.10, а и г) получают соединения с гарантированной осадкой за счет правильного выбора профиля инструмента и условий деформи- рования проводника. а) 5 7 4 б) 2 3 I 2 Рис. 10.9. Разновидности термокомпрессии в зависимости от способа нагрева: а — нагрев только рабочего столика; б — нагрев рабочего инструмента; в — одновремен- ный нагрев рабочего столика и инструмента; / — рабочий инструмент (пуансон); 2 — присоединяемый проводник; 3 — подложка или кристалл полупроводникового прибора; 4— рабочий столик; 5 — спираль для нагрева Рис. 10.10. Основные виды термокомпрессионных соединений: а — соединение в виде плоской сварной точки (термокомпрессия клином); б — соедине- ние всех (термокомпрессия капилляром с образованием шарика); в — соединение с реб- ром жесткости (термокомпрессня инструментом с канавкой); г — соединение типа <рыбий глаз» (термокомпрессня инструментом с выступом): 1 — инструмент; 2 —проволока; 3 — подложка 431
Основные параметры термокомпрессионной сварки с использованием стати, ческого нагрева: усилие сжатия (давление Р), температура нагрева соединения или инструмента Т, длительность выдержки под давлением t (табл. 10.11). Зна- чение давления выбирается в зависнмостн от механических свойств присоединяе- мого проводника н прибора. Температура нагрева не должна превышать темпе ратуру образования эвтектики соединяемых материалов. Длительность выдержки устанавливается в зависимости от сочетания свариваемых материалов и опреде- ляется экспериментально путем оценки прочности соединений. Таблица 10.11. Параметры термокомпрессионной сварки различных сочетаний материалов Материал полупроводника или тонкой пленки Значение параметра режима Т, К Р.МПа t, с Проводник — золото Кремний 623...653 0,6... 1 2... 10 Германий 573...623 0,6... 1 1...5 Алюминий, напыленный на SiO2 523...643 0,6...! 0,05...2 Золото, напыленное на SiO2 523...643 0,6... 1 0,05...2 Проводник — алюминий Кремний 673...723 0,4...0,7 До 30 Германий 573...673 0,4...0,7 До 30 Алюминий, напыленный 573...673 0,4...0,7 0,2... 1,0 на SiO2 Золото, напыленное на SiO2 523...643 0,4...0,7 0,2... 1,0 Примечание. Приведенные значения являются ориентировочными. Для конкретных условий их следует уточнять на технологических образцах с контролем прочности соеди- нений. Качество соединений определяется правильным выбором геометрии и раз- меров соединения, а также материала инструмента. Прн выполнении нахлесточ- ных соединений их размеры должны отвечать следующим требованиям: длина деформированного участка проволоки должна быть не менее двух ее диаметров; у соединений допустимо образование «хвостов» длиной, не превышающей I...1.5 диаметра проволоки; для соединений, выполняемых краем капиллярного нако- нечника, длина сварной точки также должна быть равна 1,5...2 диаметрам про- волоки. Минимальный размер контактной площадки на приборе должен быть больше диаметра сварной точки на величину точности совмещения инструмента и проволоки с контактной площадкой, если не допускается деформирование про- волоки на слое окнсла кремния. Значение деформации проводника может меняться в пределах 40...80% (по ширине сварной точки) в зависимости от условий деформации и формы торца рабочего инструмента. Материал и качество обработки рабочего инструмента должны обеспечивать низкую склонность к схватыванию со свариваемыми материалами. Наименьшую склонность к схватыванию прн сварке золотой проволоки имеет инструмент, из- готовленный из твердых сплавов (карбиды вольфрама и титана) и керамики (окись бериллия, алюмокерамнка). При сварке алюминиевой проволоки наимень- шим схватыванием обладают окись бериллия, а также твердые сплавы и стали с поверхностным легированием бором. 432
Таблица 10.12. Характеристики установок для термокомпрессии I Значение характеристики установки Погрешность поддержания тем- пературы инструмента, К 28 Заказ № 1270 433
Рис. 10.11. Схема сварки давлением с кос- венным импульсным нагревом V-образным инструментом: / — рабочий столик; 2 — керамическая подложка или полупроводниковый кристалл; 3 — провод, ник; 4 — V-обрааный инструмент (пуансон)- 5 — головка для крепления инструмента н col здания усилия сжатия; 6 — источник питания; 7 — реле времени Установки термокомпресснонной сварки состоят из столика с подогревом, механизма для создания давления; рабочего инструмента; механизма подачи й обрезки проволоки; микроманипулятора, системы наблюдения, блоков питания и управления. Температура нагрева столика регулируется от 373 до 773 К. В зо- ну сварки подается инертный газ (азот или аргон). Характеристики установок для термокомпрессии представлены в табл. 10.12. Для тонкопленочных узлов применяют термокомпрессионные установки с со- вместным нагревом столика и инструмента серин ЭМ-421. Для подачи проволоки к месту сварки установки термокомпрессионной сварки комплектуются механиз- мом подачн проволоки. Установки ЭМ-422 и ЭМ-422А обеспечивают сварку вна- хлестку с переменной деформацией соединения за счет наклона рабочего торца инструмента. В установке ЭМ-439А присоединение проволочного вывода к плен- ке выполняется оплавленным шариком встык. Сварка давлением с косвенным импульсным нагревом (СКИН). Является разновидностью способа сварки давлением с подогревом. В этом способе инст- румент (пуансон) импульсно нагревается проходящим по нему током. Кратковре- менность нагрева металлического проводника в месте контакта обеспечивает на- грев до более высоких температур, чем при термокомпрессни. Это позволяет приваривать проводники из относительно малопластичных металлов к тонким пленкам иа керамических подложках (рис. 10.11). При сварке V-образным инструментом под необходимым давлением приво- дятся в соприкосновение инструмент, металлический проводник и подложка нли полупроводниковый кристалл ИС. Затем через инструмент пропускается им- пульс тока определенной длительности (от 0,01 до нескольких секунд). Торцевая часть инструмента нагревается до определенной температуры и за счет теплопе- редачи осуществляется локальный нагрев проводника и подложки. Когда про- водник достигает температуры, прн которой сопротивление деформации значи- тельно уменьшается, под действием приложенного усилия сжатия произойдет осадка проводника и образуется соединение. Максимальную температуру в любой точке подложки, при сварке можно с достаточной степенью точности оценить по формуле Q српл(2зтГ2/3)3/2ехР (_ гЧ__2_\ \ а 3 )' где г — расстояние от любой точки подложки до точечного источника тепла; с — теплоемкость подложки; а=Х/српл — коэффициент температуропроводности; Q= =0,24Wh) — выделенная теплота при сварочном импульсе; U, I, /, т)—напря- жение, ток, длительность, КПД сварочного импульса; й = о. [с_|]/срШ|6— коэффи- циент, учитывающий теплоотдачу; а — коэффициент полной теплоотдачи; 6 — толщина подложки. Методом СКИН соединяют золотые, алюминиевые и медные проводники диа- метром 20...100 мкм с разнообразными пленками, напыленными на диэлектриче- ские или полупроводниковые подложки (табл. 10.13, 10.14). Для снижения напряжений в зоне соединения при сварке материалов, чув- ствительных к термическому удару, сварку V-образным инструментом выполняют при общем сопутствующем подогреве свариваемых деталей. При СКИН наблюдается рост прочности соединений начиная с деформации 40%. Быстрый рост прочности наблюдается в том случае, когда в процессе об- разования соединения интенсифицируется пластическая деформация. Возможные 434
Таблица 10.13. Оптимальные режимы СКИН для некоторых сочетаний свариваемых материалов — — Сочетание материалов Значение параметров процессов Опти- маль- ная де- форма- ция, % _ Проводник (диаметр, мкм) Пленка на ситалле Гк, К Р, МПа *и, с Ли (24...80) Al (30...100) Си (30...80) Au, А1, Си, Ni 573...823 0,8...1,4 0,1...0,5 50...60 — 623...823 0.3...0.8 0.1...0.5 60...70 Au, А1, Аи, Си, Ni 673...923 1.5...2 0,1...1,0 55...65 кинетические кривые осадки, температуры и давления при статическом и дина- мическом нагружениях в процессе СКИН приведены на рис. 10.12. Динамическое нагружение можно создать электромагнитным механизмом сжатия. Температура нагрева инструмента (зоны сварного контакта) является ос- новным параметром при СКИН. Нагрев инструмента и поддержание постоянной температуры в зоне соединения при разных условиях теплоотдачи обеспечива- ются применением обратной связи по температуре нагрева инструмента или зо- ны соединения (рис. 10.13). Малые погрешность поддержания температуры нагрева (±2%) и инерцион- ность обеспечиваются при нагреве инструмента импульсным током с частотой следования импульсов 0,5...1,5 кГц. Размер инструмента задают таким, чтобы до необходимой температуры нагревалась только рабочая часть, которая контак- тирует со свариваемыми элементами. Контактная сварка. При точечной контактной сварке соединение элементов происходит на участках, ограниченных площадью торцов электродов, подводящих электрический ток и передающих усилие сжатия. При односторонней контактной сварке (рис. 10.14, а) один электрод прижи- мает проволоку или ленту к контактной площадке, а второй электрод устанав- ливается вблизи со свариваемой верхней деталью иа контактную площадку. Рис. 10.12. Характер изменения температуры сварного контакта Т, давления Рсв и осадки проводника h при статическом (а) и динамическом (б) нагру- жениях: — время до включения импульса тока после опускания инструмента на проводник; <Ох — время от момента прекращения импульса нагрева до подъема инструмента (дли- тельность охлаждения) Рис. 10.13. Термические циклы на- грева и охлаждения инструмента без автоподстройки (штриховая линия) и с автоподстройкой (сплошная ли- ния) по температуре, измеряемой термопарой (Тст— температура ста- билизации) 28’ 435
Таблица 1014. Характеристики установок Для Наименование характеристики Значение характери Контакт-ЗА СКИН 1 МКС-02 Производительность (чи- 160...250 250 .—.—_____ 500 ело операций в час) Диаметр проводников, 20... 100 30... 100 20...200 МКМ Длительность' импульса, с 0,02...2,0 0,05...3,0 0,005.. 1,5 Усилие на инструмент, Н 0,3... 1.5 0,3.5 0.3...5 Режим работы Ручной Ручной Ручной Этот способ применяется для сварки весьма тонких проводников (круглых и плоских) с относительно толстым материалом и для сварки проводников с элект- роосажденными пленками толщиной более 20 мкм. Для сварки навесных элементов с круглыми и плоскими выводами к тонким пленкам на хрупких подложках и к печатному монтажу применяется контактная сварка сдвоенным электродом (рис. 10.14,6) и сварка строенным электродом трехфазным током (рис. 10.14, в). Прн односторонней сварке сдвоенным илн строенным электродом электроды устанавливают на верхнюю привариваемую деталь (проволоку, ленту) и прижимают к нижней детали. Прн пропускании электрического тока происходит в основном разогрев верхней детали, а сварка может произойти как под электродами, так и в зазоре. В зависимости от раз- меров свариваемых проводников и требований, предъявляемых к соединениям, зазор между электродами может составлять 10 мкм...1 мм. Таким способом при- варивают проводники диаметром 20...250 мкм к разнообразным тонким пленкам. При нагреве трехфазным током распределение тока по сечению происходит более равномерно, чем при однофазном. Это обеспечивает нагрев проводника до температуры сварки при меньшей удельной мощности импульса, что уменьшает резкий перегрев материала проводника в межэлектродных зазорах, т. е. процесс сварки становится более устойчивым, чем процесс сварки сдвоенным элект- родом. При односторонней контактной сварке в воспроизводимости процесса важную роль играют контактное сопротивление между электродами н проводником Rk Рис. 10.14. Основные способы односторонней контактной сварки микросхем: а — односторонняя контактная сварка: 1 — электрод для сжатия свариваемых деталей и подвода тока к проволоке; 2 — элек- трод для подвода тока к контактной площадке платы (подложки); 3 — контактная площадка или шина печатной платы; 4 — диэлектрическое основание; 5 — привариваемая проволока или лента; б — контактная сварка сдвоенным электродом (с параллельным зазором): / — сдвоенный электрод; 2 — привариваемый проводник; 3 — тонкая металлическая плен- ка; 4— диэлектрическая подложка: е — односторонняя контактная сварка строенным электродом трехфазным током; СТ — сва- рочный трансформатор 436
сварки косвенным импульсным нагревом (СКИН) стики установки УСТ-01 ЭМ-408А ЭМ-425А ЭМ-440 ЭМ-441 МС-ЗР2-2 900 700 800 2000 1600 600 30. .50 20.. .60 20...60 30...60 30...60 40...150 0,001... 1,01 0,1.2 Полуавто- матический 0.01...1.0 0,2...2.5 Ручной 0,02..0,8 0,2...0,5 Полуавто- матический 0,05...0,8 0,1...1,2 Автомати- ческий 0,05...0,08 0,1...1,2 Полуавто- матический 0,01...1,0 1...40 Ручной и сопротивление проводника в зазоре между электродами /?л, от которых зави- сит температура в зоне контакта Тк н в зазоре Т3. Температура в контакте при- вариваемого проводника с пленкой Тсв достигается в основном за счет теплопе- редачи от этих источников тепла. Процесс сварки необходимо осуществлять та- ким образом, чтобы разница между температурами Гк, Т3 и Тсв была мини- мальной. Результаты свариваемости материалов при определенных сочетаниях мате- риалов и типоразмеров элементов приведены в табл. 10.15. Таблица 10.15. Свариваемость материалов и типоразмеры элементов микросхем при односторонней контактной сварке Материал контактной площадки и подложки Материал проводника А а о о ч о со Медь без покрытия Медь серебряная или луженая Никель Ковар золоче- 1 ный о < 0,15 =s о о VV 33 «О ^-8 о о Ад d < 0,15; 8 < 0,12 см Ад а <0,1 6 < 0.1 Ад Медь, стеклотекстолит (б = 0,01...0,05) + — ++ 4- — — + Медь луженая, стек- лотекстолит +4- + 4- 4-4- 4-4- 4- + 4-4- Медь серебряная, стеклотекстолит ++ +4- + 4-4- 4- + + + Никель золоченый, стеклотекстолит (б = =0,05. .0,1) ++ ++ 4- 4-4- + + 4- + Серебро вожженое (ситалл) (6=0,05... ...0,15) ++ + — + 4- — — — + Золото, ситалл (6= = 0,001...0,02) 4- 4" 4- — + — — 4- Обозначения: + + хорошая свариваемость, + удовлетворительная. - неудовлетво- рительная; о — толщина контактной инка, мм. площадки или проводника, мм а — диаметр провод- Ультразвуковая (УЗ) сварка. Это соединение металлов в твердом состоя- нии за счет возбуждения в свариваемых деталях упругих колебаний УЗ-частоты при одновременном создании определенного давления. 437
При УЗ-сварке необходимые условия для образования соединения созда- ются в результате механических колебаний УЗ-преобразователя. Энергия вибра- цин создает сложные напряжения растяжения, сжатия и среза. При превышении предела упругости соединяемых материалов происходит пластическая деформа- ция в зоне их соприкосновения. В результате пластической деформации и дис- пергирующего действия УЗ удаляются адсорбированные пленки жидкости, га- зы, органические пленки и поверхностные окнслы, в результате чего образуется сварное соединение. В процессе деформирования происходит увеличение площади непосредствен- ного контакта, что обеспечивает получение прочного соединения. Процесс УЗ-микросваркн круглых проводников к плоским поверхностям со- стоит из нескольких последовательно протекающих стадий (рис. 10.15): I. Внедрение сварочного инструмента в проводник, разрушение окисных и адсорбированных пленок, образование физического контакта и развитие очагов схватывания на первоначальной площади контакта. Эта стадия характеризуется интенсивным скольжением проводника по по- верхности нижней детали, что приводит к высокому темпу роста темпе- ратуры Т. Стадия характеризуется быстрым увеличением скорости деформации Уд, незначительным ростом общей SK и фактической 5ф площадей контакта, не- большим упрочнением соединения а и изменением микротвердости в зоне кон- такта Н„. р- II. Интенсивное пластическое деформирование проводника, рост площади фактического контакта и прочности соединения, зарождение центров рекристалли- зации на плоскости раздела. Стадия характеризуется замедлением темпа роста температуры, примерно постоянной скоростью деформации и снижением мнкро- твердости. III. Развитие площади зоны взаимодействия при незначительном росте общей (контурной) площади контакта за счет «залечивания» зон «не- провара». Прочность сварного соединения дости- гает максимального значения. Стадия характери- зуется некоторым снижением температуры, раз- витием рекристаллизованных и релаксационных процессов, снижением скорости деформации и не- значительно возрастающей микротвердостью. При правильно подобранной длительности про- цесса сварка должна прекращаться на этой СТадИИ /св опт» IV. Развитие усталостных процессов в зоне сварного соединения, снижение прочности соеди- нения из-за частичного разрушения зон схваты- Рис. 10.15. Характер изме- нения основных характери- стик соединения по стади- ям УЗ-сварки круглого про- водника с плоской поверх- ностью Рис. 10.16. Ультразвуковая колебательная систе- ма с боковым сварочным выступом на конден- саторе упругих колебаний: 1 — преобразователь (двигатель); 2 — волновод; 3 — концентратор продольных колебаний; 3' — сварочный выступ; 4 — свариваемые детали; 5 — ультразвуковая опора-отражатель; 6 — эпюра смещений (амплитуда) вдоль колебательной системы; 7 •— акустическая раз- вязка; Qc — сжимающее сварочное усилие 438
пания (уменьшения площади взаимодействия) и чрезмерной деформации про- водника. Стадия характеризуется проскальзыванием инструмента по поверхности проводника, практически не изменяющейся температурой, близкой к нулю ско- ростью деформации и незначительным возрастанием микротвердости. Р Нестабильность механических свойств соединяемых материалов и состояние поверхности, а также некоторая неопределенность передачи УЗ-колебаиий в зо- ну соединения приводят к изменению длительности каждой из стадий от одной сварной точки к другой. Применяют автоматическое регулирование или програм- мирование процесса сварки. Микросварочное оборудование для УЗ-сварки содержит УЗ-колебательную систему, построенную по типовой структуре: источник упругих колебаний (пре- образователь), волновод продольных (либо крутильных) колебаний, концент- ратор, инструмент, акустическая развязка для крепления. Вход колебательной системы — преобразователь, который возбуждается генератором высокой частоты. Выход колебательной системы — инструмент, который возбуждает УЗ-колебания в свариваемых деталях. Для микросварки применяют три основных вида коле- бательных систем, генерирующих УЗ-колебания: продольные, поперечные (изгиб- ные), крутильные. Колебательной системе с продольными колебаниями (рис. 10.16) присуща не- которая неустойчивость работы при создании больших усилий, при УЗ-сварке толщин материалов более 0,5 мм. При больших усилиях в концентраторе могут возникать изгибные волны и связанные с ними вертикальные колебания в зоне сварки, которые способствуют повышению деформации сварного соединения. Ра- бочая частота этих колебательных систем в пределах 18..25 мГц. Сварочные ма- шины, колебательные системы которых выполнены по этой схеме, используются для получения точечных, точечно-шовных, Кольцовых и замкнутых соединений при сварке элементов МЭУ. Ультразвуковые колебания могут быть направлены перпендикулярно свариваемым поверхностям, а также быть продольно-попереч- ными с частотами 44; 66; 88 кГц, инструмент при этом совершает изгибные колебания. Для УЗ-сварки используют также сварочные машины с крутильными коле- бательными системами. Передача инструменту момента крутильных колебаний осуществляется от двух или нескольких преобразователей с одинаковой резонанс- ной частотой (рис. 10 17). Температура нагрева при УЗ-сварке в зоне контакта обычно не превышает 0,3.,.0,5 от тем- пературы плавления соединяемых материалов. Поэтому метод используют для соединения чув- ствительных к нагреву материалов. Применяют также УЗ-сварку, совмещенную с косвенным импульсным нагревом. Свариваемые элементы подвергаются воздействию УЗ-колеба- иий и нагрева одновременно или в определенной последовательности (рис. 10 18). Рабочий инст- румент закреплен на рабочем конце волновода колебательной системы и совершает колебания по схеме торможения продольно-колеблющегося вол- новода. Сварочный инструмент небольшим усилием прижимается к свариваемым деталям. Затем че- рез инструмент пропускается импульс тока, про- изводящий нагрев инструмента, и одновременно (или с некоторым опережением либо запаздыва- Рис. 10.17. Ультразвуковая колебательная систе- ма для получения крутильных колебаний инстру- мента: I — ультразвуковые преобразователи с концентратора- ми (два либо три-четыре): 2 — волновод крутильных колебаний; 3 — ультразвуковой инструмент; 4 — свари- ваемые детали; 5 — опора-отражатель крутильных коле- баний; I...IV — номера преобразователей 439
Рис. 10.18. Схема устройства для ультразвуковой сварки с косвенным импульсным нагревом: 1 — магнитострикционный преобразователь; 2 — волновод; 3 — сварочный инструмент; 4 — источник питания для нагрева сва- рочного инструмента Рис. 10.19. Один из возможных цик- лов ультразвуковой сварки с косвен- ным импульсным нагревом: /см — время смещения импульсов; /v3 — время действия УЗ-колебаний; /н — время нагрева Таблица 10.16. Свариваемость некоторых материалов ультразвуковой микросваркой Материал пленок и подложек Материал микропроводов Ап Al Си Золото с подслоем нихрома на си- +++ —|—|- + талле Алюминий на стекле ++ —|——-| - — — Алюминий на кремнии или на — окиси кремния Медь с подслоем нихрома на си- ++ —р + талле Тантал на ситалле + ++ —— Золото на коваре ++ ++ ++ Обозначения: Свариваются очень хорошо (+ + + ), хорошо (++), удовлетворитель- но (+). плохо (—). Таблица 10.17. Характеристика установок для Наименование характеристики Значение характе Контакт-4А УЗП-ОЗ МС-41П2-1 Производительность, ч-1 200 .350 1700 8Q0 Диаметр выводов, мкм 20...100 20...50 — Рабочая частота, кГц 60 75 66 Длительность импульса УЗ, с 0,05...1,5 — — Усилие на инструмент, Н 0,2... 1,8 — 0,2...2,5 Температура нагрева столика, К 273...723 523 523 440
пнем) включаются УЗ-колебания. Энергия и длительность каждого импульса могут регулироваться раздельно в широких пределах, что позволяет сваривать материалы с различными физико-химическими свойствами. Включение УЗ-колебаний должно осуществляться или до импульса нагрева (при проводнике из пластичного материала), или в начале нагрева (рис. 10.19). Основные параметры процесса УЗ-микросварки: амплитуда колебаний рабо- чего торца инструмента, которая зависит от электрической мощности преобра- зователя и конструктивного исполнения колебательной системы; усилие сжатия свариваемых элементов; длительность включения УЗ-колебаний При комбинированных методах сварки регулируемые параметры: темпера- тура нагрева инструмента или изделия; время относительного смещения УЗ и нагрева. Процесс УЗ-микросварки характеризуется малыми амплитудами смещения и относительно большими давлениями. Мощность, выделяемая в зоне контакта при УЗ-сварке: где <о = 2л/— круговая частота (f— циклическая частота); А;— амплитуда сме- щения торца сварочного инструмента, RH=f,pN/n2fXl, где N— усилие сжатия, /?„ — приведенное активное механическое сопротивление нагрузки, /Тр— коэффи- циент трения. Обычно УЗ-микросварка проводится на частоте 66 кГц. Оценка свариваемо- сти некоторых сочетаний материалов УЗ-микросваркой приведена в табл. 10.16. Характеристика установок для УЗ-микросварки с косвенным подогревом дана в табл. 10.17. 10.3. Рабочий инструмент для микросварки Материал рабочего инструмента должен обладать низкой теплопроводностью (при непрерывном нагреве), высокой износостойкостью при температурах до 773К, высокой прочностью при периодическом нагреве и охлаждении и одно- временном воздействии резких изменений механических нагрузок (сварочного усилия), отсутствием схватывания со свариваемыми деталями. В качестве ма- териала рабочего инструмента применяются синтетический корунд (для кли- новидного инструмента), твердые сплавы ВК-6М, ВК-15 и керамика А12О3 (для капилляров). Геометрия рабочей части инструмента влияет на характер распределения контактных напряжений на контактируемых поверхностях, влияя тем самым на процесс развития сварного соединения, и определяет конструктивную прочность сварного соединения проводников с контактными площадками прибора. При кон- тактной сварке форма торца определяет характер нагрева проводника прохо- дящим током контактного сопротивления. При термокомпрессионной сварке соединения выполняются двумя способа- ми: внахлестку и встык (рис. 10.20). При сварке внахлестку проволочный вывод ультразвуковой сварки с косвенным подогревом ристики установки МС-41ПЗ-2 МС-41ПЗ-3 МС-41ПЗ-4 ЭМ-424А УЗСКН-1 ЭМ-420А 1000 1000.. 1200 1000...1200 800 250 600 — — — 25...60 30 100 25 60 66 66 66 66±6 44 60 — — — 0,06...3,0 0.1...1.6 0,08...1,0 0,2.2,5 0,2... 1,5 0,2...1,5 0.3...6 0,25... 10 0,3.6 523 323...523 323. ..523 293...523 — •— 441
Рис. 10.20. Конструкция сварных соединений инахлестку (а) и встык (б) накладывают на металлизированную контактную площадку (при этом ось вы- вода располагают параллельно плоскости контактной площадки). При сварке встык конец проволочного вывода (ось вывода перпендикулярна плоскости кон- тактной площадки) предварительно оплавляют, образуй шарик с диаметром, рав- ным удвоенному диаметру привариваемого проводника. Прочность сварных со- единений, выполненных встык, значительно выше прочности соединений внахлест- ку и равна при оптимальных параметрах режима процесса сварки прочности привариваемого проводника. Шарик оплавляют на конце привариваемой проволоки водородным пламенем или дуговым разрядом (рис. 10.21). Проволоку пропускают через капилляр из Стекла или карбида вольфрама, а ее конец оплавляют шарообразно при помо- щи пламени водородной горелки длиной в несколько миллиметров. Затем опу- скают капилляр на соответствующую контактную площадку кристалла. Шар под давлением деформируется н принимает форму шляпки гвоздя. При этом нижняя поверхность шара прн нагреве подложки образует сварное соединение с материалом контактной площадки. После сварки капилляр снимается, дви- жется вдоль золотой проволоки и устанавливается на вывод корпуса. После Рис. 10.21. Разновидности термокомпрессионной сварки: а — шариком; б — клином; в — сшиванием; г—«птичьим клювом»; / — электродная проволока; 2— зажимное устройство; 3— капилляр; 4 — водородная го- релка; 5 — шарик; 6 — электродный вывод; 7 — кристалл; 8— контактная площадка; 5 — корпус (подложка); 10 — соединение в плане; 11 — клин; 12 — ножницы; 13 — «пти- чий клюв» 442
Рис. 10.22. Конструкции инструмента для термокомпрессионной сварки: а — игла-пуансон; б — капилляр с центральным отверстием; в — разрезной капилляр сптичий клюв»; г—капилляр с боковым отверстием для подачи проволоки подъема капилляра проволока снова оплавляется пламенем, т. е. подготавлива- ется для следующей операции контактирования. Для плавления золотой проволоки используется водородное пламя. Дуговой разряд в среде аргона обеспечивает образование оплавленного шарика на зо- лотой и на алюминиевой проволоке. Относительная деформации шарика при об- разовании качественных соединений составляет 50...60%. Минимальный размер контактной площадки, к которой может быть выпол- нено стыковое соединение, должен быть больше диаметра деформированного шарика на величину точности его совмещения с контактной площадкой. Конфигурация нахлесточного соединения зависит от формы торца рабочего инструмента. Размер торца рабочего инструмента должен быть не менее двух диаметров привариваемой проволоки dnp. При сварке краем капилляра внешний диаметр капилляра должен быть не менее (4,5...5,5) dnP, ширина кольца торца капилляра (1,5...2) dnp н диаметр отверстия капилляра 1,4...1,6 dnp. Используются следующие типы рабочего инструмента: игла-пуансон, в ко- тором проволока подается под инструмент сбоку через специальную дюзу, ка- пилляр с центральным отверстием, разрезной капилляр «птичий клюв», капил- ляр с боковым отверстием для подачи проволоки (рис. 10 22). Торец рабочего инструмента может быть плоским, с поперечной канавкой и выступом. При сварке с помощью иглы-пуансона подача проволоки на контактную площадку, совмещение торца иглы с проволокой и контактной площадкой производятся раздельно. При сварке с помощью капилляров проволока совмещена с рабочим инструментом. Наиболее широко применяются капилляры с центральным от- верстием н с боковой подачей. На торец капилляра обычно наносят формирую- щую канавку, которая ограничивает пластическое течение материала проволоки и принудительно увеличивает скорость пластического течения в прикоитактной зоне, благодаря чему получается прочное соединение при относительно неболь- ших нагрузках на инструмент. Чтобы исключить замыкание на край кристалла и компенсировать механические напряжения, возникающие при перепадах тем- ператур, вблизи мест приварки соединительный проводник должен иметь изгиб (петли). Конструкция инструмента должна учитывать условия его нагрева и охлаж- дения и обеспечивать максимальное выделение энергии в рабочей части. Соот- ношение между полной энергией IV7.., выделяющейся в инструменте (электроде), н энергией Wp, непосредственно выделяющейся в рабочей части, выражается че- рез КПД инструмента (т)и). Прн правильно сконструированном инструменте т]и составляет не менее 16...25%. Энергия, выделяющаяся в рабочей части инст- румента, при нагреве до заданной температуры в свободном состоянии без со- прикосновения со свариваемыми деталями должна быть примерно в 15 раз больше энергии, отводимой в свариваемые детали. При этом температура на- грева инструмента при сварке снижается примерно на 6% по сравнению £ оп- тимальной, что допустимо при импульсной сварке давлением. Другим важным параметром инструмента является длительность охлажде- ния рабочей части до начальной температуры. Ее можно найтн через постоян- ную времени инструмента to, которая определяет минимальный интервал между 443
Рис. 10.23. Варианты крепления сварочных инструментов отдельными сварками, а значит, и предельную производительность установки. Инструмент в виде круглого стержня из вольфрама, заточенный на конус с диаметром торца 200 мкм и расстоянием от паза до торца 400 мкм, позво- ляет проводить сварку с периодичностью 1 с. Инструмент в виде V-образной пластинки из молибдена с дополнительными теплоотводами может нагреваться с периодичностью до 0,5 с. При УЗ-сварке лучшим способом крепления инструмента к волноводной системе является пайка или сварка (рис. 10.23, а), с помощью конуса (рис. 10.23, б) илн резьбовое крепление с боковым илн торцевым прижатием (рис. 10.23,в, г). Распространенной конструкцией УЗ-сварочного инструмента (сварочной иг- лы) является стержень с конической частью. Коническая часть иглы, с помо- щью которой осуществляется контактирование с проволокой н передача давле- ния на свариваемые элементы, имеет заданные радиусы закругления (рис. 10.24,а). Размеры рабочего торца выбираются в зависимости от диаметра привариваемого проводника (табл. 10.18) для уменьшения влияния неточности размещения проводника под инструментом (во избежание выскальзывания про- водника). Диаметр торца d (или размер а) обычно составляет 3—4 диаметра привариваемого проводника d„v. Рис. 10.24. Форма рабо- чей части инструмента для ультразвуковой свар- ки проволочных выводов 444
Таблица 10.18. Оптимальные размеры сварочного инструмента мкм —- Диаметр приваривав- мой проволоки rfnp, мкм а ь R С Г 25 100±10 60±Ю 10 40 160+10 100+10 15 60 210±10 170±15 20 (0,25...0,3)dup (0,5...0,6)dnp 100 300+10 225±15 30 Длина деформируемого при сварке участка проводника, определяемая раз- мером Ь, выбирается равной (2...3) dnp. Увеличение длины b до dnp способст- вует повышению прочности сварного соединения. Для ограничения деформации проводника и повышения эффективности передачи энергии в зону сварки в центре рабочей части вдоль привариваемой проволоки создают небольшой паз (рис. 10.24,6) Для получения качественных соединений при неплоских кон- тактных площадках или расположенных под углом к торцу инструмента исполь- зуют конструкцию рабочей части инструмента (рис. 10.24, е) со слегка вогнутой поверхностью торца. Эффективная передача УЗ-колебаний обеспечивается хорошим сцеплением с привариваемым проводником. Для этого на рабочей поверхности инструмента делают поперечную канавку (рис. 10.24,г). Во время деформирования провод- ника материал затекает в эту канавку, обеспечивая хорошее сцепление с инст- рументом. При создании сравнительно толстых проволочных выводов больших раз- меров контактных площадок применяют инструменты с поперечной и продольной канавками (рис. 10.24, <?). Эффективно и качественно происходит процесс УЗ- сварки при применении инструмента с крестообразным расположением канавок (рис. 10.24, г), особенно при сварке плоских выводов. Поверхность инструмента должна быть грубее поверхности, к которой при- соединяется проводник, т. е. быть рельефной. Микрорельеф (микронасечки) можно получить электроэрозионным способом, шлифованием поверхности, при- чем если шлифование проводится в направлении, перпендикулярном направле- нию колебаний инструмента. Закругленная часть инструмента обязательно долж- на быть полированной. Наибольшее распространение при УЗ-микросварке круг- лых тонких проводников получил инструмент с боковым капиллярным отверсти- ем (рис. 10.25, табл. 10.19) для подачи привариваемой проволоки под рабочую часть инструмента. Обычно диаметр отверстия составляет 1,5...2 диаметра прово- локи. Расположение отверстия должно быть таким, чтобы проволока, с одной стороны, свободно проскальзывала под рабочей частью, когда ее подают вперед, а с другой — не изгибалась на острой кромке выходного отверстия при пере- мещении инструмента назад при создании проволочной перемычки. Таблица 10.19. Оптимальные размеры инструмента для микросварки, мкм Диаметр приваривае- мой проволоки rfnp, мкм а ь с 4> С R Г L, мм 25 85 100 5 50 12 25 5 15+0,2 40 110 160 8 70 15 40 12 21 ±0,2 Правильный выбор профиля рабочей части во многом определяет надеж- ность обрыва проволоки после создания перемычки. Большой радиус закругле- 445
Рис. 1025. Инструмент для ультразвуковой Ми кросварки с боковым от* верстием для подачн проволоки (вид А — ВТо рой вариант) ния режущей кромки (г>15 мкм) затрудняет отрыв проволоки, увеличивает длину деформированного участка проводника. Острая кромка (г^15 мкм) создает концентрацию напряжения в месте перехода от деформированного участка к недеформированному. Этого противоречия избегают, применяя соответствующий наклон инструмента на 2...4° вперед при сварке первой точки, уменьшающий внедрение инструмента в районе режущей кромки. Прн сварке второго конца перемычки инструмент располагают перпендикулярно или наклоняют на 1...2° в обратную сторону (рис. 10.26), что обеспечивает прочное соединение н воз- можность легкого и четкого обрыва проволоки Наиболее важно это прн сварке алюминиевой проволоки, чувствительной к концентраторам напряжений. Таблица 10.20. Оптимальные размеры инструмента для микросваркн, мкм Диаметр приваривае- мой проволо- ки £/Пр, мкм do dt da ь R L, мм 20 28±3 88 118+5 20 20 7±0.2 25 38 + 4 113 150+5 25 25 ИЛИ 30 48+5 138 182+5 30 30 12±0,8 40 60+5 180 238 + 7 40 40 50 70+5 220 293±7 50 50 446
1 Рис. 10.27. Инструмент для ультра- Рис. 10.28 Инструмент для группо- звуковой микросварки с центральным вой приварки выводов методом «па- капиллярным отверстием учковой» технологии Для сварки встык с образованием шарика и внахлестку применяют инст- рументы с центральным капиллярным отверстием (рис. 10.27, табл. 10.20). Диа- метр капиллярного отверстия do имеет допуск ±3,5 мкм, что обеспечивает сво- бодное прохождение привариваемой проволоки и удержание шарика на контак- тирующей части инструмента. Контактирующая площадка Ь относительно оси отверстия располагается концентрично с отклонением не более ±5 мкм. Внутренний радиус в инструменте обрабатывается по 10-му классу шеро- ховатости, так как неровности кромки и другие дефекты приводят к нарушению целостности проволоки. Сопряжение конической заходной части отверстия с ци- линдрическим рабочим отверстием должно быть также плавным. При групповой УЗ-сварке контактной рамки и кристалла ИС с «паучковы- ми» выводами используют специальный инструмент (рис. 10.28). Материал инст- румента для УЗ-микросварки должен обладать высокой износостойкостью, иметь минимальные акустические потери, малую склонность к адгезии с приваривае- мым материалом, высокую прочность, хорошую обрабатываемость. Сварочный инструмент для УЗ-сварки с импульсным косвенным нагревом должен удовлетворять еще дополнительным требованиям, предъявляемым им- пульсным нагревом: обеспечивать нагрев зоны сварки до определенной темпе- ратуры без значительного перегрева инструмента в процессе пропускания им- пульса тока, обладать высокой износостойкостью при совместном действии УЗ- колебаний и нагрева. В отечественной промышленности используют инструменты из твердого спла- ва марок ВК6М и ВКЗМ, содержащие соответственно 94 и 97% карбида вольф- рама. Связующим элементом в этих сплавах является кобальт, не вступающий в химическое соединение с карбидами металлов и хорошо их смачивающий. Твердые сплавы ВК характеризуются высокой износостойкостью, инструменты из твердого сплава выдерживают свыше 30 000 сварок (для алюминиевых про- водников) . Износ инструмента из твердого сплава ВК, содержащего карбид вольфрама и кобальт, происходит в результате схватывания между свариваемым материа- лом и кобальтом. 447
Прн сварке золотой проволоки кобальт удаляется из инструмента велел ствие его большего коэффициента диффузии в золото. Оставшийся без связи хрупкий карбид вольфрама под действием циклических нагрузок разрушается Высокую износостойкость имеет твердый сплав группы ТК (на основе кап. бида титана): TiC — 70%; Ni—15%; Mo — 15%. Низкое металлургическоесрод' ство с золотом обеспечивает стойкость инструмента при сварке золотых провод, ников. Карбид титана характеризуется очень низкой окисляемостью (при этом уменьшается износ), имеет низкий коэффициент акустических потерь. Однако сплавы группы ТК плохо поддаются механической обработке и хрупки. Для повышения стойкости инструмента проводят химико-термическую об- работку, предусматривающую термодиффузионное насыщение поверхности од- ним или несколькими элементами. Стойкость инструментов из твердого сплава ВК6М (мелкозернистого), рабочая поверхность которых насыщена марганцем, увеличивается в 2 раза. При нанесении диффузионного покрытия из титана стой- кость инструментов увеличивается в 3—4 раза н составляет более 200 000 свар- ных точек. Оптимальная толщина покрытия не превышает 10 мкм. Для УЗ-микросварки применяют составной инструмент, включающий нако- нечник с рабочей площадкой, выступ с боковым отверстием и хвостик. Для материала концевой рабочей части инструмента используется металлокерамиче- ский сплав, содержащий большой процент оемня, или сплавы, в которых основ- ной составной частью является родий, иридий, палладий, тантал, хром, молиб- ден. Применяют инструменты, концевая часть которых выполнена из сапфира или алмаза. Выступ с отверстиями делают из нержавеющей стали, обеспечиваю- щей необходимое скольжение проволоки по отверстию. Остальная часть инст- румента («хвостик») изготовляется из карбида вольфрама, обеспечивающего эффективную передачу УЗ-энергии. 10.4. Технология микросварки Для обеспечения необходимого качества поверхности, отвечающего требова- ниям процессов микросварки, элементы, подлежащие сварке, должны пройти следующие операции: обезжиривание в спирте, если поверхность кристалла ме- таллизирована золотом или алюминием; обезжиривание в спирте, отжиг, обез- жиривание в спирте, если проволока золотая; кремний подвергают травлению в концентрированной плавиковой кислоте н промывают в дистиллированной во- де; германий проходит операцию травления в концентрированной плавиковой кислоте, промывку в дистиллированной воде, травление в растворе щавелевой кислоты (100 г дистиллированной воды, 3...4 г щавелевой кислоты), промывку в дистиллированной воде. Подготовка алюминиевого проводника перед сваркой может выполняться по нескольким вариантам в зависимости от условий поставки и длительности хра- нения. 1. Промывка в ацетоне при 298 К в течение 5 мин (до трех раз) и сушка при температуре 343 К в течение 20 мин. 2. Промывка в ацетоне при 298 К в течение 2...3 мин; травление в смеси ортофосфорной кислоты с деионизованной водой (в соотношении 1:1) при 298 К в течение 0,5...1 мин; промывка в деионизованной воде (до 10 мин); сушка в азоте при 393 К в течение 10 мин. 3. Промывка в ацетоне при 298 К, промывка в деионизованной воде (до 10 мин); травление в 10%-ном растворе NaOH при 298 К в течение 1 мин; про- мывка в деионизованной воде (до 10 мин); промывка в ацетоне при 298 К (до 3 мин); сушка в азоте при 393 К (до 10 мин). При непосредственном присоединении алюминия или золота к кремнию воз- никают ограничения по температуре, давлению и степени окисленности поверхно- сти полупроводникового материала. Сварка давлением тонких золотых проводни- ков (круглых проволочек) с кристаллами кремния может осуществляться при на- греве до температур, не превышающих 623 К, так как при 648 К золота с кремнием образуется эвтектика. Золото с кремнием, имеющим толстую окис- ную пленку, очень трудно соединить. Их соединение при температурах инже температуры образования эвтектики происходит, если толщина окисной пленки 448
кремнии СЮ мм. Даже при контактно-реакционной пайке золота с кремнием на температурах выше 648 К требуются достаточно интенсивные относительные перемещения (вибрация) для получения качественных соединений. П При сварке алюминия с кремнием на качество соединения влияет структура проводимости кремния. Так, при сварке на одинаковых режимах алюминиевых проводников с кристаллами кремния p-типа сварные соединения обладают мень- шей прочностью, чем соединения с кристаллами кремния п-типа. Прочность со- единения (усилие отрыва) в значительной степени зависит от ориентации кри- сталлографических осей относительно направления касательных напряжений сварного контакта. При сварке на поверхности (111) монокристалла кремния р-типа наибольшая прочность соединения получена при ориентировке кристалла, когда направление (110) совпадает с направлением касательных напряжений. При сварке алюминия с окисленным кремнием можно получить достаточно прочные соединения. Однако в этом случае из-за отсутствия непосредственного соединения алюминия с кремнием резко увеличивается омическое сопротивление контакта. Для присоединения проволочных выводов из золота, алюминия и меди к тон- копленочным контактным площадкам и полупроводниковым элементам применя- ется термокомпрессия. Для создания контакта с алюминиевой контактной пло- щадкой используется золотая проволока, сварка производится при 7' = 423... 623 К, следует иметь в виду, что при Т— 623 К уже может образоваться соеди- нение красноватого цвета АиА12—«пурпурная чума», резко ухудшающая меха- нические и электрические свойства контактов. Оптимальная деформация при присоединении термокомпрессией золотой проволоки к металлическим пленкам составляет 50...70%, алюминиевой 6О...75%. Такая деформация определяется из условия получения наибольшей прочности получаемого соединения (не ниже 50% прочности проволоки). В табл. 10.21 приведены оптимальные режимы термокомпрессионной сварки для некоторых соединений. Таблица 10.21. Оптимальные режимы термокомпрессионной сварки для некоторых соединений Материал подложки или пленки Материал вывода Темпера' тура на- грева, К Удельное давление, МПа Время, с Деформа- ция выво- да, % Кремний Алюминий, напылен- ный на SiO2 Золото, напыленное па SiO2 Кремний Алюминий, напылен- ный на SiO2 Золото 623 140... 150 5... 10 60 » 523...623 140...150 1...5 60 100...110 0.5...5 50 Золото 573 I00...110 0.5...3 50 Алюминий 723 70 10 60.70 » 623 60...70 3...7 60 Прочность соединений, полученных термокомпрессионной сваркой, зависит от вида соединяемых материалов и параметров сварки, значения ее колеблются в пределах 0,1...0,3 Н. При малых деформациях прочность соединения алю- миниевой проволоки с кремнием на разрыв (отрыв) меньше оптимальной вслед- ствие малой фактической площади соприкосновения материалов; в этом случае контакты разрываются на границе раздела материалов. При больших деформа- циях механическая прочность также ниже оптимальной вследствие отрыва про- волоки у контакта. Номограмма режимов термокомпрессионной сварки (рис. 10.29), построенная по принципу оптимальной деформации, позволяет определить основные парамет- ры режимов сварки, которые затем уточняются в технологическом процессе. При выборе режимов сварки в соответствии с материалом проволоки и ее диа- метром устанавливается температура сварки, не превышающая температуру об- разования эвтектики золото-кремний (643 К), золото-алюминий (798 К) и обра- 29 Заказ № 1270 419
Рис. 10.29. Номограммы режимов термокомпрессионной сварки золотой и алюминиевой проволок с алюми- ниевой пленкой на кремнии: 1, 2, 3, 4, 5 — время сварки соответствен- но 10, 5; 2,5; 1; 0,5 с; 6, 7, 8, 9, 10, 11, 12 — ли&ыетр проволоки соответственно 80; 60; 40; 20; 100; 80 и 40 мкм Рис. 10.30. Номограмма режимов сварки давлением с косвенным им- пульсным нагревом золотой и алю- миниевой проволок с алюминиевой пленкой: I, 2 —время сварки соответственно 0,1 в 1 с; 3, 4, 5, 6, 7, 8— диаметр соответ- ственно 60; 50; 40; 100; 80 и 40 мкм зования интерметаллических соединений типа AunAlm (670 К). Например, для золотой проволоки диаметром 40 мкм можно выбрать температуру процесса примерно 600 К. На ординате, соответствующей этой температуре, для выбран- ной проволоки удельное давление будет составлять около 1...10 МПа, а дли- тельность сварки 4 с. На рис. 10.30 изображена номограмма режимов сварки давлением с кос- венным импульсным нагревом. При температуре сварки, превышающей темпе- ратуру эвтектики золото-кремний, следует снижать давление на проволоку (этим объясняются изломы на линиях, соответствующих золотым проволокам). Зави- симости температуры инструмента от первичного напряжения и длительности импульса приводятся на номограмме в виде наклонных штриховых линий, каж- дая из которых соответствует определенной длительности импульса. Для выбора режимов сварки задаются максимальной температурой Тс и временем сварки 1С. По пересечению ординаты с линией, соответствующей диа- метру выбранной проволоки, определяется давление и напряжение. При кон- тактной точечной сварке расщепленным электродом основными технологически- ми параметрами являются форма и длительность сварочного импульса, кон- тактное усилие. Сварочный импульс должен обеспечить предварительный нагрев места соединения и плавный спад температуры после окончания сварки. Ре- жимы односторонней контактной сварки приведены в табл. 10.22. Таблица 10.22. Оптимальные режимы сварки расщепленным электродом Соединяемые металлы Темпера- тура, К Удельное давление, МПа Время, с Деформа- ция выво- да, % Проводник, днаметр, мкм Пленка Ап, 40 Au, Ni, Ag 673...693 40...70 0,1...0,5 50...60 Л1, 40 Au, Al 723...823 15...40 0,1...0,5 60...70 Си, 40... 100 Ni, Au, Ag 793...973 60... 100 0,2... 1,0 50...60 Основными технологическими параметрами УЗ-сварки являются амплитуда и частота колебаний инструмента (или мощность) и внешнее давление. При .450
Рис. 10.31. Виды соединений, выполняемых УЗ-сваркой шш Ж \//7/у///Л УЗ-сварке с нагревом дополнительным параметром является температура на- грева свариваемых материалов, а с импульсным нагревом—длительность теп- лового импульса и время смещения УЗ- и теплового импульса. Нагрев до 423... 473 К сокращает время сварки и способствует повышению качества образуемого соединения. При малых амплитудах и давлениях передача энергии колебаний в зону сварки неэффективна, большие амплитуды нарушают адгезию пленки и в об- ласти больших давлений происходит демпфирование колебаний. При фиксиро- ванных амплитуде и давлении прочность сварного соединения зависит от дли- тельности процесса сварки: при кратковременной сварке прочность невелика и разрушение происходит по месту сварки, при длительной сварке разрыв про- исходит по «шейке» проводника нз-за его чрезмерного расплющивания. Перечислим элементы конструкции МЭА, которые могут быть соединены УЗ-сваркой: мельчайшие детали из разнородных металлов, которые трудно или совсем не поддаются соединению другими методами сварки; тугоплавкие ме- таллы, сильно отличающиеся электро- и теплопроводностью; алюминиевые де- тали между собой; металлические детали с большой разницей по толщине; де- тали, не выдерживающие больших электрических и термических нагрузок; дета- ли с неметаллическим покрытием, которые не могут быть соединены без его удаления; детали из неметаллических материалов, покрытых тонкой металличе- ской пленкой; металлы, соединяемые другими способами сварки, но при жестких требованиях к чистоте соединений (отсутствие выплесков, прожогов, окислов). При УЗ-сварке соединяемые элементы могут контактировать плоскими по- верхностями или плоской поверхностью с цилиндрической, возможны соедине- ния встык, при которых привариваемый элемент — проволочный вывод — пода- ется через отверстие в инструменте и на его конце перед сваркой образуется оплавленный шарик, пересекающиеся проводники можно соединять параллельно или крестообразно (рис. 10.31). Длительность включения ультразвука прн микросварке определяется амп- литудой колебаний и усилием сжатия. Для достижения равнопрочности соеди- нений и проводника (при испытании на срез —QCp) время микросварки необхо- димо увеличить более чем в 20 раз (от 0,1 до 2,5 с) при уменьшении усилия сжатия Р от 2,8 до 1,0 Н. Время микросварки увеличивается и цри усилии сжатия выше 2,8 Н. На рис. 10.32 в качестве примера показано влияние основных параметров УЗ-сварки на прочность Оотр сварного соединения. Сварка проводилась на час- тоте 60 кГц инструментом с рабочим торцом шириной 90 мкм и поперечной канавкой. Прочность соединений определена на отрыв под углом 90° на весах В ЛТК-500 с ценой деления 0.01 Н. При испытании разрушение соединений происходит по проволоке вдали от зоны сварки а, в месте наибольшей деформации проволоки б, с отслаиванием проволоки от пленки вис отрывом части соединения г. Из графиков можно установить зоны оптимальных режимов А, которые показывают, что наиболее критичными параметрами являются амплитуда колебаний и контактное усилие, а наименее критичным — длительность процесса. 29* 451
Рис. 10.32. Зависимость прочности Q при испытании на отрыв и коэффи- циента вариации прочности у от параметров УЗ-сварки при соедине- нии алюминиевой проволоки диамет- ром 40 мкм с пленкой алюминия толщиной 6=1 мкм на SiO2. Режим сварки: а — мощность УЗ-колеба- ннй Л?э—75-10—2 Вт; усилие сжатия Р= -25-10-2 Н; б — 6^-75-10-3 Вт; т-0,32 с; в —Р-25-10-2 Н; т-0,32 с Технологические параметры УЗ-сварки подбираются экспериментально. Вна- чале устанавливается усилие сжатия, при котором достигается незначительное начальное вдавливание рабочей части инструмента в материал проволоки. Длительность микросваркн н амплитуда колебаний инструмента (мощность генератора) подбираются путем постепенного их увеличения (при этом добива- ются оптимального деформирования проводника) и последующего испытания по- лученного соединении на механическую прочность с оценкой характера разру- шения (добиваются разрушения соединения по проводнику). При неудачном выборе мощности (если невозможно получение достаточной прочности или если значение ее слишком критично) изменяются ранее установ- ленные значения усилия сжатия и времени микросварки. Определяя влииние этих факторов на прочность соединений, можно найти для каждого параметра оптимальные значения н пределы возможного их изменения Предпочтительнее применять меньшие усилия сжатия, при этом другие па- раметры становятся менее критичными. Желательно выбирать режим возбужде- ния преобразователя, обеспечивающий меньшую амплитуду колебаний. При очень Рис. 10.33. Влияние длительности колебаний при различной температуре подо- грева на прочность соединений алюминиевых проводников диаметром 0,1 мм с алюминиевыми пленками на ситалле (а) и золотых проводников диаметром 0,04 мм с алюминиевыми пленками на кремнии с подслоем SiO2 (б): а—/—60 кГц; Р—2 Н; 4 — 1,5 мкм; б — 1= 60 кГц; Р—0,5 Н; Л—0,5 мкм 452
малых усилиях сжатия стабильность прочности соединений ухудшается. Это вызвано тем, что усилия сжатия становятся нестабильными из-за их соизмери- мости с силами трения в механизмах сварочного устройства. При сварке с косвенным нагревом существует оптимальная температура, равная примерно 420.. 470 К, при которой в определенном интервале длитель- ности колебаний образуются наиболее прочные соединения прн их высокой ста- бильности (рис. 10.33). Повышение температуры свариваемых элементов умень- шает требуемую продолжительность воздействия ультразвука. Без нагрева мак- симальная прочность контакта (Qotp=0,38 Н) достигается при длительности УЗ- колебаний, равной 0,4...0,5 с, при нагреве до температуры 420 К максимальная прочность контакта (0,42 Н) достигается за 0.3 с, а при 490 К — за 0,25 с. Нагрев до 570 К и выше приводит к снижению прочности соединений, что связано с уменьшением эффективности передачи ультразвука в зону сварки в результате значительного увеличения текучести материала проводника. При этом разрушение соединения происходит, как правило, по контакту даже при опти- мальной деформации проводника. Подобная зависимость прочности соединений от температуры получена и при сварке золотых проводников диаметром 40 мкм к алюминиевой пленке на кремнии с подслоем SiO2 (рис. 10.33,6). 10.5. Контроль качества микросварных соединений проводник — пленка В основу оценки качества микросварных соединений положены следующие признаки: прочность (значение усилия разрушения) при испытании соединений на отрыв под различными углами к поверхности: степень деформации провод- ника в зоне соединения, электрическое сопротивление зоны сварного соединения, стойкость к термическому старению и смене температур. Прочность сварных соединений определяется при испытании на срез и отрыв (рис. 10.34). Разрушение — при испытании на срез под минимальным углом. Приложения нагрузки для алюминиевых проводников диаметром менее 0,1 мм и золотых менее 0,06 мм происходит, как правило, при всех режимах сварки. Поэтому затруднен выбор оптимальных параметров режима сварки. Испытания па отрыв под углом 90° позволяют оценивать влияние параметров режима, пол- нее характеризовать прочность сварных соединений. Однако эти испытания не позволяют оценить действительную прочность соединения, так как нельзя ото- рвать проводник сразу по всей площади контакта даже при симметричной схе- ме (рис. 10.34). Разрушение при отрыве под углом 90° происходит последовательно по узким полоскам соединения, длина которых равна ширине деформированного провод- ника, а ширина — примерно толщине деформированного проводника. Обычно при испытаниях усилие прикладывают под углом 90°. При таком испытании возможны пять видов разрушения сварных соединений (рис. 10.35): Рис. 10.34. Схемы испыта- ний на срез под различны- ми углами приложения на- грузки: 1 — подложка печатной платы или тонкопленочной схемы; 2 — контактная металлическая пло- щадка; 3 — приваренный про- водник 453
Рис 10.35. Виды разрушений сварных соединений металлического проводника с плоской поверхностью полупроводника или тонкой пленкой на подложке с отслаиванием сварной точки от поверхности пленки или полупроводника, с вырывом сварной точки вместе с частью тонкой пленки, с отрывом части свар- ной точки от поверхности, по проводнику в зоне максимальной деформации, по проводнику вдали от сварного соединения. При испытаниях иа отрыв происходит постепенное отдирание проводника от плоской поверхности. Прн этом величину усилия определяет не общая пло- щадь соединения, а в основном его ширина в направлении, перпендикулярном оси проводника. Характер разрушения зависит от многих факторов, основными из которых являются: полнота взаимодействия соединяемых материалов на контактных по- верхностях; величина пластической деформации проводника в зоне контакта; форма сварной точки, которая зависит от рабочего профиля инструмента (пуан- сона), деформирующего привариваемый проводник, адгезия пленки с подлож- кой илн подслоем, которая может изменяться при воздействии сварочного им- пульса; пластичность привариваемого проводника и уровень механических на- пряжений, возникающих в проводнике и подложке. При выборе способа создания и отработке режимов сварки обычно добива- ются такого положения, чтобы разрушение соединения происходило по 4-му или 5-му виду (рис. 10.35), и лишь иногда допускается разрушение по 1-му виду. Необходимо, чтобы прочность соединения составляла определенную часть прочности проводника на разрыв или адгезии пленки с подложкой, например не менее 80...90% от прочности проводника на разрыв. Такие испытания явля- ются очень жесткими, поэтому соединения могут показать низкие значения уси- лия отрыва, особенно при сварке проводников из малопластичных материалов. В этом случае оптимальной схемой испытаний может служить отрыв под уг- лом 45° (рис. 10.34). Фактическая прочность контакта выше тех значений усилий отрыва, кото- рые выявляются при испытании, так как площадь контакта (сварной точки) в 3—4 раза больше площади сечения проводника. При «идеальном» отрыве (т. е. одновременно всего контакта) сварное соединение, например, алюминиевого проводника диаметром 0,11 мм с напыленной пленкой алюминия могло бы вы- держать нагрузки до 1.5...2 Н. Степень деформации проводника определяет характер разрушения соеди- нения: при весьма малой деформации разрушение происходит по месту сварки с отрывом проводника от поверхности напыленной плеики, а при чрезмерной деформации разрушение соединения происходит в месте наибольшей деформации при значительном снижении усилия разрушения. При оптимальной деформации проводника (сварка на оптимальных режи- мах) разрушение может происходить как по проводнику, так и по соединению, хотя желательно, чтобы разрушение происходило в основном по проводнику. Деформацию проводника толщиной илн диаметром d можно оценить по ве- личине осадка d-/ е= ---- -100%, d где t — толщина деформированного участка проводника. Деформацию проводника круглого сечения можно определить по формуле 454
е= (1- ~} -100%, \ 4 В ' В — ширина сварной точки (деформированного проводника). Последняя форму- ла применима при е>20%, т. е. когда B>d. Важнейшей характеристикой надежности сварных соединений является ста- бильность прочности (усилия разрушения), которая оценивается коэффициентом вариации. у=(о/<?ср)-100%, где ______________ -.Л s Г п— 1 — среднеквадратическое отклонение, Qcp— среднеарифметическое значение уси- лия разрушения; Qi — усилие разрушения i-ro сварного соединения; п — число испытанных соединений. При термокомпрессионной сварке круглых проводников с металлическими пленками существует область оптимальных параметров режима (температуры и усилия сжатия), в которой обеспечивается максимальная прочность сварных соединений. Размер этой области зависит от типа свариваемых материалов и вида рабочего инструмента. При термокомпрессионной сварке клиновидным инструментом проводника диаметром 0,1 мм из золота с золотой или алюминие- вой пленкой с подслоем хрома, нанесенным на стеклянную подложку, разруше- ние происходит по проводнику в зоне деформации о достаточно большой проч- ностью. При сварке на завышенных режимах происходит чрезмерная деформация проводника, и прочность соединений значительно снижается. При сварке на за- ниженных режимах разрушение происходит по соединению с отрывом провод- ника от пленки. Прочность соединений (усилие при испытании на отрыв) и их стабильность в значительной степени зависят от состояния поверхности и качества адгезии пленки как при термокомпрессии и импульсном нагреве, так и при УЗ-сварке. Режим напыления существенно влияет на качество соединений, выполняе- мых УЗ-сваркой. При сварке на установке импульсным косвенным нагревом медных и золо- тых проводников диаметром 50 мкм с тонкими пленками наибольшая стабиль- ность качества сварных соединений обеспечивается на пленках Ag-Cu-NiCr и Ni-Cu-Ti толщиной более 0,6...0,8 мкм, напыленных при температуре подложки 1подл=473...573 К. При оптимальном режиме сварки среднее усилие отрыва со- единения равно 0,40=0,42 Н, что составляет 75% прочности медного провод- ника диаметром 0,05 мм на разрыв. Форма торца рабочего сварочного инструмента влияет на качество (проч- ность) сварных соединений круглых тонких проводников с различными подлож- ками в первую очередь при УЗ-сварке алюминиевых проводников. За критерий оценки эффективности рабочего профиля инструмента может быть принято от- ношение площади зоны декорирования или зоны соединения SCB к площади зо- ны деформации привариваемого проводника 5деф.пР: К=5Сэ/5Деф.пр<1, значе- ние К практически всегда меньше 1. Результаты испытаний сварных соединений проволоки из алюминия марки А995Д (0,5% Si) диаметром 25 мкм с алюминиевой пластиной, выполненных на установке УЗП-02 с использованием инструмента различного профиля, приведе- ны в табл. 10.23, Оптимальные размеры рабочей части инструмента (рис. 10.36) для УЗ-сварки круглых проводников выражаются следующими равенствами в зависимости от диаметра проволоки d0TB: //=3dnp; а= (5/7)dnP; tf=(7/8)dnp; a=90°; d0IB= (7/4)dnp. Влияние формы инструмента при термокомпрессионной сварке иллюстриру- ется данными табл. 10.24. 455
Таблица 10.23. Характеристики прочности микросварных соединений выполненных инструментом с различным профилем Вид профиля инструмента Критерий прочности, к Среднее усилие от- рыва, мН Среднеквад- ратическое отклонение /-Т -—-— Деформация % С треугольной канавкой 0,60 6,02 1,3676 35 Плоский с чистотой об- работки поверхности по 7-му классу 0,50 59,4 1,7293 46 То же, с чистотой обра- ботки поверхности по 9-му классу 0,41 45,5 1,58 50 С поперечной цилиндри- ческой канавкой 0,35 34,5 1,70 48 Таблица 10.24. Влияние формы инструмента на прочность термокомпрессионных соединений Материал подложки или пленки Значения прочности соединений, мН, выполненных клиновым инструментом встык с образо- ванием шарика инструментом с выступом иа торие Алюминиевая проволока (25 мкм) Алюминий на кремнии 0...1.5 Выполнить 23,0...28,5 нельзя Кремний 0...1.0 То же 19,0...27,0 Ковар золоченый — 22,0—27,0 Золотая проволока (25 мкм) Алюминий на кремнии 0,5-4,0 1,0 ...8,0 50,0-60,0 Кремний 0...2.0 — 25,0-40,0 Исследования влияния технологических параметров режима СКИН (тем- пература, давление, длительность) на прочность соединений различных соединяе- мых материалов показывают, что все зависимости имеют экстремум прочности, который определяется деформацией проводника (рис. 10.37). Разрушение соеди- нений характеризуется участками: отрывом по плоскости соединения проводника с пленкой (I); отрывом по проводнику или в зоне максимальной деформации (111) и смешанным характером отрыва (II). На участках II, III прочность соединения хорошо коррелируется с прочно- стью проводника при различной его деформации. Анализ стабильности прочно- сти на примере соединений золотого проводника с алюминиевыми пленками по- казал, что оптимальный режим при СКИН должен выбираться так, чтобы прочность соединений соответствовала примерно границе между участками II и III. При УЗ-сварке алюминиевой проволоки диаметром 30 и 40 мкм, легиро- ванной кремнием (до 0,5%) с алюминиевыми пленками толщиной 1 мкм, напы- ленными на окисленный кремний, существует некоторое минимальное критиче- ское усилие на инструменте, ниже которого не удается получить удовлетвори- тельной прочности соединений при любых изменениях других параметров свар- ки (амплитуды колебаний и длительности импульса)- 456
Рис. 10.36. Размеры рабочей части инстру- мента для ультразвуковой сварки круглых проводников с плоскими поверхностями Рис. 10.37. Зависимость усилия отрыва соединений золотой проволоки диамет- ром 50 мкм с алюминиевыми пленками на ситалле от температуры инструмента: длительность импульса—0,5 с; давление —1,2 МПа; Qnp — прочность проводника на разрыв в зависимости от степени деформации Рис. 10.38. Зависимость прочности соединения Q алюминиевой проволо- ки диаметром 40 мкм с алюминие- вой пленкой от коэффициента формы сварной точки Дф = ^Пр/бп, где 6П — минимальная высота сварной точки Рис. 10.39. Влияние температуры по- догрева при различной длительности УЗ-колебаиий на среднюю прочность соединений алюминиевых проводни- ков диаметром 0,1 мм с алюминие- выми пленками на ситалле. Режим сварки: /—60 кГц; Н, ампли- туда 1.5 мкм Соединение алюминиевого проводника диаметром 40 мкм с алюминиевыми пленками (толщиной 1,2 мкм), полученное инструментом с плоским торцом и поперечной канавкой, разрушается при значении коэффициента формы свар- ной точки Кф^4. Разрушение происходит по границе раздела проводник — плен- ка (рис. 10.38); при значении Дф=5...8 достигается наибольшая прочность, а при Дф>10 прочность резко падает и разрушение происходит по проводнику в зоне наибольшей деформации. При УЗ-сварке с подогревом для каждого интервала воздействия УЗ-ко- лебаний существует оптимальная температура подогрева, при которой обеспечи- вается максимальная прочность соединений (рис. 10.39). Длительность смещения импульсов при сварке с косвенным нагревом (УЗСКН) алюминиевых проводников с золотой пленкой существенно влияет на прочность соединений (рис. 10.40), причем основной составляющей являются 457
Рис. 10.40. Влияние длительности смещения импульсов УЗ-колебаний и кос- венного нагрева на прочность соединений алюминиевого проводника диамет- ром 90 мкм с золотой пленкой на ситалле. Режим сварки: Тд—623 К: <в=0,Б С; амплитуда 1 мкм; f~60 кГц; f в=0,Б С; Р=1,5 Н УЗ-колебания, а импульсный нагрев является вспомогательным. При одновре- менном воздействии УЗ-колебаний н косвенного нагрева прочность соединений резко падает из-за чрезмерной деформации проводника. Когда УЗ-колебания являются вспомогательными, то их необходимо инициировать в начале нагрева или с некоторым опережением (в зависимости от пластичности привариваемого проводника) и прекратить подачу колебаний до момента достижения макси- мальной температуры нагрева. Основными причинами отказов контактов системы алюминиевая пленка — золотой проволочный вывод (Ац-А1), изготовленных термокомпрессионной свар- кой, являются: недостаточная механическая прочность контактов и малая адге- зия выводов к контактной площадке, а последней к подложке; деградация кон- тактного сопротивления вследствие взаимной диффузии металлов, образования интерметаллических фаз и пустот. При этом считают, что механизм отказа соединений непосредственно свя- зан как с действующими физико-химическими и эксплуатационными факторами, так и с отклонениями от заданных технологических режимов сварки. Снижение надежности термокомпрессионных соединений объясняется обра- зованием фазы АцА12 (пурпурная чума). Изучение процесса взанмодиффузии, а также стадии и последовательности формирования интерметаллических фаз в системах с различными соотношениями золота н алюминия показало, что избыток золота препятствует формированию фазы АиА12 в области термокомпрессионных соединений при термостабилизирующей обработке. Выдержка соединений золото- алюминий, выполненных УЗ-сваркой, при температуре 573 К в течение 300 ч при- водит к снижению прочности соединений на 25% и к изменению характера их разрушения. При этом уменьшается число обрывов золотых проволочных выво- дов с 53 до 21% и возрастает число разрушений в месте сварки от 47 до 73% и по алюминиевой пленке до 6%. Преимущественное разрушение соединений в месте сварки объясняется образованием трещин по границе раздела интер- металлических фаз АщА1 и Aij5A12 (табл. 10.25), а разрушение по пленке — сни- жением ее адгезии к подложке вследствие сквозной диффузии и выхода золота на границу раздела Al — SiO2. Проведено исследование соединений, полученных термокомпрессионной свар- кой золотых выводов диаметром 30 мкм к алюминиевой пленке толщиной 1 мкм, напыленной на SiO2. Нагрузку на иглу меняли в пределах 0,5...2 Н при температуре 603...643 К. Термостабилизирующая обработка заключалась в выдержке образцов в течение 6 ч в атмосфере кислорода при 7’=570 К. Расслоение по границе раздела Ап — А1 (рис. 10.41, а) в процессе сварки происходит преимущественно при небольших нагрузках на иглу (не выше 0,6 Н). Обрыв вывода (рис. 10.41,6) наблюдается чаще всего при сильных нажимах на 458
Таблица 10.25. Физические свойства интерметаллических соединений Аи-А1 Фаза параметра Au Au. Al АпбА1а Au2AI Au Al Au Als Al Удельное электриче- ское сопротивление, мкОм-см 2,3 37,5 25,5 13,1 12,4 7,9 3,2 Температурный ко- эффициент линейного расширения а-106, К~* 1,42 1,2 1.4 1.3 1,2 0,94 2,3 Твердость по Виккер- су 60...90 334 271 130 249 263 20...50 г) Рис. 10.41. Характерные сечения разрушения свар- ных соединений при испытании: а — по границе раздела Ati«Al; б — по выводу (у края соединения); в — по среднему сечению; г — по границе раздела Al—SiO2 (/ — Si, 2 — SiO2, 3 — Al, 4 — Au, 5 — се- чение разрушения, 6 — интерметаллические фазы) Рис. 10.42. Распределение усилий разрушения со- единений при испытании: а— до термоста билнзирующей обработки; б—после нее; в — термостабилизирующая обработка изделий предшеству- ет операции термокомпрессиоиной сварки иглу (1,5 Н). Однако он нередко происходит при испытании не подвергнутых термостабилизирующей обработке соединений, полученных при значениях на- грузки на иглу 0,8...1,2 Н. Разрушения по среднему сечению по границе раздела Al —SiO2 и комбинированное (рис. 10.42) наблюдаются, как правило, после термостабилизирующей обработки и характеризуются значительно меньшими усилиями разрушения. Усилие отрыва максимально при оптимальных режимах термокомпрессион- ной сварки, соответствующих значениям нагрузки на иглу 1,2... 1,4 Н. При на- грузке менее 1 Н причинами снижения прочности могут быть полное схватыва- 459
ние свариваемых поверхностей из-за оставшихся на них окисных пленок, а так- же замедленное (вследствие небольших деформаций) образование ювенильных поверхностей в зоне сварки, что приводит к расслаиванию соединений по гра- нице раздела Ан — AI. При нагрузках на иглу свыше 1,5 Н происходит «пере- жим» и резкое снижение усилий отрыва вывода при испытаниях. Основные причины снижения механической прочности термокомпрессионных соединений, подвергнутых термостабилизирующей обработке: процессы образо- вания микротрещин на границе раздела фаз АщА1 и Ап6А12, а также снижение адгезии по границе раздела Ап — SiO2, обусловленное сквозной диффузией зо- лота через пленку алюминия. На качество сварных соединений влияют окисные и адсорбированные орга- нические пленки на поверхности алюминиевых контактных площадок, а также степень чистоты золотого гальванического покрытия корпусов ИС. Загрязнения уменьшают фактическую площадь контакта, увеличивают переходное электриче- ское сопротивление, приводят к локальным перегревам, падению прочности и разрушению сварного соединения. Для разрушения окисных и десорбции органических поверхностных пленок проводят облучение поверхности металлических пленок потоками фотонов, фо- нонов, электронов н ионов. Импульсный нагрев лучом лазера позволяет иниции- ровать поверхностные термохимические реакции разложения и последующего испарения органических пленок в виде летучих соединений. Применение неодимового лазера (Л=1,06 мкм, тн=4 мс) в режиме свобод- ной генерации для получения алюминиевых пленок увеличивает их механическую прочность (от 0,112 до 0,130 Н) и уменьшает коэффициент вариации (от 15 до 6%) для контактной пары А1-А13 (рис. 10.43). При плотности излучения <?>14 кВт/см2 происходит резкое уменьшение краевого угла от 30 до 10°, что связано с инициированием поверхностных термохимических реакций, которые приводят к очистке поверхности от органических загрязнений и имеют отчет- ливый пороговый характер. Если поток q изменяется в интервале (14... 16) кВт/см2, поверхность алюминиевой пленки разогревается от 110 до 430 К при условии, что максимальная температура достигается в конце импульса ла- зерного излучения. Локальный импульсный разогрев поверхности металличе- ских пленок повышает температуру адсорбированных органических пленок- Уве- личение колебательной энергии адсорбированных молекул и возбуждение их до уровня энергии активации термохимических реакций приводит к разложению органических соеднений, их окислению и десорбции в виде летучих компонен- тов. Уменьшение значений F и увеличение коэффициента вариации Kv при г/> >16 кВт/см2 происходит за счет инициирования окислительных реакций на по- верхности алюминиевой пленки. Увеличение плотности потока лазерного излуче- ния до 19,5 Вт/см2 и температуры до 468 К приводит к более интенсивному окислению поверхности алюминиевой плевки, образованию микрократеров в зоне облучения, изменению поверхностного микрорельефа алюминиевой и окисной пле- Рис. 10.43. Зависимость механической прочности F и коэффициента вариации Kv соединений А1-А13 и AI-Ан от плотности потока излучения q: а — мощность УЗ-колебаннй 0,85...0,55 Вт; усилие нагружения 0,3 Н; длительность свар- ки 0.2 с; б — мощность УЗ-колебаний 0,65...0,75 Вт; усилие нагружения 0.3 Н; длитель- ность сварки 0,28 с 460
цок. Образование микрократеров в зоне облучения можно предположительно объ- яснить тем, что некоторые локальные участки пленки обладают гораздо мень- шей отражательной способностью по сравнению с остальной поверхностью, отче- го алюминиевая пленка разрушается уже при незначительном лазерном излу- чении. При <7>2,1-1О4 Вт/см2 она полностью разрушается по толщине. Если увеличивается q от 1,25-104 до 2,75-104 Вт/см2 и Т от 377 до 501 К, то для золотых пленок механическая прочность соединений возрастает от 0,1 до 0,128 Н, а коэффициент вариаций уменьшится от 17,5 до 7,5% (рис. 10.43). При </>1,85-104 Вт/см2 краевой угол уменьшается с 45 до 15°, что обусловлено инициированием термохимических реакций при Т>427 К. Отсутствие окислитель- ных реакций на поверхности золотой пленки при нагреве позволяет производить ее обработку в более широком интервале плотностей потока лазерного излуче- ния, чем для алюминиевой пленки. Для инициирования термохимических реакций на поверхности золотых пле- нок необходима большая величина q по сравнению с алюминиевыми пленками, что связано с лучшей теплопроводностью и большей отражательной способно- стью золотых пленок. Уменьшение механической прочности и увеличение коэф- фициента вариации соединений при </>2,75-104 Вт/см2 связано с разрушением поверхности золотой пленки. Пленки, к которым приваривались алюминиевые проводники, имели сле- дующие особенности: 1) алюминиевое покрытие толщиной 8 мкм, гальванически осажденное на коваровую подложку, покрытую слоем гальванического никеля толщиной 3 мкм (Л1-А11); 2) алюминиевое покрытие толщиной 9 мкм, плакиро- ванное на никелевую подложку (А1-А12); 3) алюминиевая пленка толщиной 1 мкм, нанесенная методом термического испарения в вакууме на подложку Si с толщиной окиси 0,6 мкм (А1-А13); 4) золотое покрытие толщиной 5 мкм, гальванически осажденное на коваровую подложку, покрытую слоем гальвани- ческого никеля толщиной 3 мкм (А1-Аи). 10.6. Припои и технология микропайки при сборке МЭУ Пайка — процесс получения неразъемного соединения материалов в твер- дом состоянии с нагревом ниже температуры их расплавления, смачиванием и заполнением зазора между ними расплавленным припоем. Технологический процесс пайки осуществляют при температурах, превы- шающих точку плавления припоя, атомы расплавленного припоя, попадая в сферу действия атомов решеток кристалла основного материала, распределя- ются на его поверхности в определенном кристаллографическом порядке. В ре- зультате на межфазной границе с одной стороны образуется слой твердого ма- териала, с другой — расплава. Нагрев при пайке усиливает подвижность атомов, а обмен между атомами твердого и жидкого вещества, возникающий в раствор- но-диффузионных процессах, приводит к упрочению образовавшихся связей. Последующая кристаллизация зоны плавления фиксирует процессы взаимодейст- вия на том или ином уровне их развития и приводит к образованию паяного соединения. При выборе припоя для паяных соединений необходимо, чтобы температура плавления припоя была ниже температуры плавления паяемых материалов; при- пой должен обладать хорошей жидкотекучестью, смачивать поверхности паяе- мых материалов, растекаться по ним, проникать в узкие зазоры. При этом сплав, образуемый в месте спая, должен обеспечивать прочную связь. Припои для пайки классифицируют по температуре плавления: ниже 723 К — низкотемпературные; выше 723 К — высокотемпературные. Низкотемпературные припои содержат Sn, Bi, Cd, Pb, Tn; высокотемпературные Cu, Ag, Ni, Co, Fe, Al и др. В микроэлектронной технологии применяются легкоплавкие припои (табл. 10.26). При создании паяных соединений с тонкопленочными слоями часто происходит их растворение в активном припое. Для исключения этого явления в припой добавляют 2—3% порошка того металла, из которого изготов- лена пленочная контактная площадка. При пайке золотых проводников к алю- миниевым контактным площадкам в припой добавляется небольшое количество мелкодисперсного золота. 461
Таблица 10.26. Химический состав и свойства припоев Массовая доля компонентов припоя, % Температура, К Марка припоя Sn Pb Cd Bl Ag Au Sb плав- ления пайки ПОС61 ПОС-61 + +3% Ag ПОС-61+ 4-3% Ач ПОСК 50-18 ПСр2 61,0 Осталь- ные 57...59 То же 57...59 » 50 32 30i0,l 63 ±1,5 Слой 1 I I II I 1-2 £ । । « । co 1 1 co 1 1 II -2 2 £ 456 473...513 463 513...553 463 513...553 418 453 498...508 523...533 Удаление окисной пленки с поверхности припоя и паяемого материала и предотвращение ее образования в процессе получения паяного соединения осу- ществляют с применением поверхностно-активных веществ — флюсов. Флюсы уменьшают поверхностное натяжение расплавленных припоев, способствуют улуч- шению смачивания и растекания, а также передаче тепла на всю зону покры- тия припоем. К флюсам, используемым при пайке, предъявляются следующие требова- ния: температура плавления флюса должна быть ниже температуры начала плавления припоя; к началу плавления припоя флюс должен смачивать поверх- ность основного материала; при температуре пайки расплавленный флюс должен обеспечивать полное удаление окислов и защиту от окисления основного мате- риала и припоя; флюс не должен терять активности и защитных свойств при длительном нагреве; продукты флюсования не должны способствовать актив- ному развитию коррозии паяных соединений; при нагреве флюс не должен вы- делять токсичных веществ. Флюс состоит из основы, растворителя окнсной пленки н активных уда- ляющих загрязнения веществ. Иногда эти компоненты сочетаются в одном ве- ществе, применяемом в качестве флюса. При монтаже МЭУ используют флюсы на основе канифоли (ФКСп, ФКЭт, ФКТС, ЛТИ-120 н др.) и бесканифольные флюсы (ФПЭт, ФТС) (табл. 10.27). Таблица 10.27. Рецептурные составы, массовые доли %, свойства некоторых флюсов на основе канифоли Марка флюса Кани- фоль Спирт этиловый Диэтила- мин соля- но-кислый Триэта- ноламин Сали- циловая кислота Температура максимальной активности, К ФКСп 50 50 433...573 ЛТИ-120 24 70 3...5 1...2 — 433...623 ФКТС 30 66 — 1 3 413...573 Из бесканифольных флюсов известен состав ФТС из салициловой кислоты (4,5%), триэтаноламина (1%) и этилового спирта, а также флюс ФПЭт с со- держанием синтетической полиэфирной смолы ПН-9 (30%) и метилэтиленкетона илн этилацетата (70%). Существует целая группа органических флюсов. Для низкотемпературной пайки алюминия и его сплавов используют флюсы на основе аминоспирттриэтаноламина с активными добавками фторборитов тяже- лых металлов и алюминия. 462
Флюсующее действие расплавов и растворов флюсов является результатом протекания целого ряда процессов, основные из которых следующие: химическое взаимодействие между активными компонентами флюсов и окисной пленкой; диспергирование окисной пленки в результате адсорбционного понижения ее прочности под влиянием расплава флюса; химическое взаимодействие между активными компонентами флюса и основным металлом, результатом которого является отрыв пленки от поверхности основного металла и переход ее во флюс; растворение окисной пленки в расплаве флюса; растворение основного металла и припоя во флюсе. Процесс флюсования включает в себя смачивание основного металла и при- поя флюсом, удаление с них пленок, вытеснение флюса из зазора расплавленным припоем и защиту места пайки от окисления образовавшимся шлаком. После смачивания основного металла флюсом и удаления с него окисной пленки обра- зуется активная межфазная граница твердый металл — жидкий флюс, которая затем замещается расплавленным припоем в условиях фактически исключающих взаимодействие с воздухом, что обеспечивает высокое качество слоев. Флюсы проявляют свое действие лишь в определенном интервале темпера- тур, который называют температурным интервалом активности флюсов. Флю- сы обладают большой активностью и должны удаляться после пайки. Харак- теристики паяльников даны в табл. 10.28. Таблица 10 28. Паяльники для монтажа изделий электронной техники Я аимеиование характери- стики Тип паяльника и значение характеристики промышлен- ный паяльник Зазерского унифициро- ванный ПЭТ-50 МЭП12-30 МЭП6-4 МЭП6-6 МЭП6-13 Напряже- 220 36 24 36 12 6 6 6 ние, В Мощность, 50..90 50 или 36 35 50 30 4 6 18 Вт Тепловой 8...12 12 30 12 25 50 50 25 КПД, % Диаметр 4...10 4 3...8 4...6 3-12 3...6 3...8 3...10 сердечника, мм Рабочая 533...613 523 533 473...573 543...573 543 543 543 температу- ра, К Снижение 383 333 313 303 353 333 333 333 температурь* при много- кратной пайке, К Заземление Нет Нет Есть Есть Нет Нет Нет Нет Масса, г 270...310 80 80 120 235 40 75 125 Установки для индивидуальной пайки контактов микроузлов практически не используются. При необходимости применяют установки для сварки косвенным импульсным нагревом и для сварки сдвоенным электродом, которые обеспечи- вают импульсный нагрев припоя. Имеется лишь одна отечественная установка специально для импульсной пайки —ПИН-1, в которой наряду с фиксированным инструментом, установленным на микроманипуляторе, смонтирован термока- рандаш, аналогичный обычному микропаяльнику. 463
Таллиевые припои. Галлий имеет низкую температуру плавления (302.8 К) Хорошо смачивает металлы, обладает необычайно большой способностью про- никать по границе полупроводников и зерен металлов (Sn, In, Cd, Zn, Pb, Л1) образуя эвтектику. В припоях применяют эвтектические сплавы галлия с индием или оловом. Некоторые низкотемпературные таллиевые припои приведены в табл. 10.29, 10 30. Таблица 10.29. Химический состав галлиевых припоев, % Ga Другие Значение In Sn элементы температуры плавления, к 61 25 13 1 Zn 276 62 25 13 — 278 67 29 — 4 Zn 286 76 24 — — 289 82 — 12 6 Zn 290 92 — 8 — 293 95 — — 5 298 99,5 — — 0,5 Tl 300,3 100 — — — 302,8 Таблица 10.30. Химический состав галлиевых припоев С магнием, % Ga Mg Cd Zn Другие элементы 88 4 4 4 55 4 4 1 11 Sn 25 Zn Таллиевые клеи—припои выпускаются в виде паст, способных переходить в твердое состояние при комнатной температуре. Клеевые соединения на их основе могут эксплуатироваться при температурах от 103 до 1073 К. Они име- ют высокую электро- и теплопроводность, достаточно высокую механическую прочность. Соединения на основе галлиевых припоев применяют для получе- ния герметичных соединений металлов со стеклом, при монтаже выводов МЭУ. Соединение различных металлов с помощью галлиевых клеев осуществляется без нагрева. Таблица 10.31. Химический состав двойных индиевых припоев In Другие элементы Значение температуры полного рас- плавления, К In Другие элементы Значение температуры полного рас- плавления, к 99 1 Си 423 74 26 Cd 396 98,5 1,5 РЬ 423 66 34 Bi 345 97 3 Zn 416 52 48 Sn 393 95 5 Al 424 50 50 Pb 488 Приготовляют таллиевые припои непосредственно перед применением. Ис- ходные компоненты (жидкие металлы или легкоплавкие сплавы и твердые частицы наполнителя) берут примерно в соотношении 1 : 2 и тщательно смеши- 464
Таблица 10.32. Химический состав многокомпонентных индиевых припоев, % — 1 In Cd Pb Sn Tl Zn Температура полного рас- плавления, к 74 24,25 — 1,75 389 52,2 — — 46 — 1,8 381 44,2 13,6 — 41,4 — 0,8 363 44 14 — 42 — — 366 42,8 — 7,8 46,8 2.6 — 394 44,2 — — 46,8 9,0 — 390 27 73 —— — — — 396 25 — 37,5 37,5 — — 453 Таблица 10.33. Химический состав индиевых припоев, % [п Другие Температура плавления, К Назначение 52 48Sn 390 Пайка стекла без флюса методом натирания 50 50 Pb 473 Пайка золота и золотых покрытий 71 26 Cd 396 Пайка германиевых элементов 66 34 Bi 345 Пайка полупроводниковых материа- лов вают при комнатной температуре или при температуре около 308 К во фто- ропластовой ступке до получения однородной пасты. Годный припой может храниться не более 2 ч. Индиевые припои в большинстве своем электро- и теплопроводны и стойки к окислению. Используют их при пайке вакуумных соединений, стеклянных и кварцевых изделий (табл. 10.31—10.33). 10.7. Электропроводящие клеи Для получения электрических соединений используют электропроводящие клеи, которые называют контактолами. Они применяются при монтаже термо- чувствительных элементов, при получении внутренних электрических соединений в труднодоступных для сварки н пайки местах, при ремонте и т. д. Контактолы представляют собой полимерные материалы, содержащие электропроводящие наполнители. Наполнителем служит дисперсионное золото, высокодисперсиоииое серебро с частицами сферической, чешуйчатой либо их смеси формы разме- ром от 0,1 до 3,0 мкм. Также применяют никелевые порошки двух типов: кар- бонильный никель с частицами сферической формы размером до 5 мкм и элект- рооптический никель с частицами осколочной формы размером до 40 мкм. Ис- пользуют посеребренные медные порошки, посеребренный никель, посеребренный или помедиеиный графит, стекловолокно, покрытое серебром или никелем, а также порошки талька, кварца и слюды, покрытие серебром в присутствии ПАВ. Изготовление металлических наполнителей. Серебряный порошок можно изготовить термическим распылением серебра в вакууме с последующим из- мельчением в молотковой дробилке до получения зерен определенного размера либо распылением расплавленного серебра струей воды. Для создания высокодисперсного серебра используют контактное восста- новление серебра иа вращающемся медном стержне. Цилиндрическую поверх- ность медного стержня декопируют в растворе персульфата аммония, а торце- вую часть защищают электроизоляционным лаком. Затем стержень погружают 30 Заказ № 1270 465
в раствор, содержащий сульфанол и вращают с частотой 6...7 с-1. На цилинд- рической поверхности осаждается дисперсное серебро, которое многократно промывают дистиллированной водой, сушат этанолом и серным эфиром, раз- рыхляют, высушивают при 333.. 343 К в течение 4...5 ч и просеивают через сито. Получают серебряный порошок с низким сопротивлением восстановлением серебра из раствора при помощи гидрохинона. К 1 дма молярного водного рас- твора AgNOs добавляют 2 мл HNOa, охлаждают до 293 Кив течение 30 мин при непрерывном помешивании добавляют 1 дм3 0,62 М-водного раствора гид- рохинона Через 15 мин после начала добавления гидрохииола начинают до- бавлять 500 см3 2 М-раствора ацетата натрия. Его также вводят в течение 30 мин. Реакция восстановления продолжается 4 ч. Полученный серебряный порошок отфильтровывают, промывают в 10 дм3 воды и сушат в вакууме при 323 К. Выход серебра составляет 94,2%. Для повышения электропроводности порошка серебра, выпускаемого промышленностью, рекомендуется промыть его несколько раз 2%-ным раствором гидрохинола в метаноле. Электропроводящие клеи. В качестве наполнителей можно использовать готовые или свежеприготовленные порошки серебра. Большую часть клеев из- готавливают на основе эпоксидных смол, обеспечивающих относительно высо- кую прочность склеивания металлов и полупроводниковых материалов. Отвер- дителями для них чаше всего служат амины, такие как полиэтиленполиамии, диэтилеитриамин, триэтилентетрамин, триэтаноламин, диметиланилин, димети- или диэтиламинопропиламин, полиамиды, а также продукты, полученные при взаи- модействии эпоксидной смолы с избытком амина. Клеи, содержащие амины, могут отверждаться при невысокой и даже комнатной температуре. Механические и электрические свойства клеевых соединений в значительной степени зависят от технологии получения и отверждения клея. Для многих кле- ев благоприятным является такой режим отверждения, при котором нагревание проводят сначала при 343...373, а затем при 423...473 К. Так, для сокращения продолжительности отверждения электропроводящего клея в качестве основы применяют предварительно сшитый полимер, дисперги- рованный в летучем растворителе, а для загущения клея и предотвращения оседания серебра используют активные минеральные наполнители, такие как диоксид кремния, силикат и карбонат кальция, алюмосиликат, оксид алюминия, высокодисперсный каолин в количестве около 3% от массы полимера. Напри- мер, клей получают, смешивая 80 г метилакрилата, 20 г метилметакрилата, 2 г этилендиакрилата, 1 г персульфата калия, 0,5 г бисульфата натрия, 2 г до- децилбензольсульфоната натрия и 900 см3 воды. Смесь перемешивают при 333 К в течение 10 ч до получения стабильной водной эмульсии полимера. Полимер выделяют, отмывают, сушат и дробят в шаровой мельнице. Мелкораздроблеи- ный полимер (30 мае. ч). диспергируют в смеси, состоящей из 50 мае. ч. ацетона и 50 мае. ч, бутилацетата или метилэтилкетона, потом добавляют 50 мае. ч. серебра и 1 мае. ч. диоксида кремния. Полученный клей обладает тиксотропными свойствами, благодаря чему серебро не оседает. Клей быстро высыхает и имеет удельное сопротивление менее 0,01 Ом м. Разработан электропроводящий клей на основе алкил-«-цианакрилата, спо- собный отверждаться при комнатной температуре менее чем за 1 ч. Мгновенная полимеризация клея при введении серебряного порошка предотвращается тем, что порошок предварительно смешивают с фреоном. В состав клея входит 100 мае. ч. алкил-а-цианакрилата, 300...600 мае. ч. серебра и 120.. 200 мае. ч. фреона. Навеску порошка помещают в полиэтиленовую или фторопластовую емкость, заливают фреоном так, чтобы он полностью смочил порошок. Получен- ную смесь оставляют на воздухе до испарения 50...70% фреона, затем к по- рошку добавляют алкил-а-цианакрилат, например этиловый эфир цианакриловой кислоты, и перемешивают 2...3 мин. Клей жизнеспособен в течение 1...1.5 ч, а продолжительность отверждения составляет 10...15 мии. Отечественная промышленность выпускает электропроводящие клеи на ос- нове эпоксидных и акриловых олигомеров (табл. 10.34—10.36). В табл. 10.37 приведены значения сопротивлений клеевых соединений различных металлов. Стабильность сопротивления клеевых соединений в процессе старения зави- сит как от марки клея, так и от природы склеиваемого металла (табл. 10.38). 466
30: 467 Таблица 10.34. Характеристики электропроводящих клеев ИР-145А Эпоксидная То же ДЭТА или Эпоксидная 6 30...40 мин 343 или 3 То же смола, 50,4 ПЭПА смола, 343 и 1 ТЭГ-1 423 1
к Значение характеристики клея 468
Таблица 10.36. Свойства электропроводящих клеев Марка клея Удельное электрическое сопротивление, мк Ом«м Прочность клеевого соединения алюми- ния при сдвиге, МПа Максимальная рабочая температура, К К-8 2...3 4..7 398 К-13а 10.200 4...5 358 к-136 1...5 2.5..3,5 373 К-16 (2...4) 10-6 2,5.. 3,5 373 ЭНКС-2 50.100 7...10 373 ИР-145А 50...100 7...10 398 Таблица 10.37. Переходные сопротивления клеевых соединений металлов Р, Ом-м2 Марка клея Серебро Медь Никель Алюминий Золото Платина К-8 5-Ю-10 1,1 - 10-е 1,5-10-6 5-Ю-6 1 - 10-е 7,5-10-9 К-12 9-Ю-10 2,6-10"9 1,7 10-7 1,5-10-® 2,5-10-9 7,5 -Ю-9 К-13а 5-Ю-10 1,2-Ю-9 6,5-10-8 2,6 -10-8 2,5-10—3 2-10-9 КН-1 1,5-10-® 1,2-10-8 5-Ю-9 1.5- 10-а 2,5-10-8 1-ю-3 КН-11 3-10~9 1,3-ю-8 2,5-10-8 1-ю-6 1 -ю-8 1,5-10-9 К-15 5-Ю-10 1,2-Ю-9 ню-7 1,2-Ю-7 7,5-Ю-10 2-10 9 Таблица 10.38. Рекомендации по применению клеев Марка клея Склеиваемые металлы Ag Pt Pd Au | Си NI | Al К 8 + + + — — — — К-Н — — — + — — — К-12 + + + + + — — К-13 + + + — + — — К-15 + + + — + — — КН 1 — — — — — + + В качестве наполнителей токопроводящих клеев, работающих при темпе- ратурах до 573 К, используют порошкообразные Pb, Rh, Ru, графит, Ni, Мо, Pt, Ir, W, Pb, Ni. Клеи-коитактолы (К-8, К-16, К-17, ТПК-1, ЭНКС-2, КТП-1) недостаточно теплостойки, содержат в своей основе летучие токсичные растворители, которые обусловливают нестабильную во времени консистенцию клея, что усложняет их применение и создает неблагоприятные условия для сборки приборов. Разработаны клеи в виде вязких подвижных паст (см. табл. 10.36), отли- чающимся от клеев-контактолов отсутствием растворителей. В их состав входят активные разбавители и пластификаторы, которые дают возможность регули- ровать вязкость и обеспечивают ее высокую стабильность в течение всего срока хранения. Высокотеплостойкие клеи, например электропроводящий марки ЭЧВТ, явля- ются однокомпоиеитиыми. Остальные клеи — двухкомпоиентные, состоят из ос- новы и отвердителя и поставляются потребителю в комплекте для перемешива- ния непосредственно перед применением. 469
Электропроводящий клей ЭВТ предназначен для сборки полупроводниковых приборов (табл. 10.36). Клей допускает возможность термоком пресси оиног© присоединения выводов приборов при температуре около 673 К в течение 15...30 мин. Полученные клеевые соединения отличаются высокой электропро- водностью (pi~5 i0~4 Ом-см), хорошей адгезией к элементам конструкций приборов (2,5...7,1 МПа), устойчивым к длительному воздействию влаги. Клей ЭЧВТ является изоляционным вариантом клея ЭВТ и обладает меньшей теп- лопроводностью. Электропроводящий клей ЭК-А на основе эпоксикремнинорганической смо- лы предназначен для сборки цифровых полупроводниковых индикаторов и све- тодиодов. По консистенции клей представляет собой однородную пастообразную массу. Диапазон рабочих температур клея 213...123 К, кратковременно (2 ч) клей выдерживает температуру 573 К. Для сборки изделий, не допускающих воздействия высоких температур, разработаны клеевые композиции марок ЭЧЭ, ЭЧТ. Клей марки ЭЧЭ пред- ставляет собой электропроводящую, а ЭЧТ — теплопроводящую композицию. Клей ЭЧЭ разработан в двух вариантах: ЭЧЭ-С, наполненный серебром, и ЭЧЭ-Н, наполненный никелем. Эти клеи способны отверждаться при температу- рах 323.. 333 К, однако в зависимости от потребностей производства могут от- верждаться и при более высокой температуре. При этом сокращается время отверждения. Для этих марок клеев рекомендовано несколько режимов отверж- дения и при использовании клея можно выбрать наиболее приемлемый вариант. 10.8. Беспроволочный монтаж Беспроволочный монтаж выполняется по нескольким схемам, различаю- щимся конструктивным исполнением соединяемых элементов (рис. 10.44). Наи- большее развитие получил метод монтажа лепестковых («паучковых») выводов к кристаллу и внешним выводам корпуса или к контактным площадкам кера- мической подложки (рис. 10.44,о). Для присоединения навесных элементов в гибридных схемах широко ис- пользуется монтаж методом «перевернутого» кристалла с жесткими контактны- ми выступами (столбиками) на подложке или кристалле (рис. 10.44,6). Находит применение и метод монтажа с балочными выводами, причем балки могут создаваться как на кристалле полупроводникового прибора, так и на подложке гибридной схемы (рис. 10.44, е). При беспроволочных методах монтажа приме- няются разнообразные сочетания свариваемых материалов (Al-Al, AI-Au, Au-Au, Cu-Sn-Au и др.) и типы соединений. В этих методах используются в основном Рис. 10.44. Схемы беспроволочного монтажа микросхем: 1 — кристалл ИС; 2 — лепестковые выводы («паучки»); 3— внешние выводы корпуса (ковар, покрытый золотом или алюмини- ем); 4 — подложка схемы из керамики; 5 — столбиковые жесткие выводы (высту- пы); 6— «балочные» выводы Рис. 10.45. Конструкция столбиково- го вывода полупроводниковой ми- кросхемы: 1 — алюминиевая металлизация; 2 — адге- зионный подслой ванадия; 3 — пассивирую- щий слой ванадия; 4 — гальванический медный столбик; 5 — припой ПОС-61; 6 — гальванический припой олово-висмут; 7 — фоторезист; 8 — напыленный слой ме- ди; 9 — пассивирующий окисел 470
групповые способы сварки (пайки). Основу метода перевернутого кристалла составляют объемные столбиковые (шариковые) выводы (ОСВ), располагаемые на металлизированных контактных площадках пассивных либо активных под- ложек. При создании объемных выводов на контактные зоны кристалла либо под- ложки, предназначенные для внешней коммутации, напаивают маленькие мед- ные шарики или наносят выступы в виде столбиков, например, осаждением алюминия Вначале кристалл покрывается тонким защитным слоем стекла. В этом слое вскрывают окна, в которые осаждают алюминиевые контактные площадки, и наносят трехслойную структуру Сг-Cu-Au. Хром служит в качестве защитного барьера против диффузии расплавленного припоя, слой золота за- щищает поверхность меди от окисления. Припой можно наносить электролити- ческим способом или вакуумным напылением. В подготовленные таким образом контактные отверстия помещают медные шарики диаметром приблизительно 130 мкм, покрытые слоем никеля и золота. Слой ииксля способствует умень- шению образования сплава медь-олово на поверхности шарика при пайке. Зо- лотое покрытие сохраняет паяемость шариков во время длительного хранения; маленькие шарики распределяются на пластине при помощи шаблона или виб- рации перед разделением на кристаллы. Затем шарики впаивают в печи. Конструкция ОСВ показана на рис. 10.45. Технологический процесс его из- готовления включает нанесение поверх алюминиевой металлизации слоя защит- ного диэлектрика, вкрытие контактных окон и нанесение технологического слоя меди с адгезионным и пассивирующим слоями, дальнейшее локальное гальва- ническое выращивание медного столбика при защите фоторезистом, гальваниче- ское покрытие буферным слоем, снятие технологических слоев и горячее луже- ние, завершающее процесс. Конструкция ОСВ представляет собой многослойную систему металлов, ко- торая частично пересекается линиями тока вдоль тонкого слоя и частично по- перек. Расчетная оценка электрического сопротивления контакта ОСВ рас- сматриваемой конструкции равна примерно 10~2...10_3 Ом. Реальные значе- ния /?к могут быть получены при применении 4-зондового измерения (рис. 10.46, а). Зонды 1 и 3 являются токовыми, а 2 и 4— потенциальными, при этом 1 и 4 устанавливаются на алюминиевую металлизацию, а 2 и 3 на исследуемый ОСВ. Упрощенная эквивалентная схема приведена на рис. 10.46, б. Измерение компенсационным методом потенциала U2.4 при наличии переходно- го слоя повышенного сопротивления RK дает возможность относительно строго судить о его значении. Измеренные таким образом RK имеют широкий диапа- зон значений — 10-3...103 Ом и более. Вольт-амперная характеристика (ВАХ) контакта (рис. 10.47, а) имеет вы- раженный участок отрицательного сопротивления s-типа, ОСВ с ВАХ такого вида имеют два устойчивых состояния — высоко- и низкоомное, а переход от первого ко второму осуществляется скачком при приложении некоторого пре- дельного значения напряжения Uap. Значение Uav может достигать 100 В, од- нако наиболее типичное значение 1...1.5 В. Стандартные методики контроля, при которых предполагается применение больших измерительных напряжений, чем Unv, дают результаты, характеризуемые участком низкого сопротивления. а) Рис. 10.46. Схема 4-зондового измерения контактного сопротивления RK стол- бикового вывода; а — сечение ОСВ и расположение зондов; б — упрощенная принципиальная электрическая схема: Лс12 — сопротивление столбика; 2 — сопротивление шины) 61 471
Рис. 10.47. Вольт-амперные а — с тонким диэлектриком; б! характеристики деградированных столбиковых выводов: б —с толстым диэлектриком при 7=293 и 313 К Такое же поведение RK характерно для некоторых видов тонкопленочных дио- дов на халькогенидных полупроводниковых стеклах, а также на диэлектриче- ских пленках типа Nb3O6, А1гО3 и других материалах. Наблюдавшиеся ВАХ другого типа, как правило, оказываются вариантом ВАХ, показанной на рис. 10.47, а, но с большим временем «восстановления» повышенного сопротив- ления. Наиболее долговременные процессы «восстановления» сопротивления на- блюдаются при полном выгорании канала в диэлектрике с последующим его химическим восстановлением. Процесс такого рода может быть весьма длитель- ным и в зависимости от условий протекать несколько минут или суток. При экстремальных значениях ЙПр возможно исследование методом квази- статических ВАХ или так называемым методом вольт-амперных петель. Этот метод позволяет исследовать конденсаторные структуры при наличии значи- тельных низкочастотных потерь. На рис. 10.47,6 приведена измеренная на инфранизких частотах квазиста- тнческая ВАХ, скачок проводимости в которой соответствует емкости С=10 пФ, что для диэлектрической проницаемости е= 10 при площади ОСВ 5=5-10_3мм2 дает толщину диэлектрика ж 45 нм. На выводах с повышенным RK наблюда- ется резкое уменьшение усилия отрыва столбикового вывода (до 0,1...0,2 Н), что свидетельствует о потере в процессе деградации адгезии ОСВ к кристаллу. Деградация ОСВ является следствием коррозии алюминия. Коррозия алю- миния стимулируется наличием ионных загрязнений и большой разностью элект- рохимических потенциалов меди и алюминия. Скорость деградации лимитируется поступлением влаги к границе ОСВ — кристалл. Характерным примером такой реакции может служить реакция коррозии алюминия в присутствии следов хлора: 6НС1+2Л1 —^2 AI Cl+3H2f А1С1з+ЗН2О—->Л1(ОН)3 +ЗНС1. Продуктом этой реакции является гидроокись алюминия, обычно желеоб- разной консистенции (рнс. 10.48). Примечательно обратное выделение НС1, Рис. 10.48. Вид микросхемы с деградировавшими ОСВ (кор- розионный процесс не вышел из-под основания вывода) 472
которое не расходуется и может вновь принять участие в процессе. Со временем гидроокись алюминия разлагается 2А1(ОН)а + /—>Л12О3+ЗН2О с образованием изолирующего окисла алюминия. Высокая пористость наносимого окисла или наличие микротрещин, легко образующихся вокруг ОСВ, способствует возникновению и развитию коррозии. Методом ускоренных испытаний для ОСВ может быть проведена обработка в парах воды прн повышенной температуре. Таблица 10.39. Сопротивление объемных столбиковых выводов RK, Ом, различных конструкций, прошедших испытания в течение 2000 ч Значения темпера- туры при испыта- ниях, К Стандартная конструкция Открытая конструкция Конструкция с улучшенной герметизацией перехода 423 8 6 0.6 298 (пары Н2О) 25 73 0,60 353 (пары Н2О) 51 (отдельные обрывы) Сплошные обрывы, потери адгезии 1 В табл. 10.39 приведены средние значения RK для трех конструкций ОСВ. Совершенно очевидна роль элементов местной защиты границы раздела Си-А1 — открытые ОСВ немедленно деградируют. Для предотвращения или замедления коррозии необходимо: 1) исключение из технологического процесса реактивов, действующих как катализатор коррозии, а из конструкции — мест, потенциально способных акку- мулировать такие вещества. Например, исключительно опасен капиллярный за- зор под основанием ОСВ, образующийся при гальваническом наращивании столбика, макропоры в медном столбике и окисле, остатки фоторезистора и т. п.; 2) герметизация границы раздела Си-Al от попадания туда влаги; 3) модифицирование А] близ поверхности раздела Си-Al, увеличивающее его коррозионную стойкость и выравнивающее разность электрохимических по- тенциалов с медью. Рис. 1049 Способы совмещения выводов с контактными таже перевернутого кристалла: а, б—с помощью полупрозрачного зеркала: в — с помощью площадками при мои- направляющего отверстия 473
Совмещение выступов (столбиков, шариков) с контактными площадками подложки производится непосредственно на установке присоединения выводов (рис. 10.49). При этом кристалл 3 захватывается из кассеты инструментом-при- сосом 2 и переносится к контактным площадкам 5 подложки 6. Ориентацию можно выполнять визуально путем наблюдения через полупрозрачное зеркало 4 картины расположения выводов относительно контактных площадок и совмеще- ния их с помощью микроскопа 1 или проектора (рис. 10.49, а, б) или с помощью специального центрирующего отверстия — фильера 7, предварительно ориенти- рованного относительно контактных площадок (рис. 10.49, в). В первом случае одновременно наблюдают выступы и контактные площадки и за счет перемещения рабочего стола добиваются их совмещения. После сов- мещения полупрозрачное зеркало смещается, а инструмент с кристаллом пере- мещается вниз. При ориентации по схеме (рис. 10.49, а) требуется строго сим- метричное расположение контактных выступов и контактных площадок отно- сительно полупрозрачного покрытия. Во втором случае (рис. 10.49, в) вначале визуально совмещают отверстие с контактными площадками, затем при опускании ннструмента-присоса центри- руют кристалл за счет смещения его в горизонтальной плоскости. Точность это- го и других методов механического базирования невысока из-за низкого каче- ства кромок кристалла. Согласно условию прочности соединения проекция кон- тактного выступа не должна выходить за кромку контактной площадки более чем иа 0,3d, где d— диаметр выступа. Присоединение методом перевернутого кристалла при одинаковой высоте контактных площадок на подложке и кристалле производится за один рабо- чий цикл независимо от числа контактных площадок. Для присоединения мето- дом перевернутого кристалла на подложке возможно создание алюминиевых контактных площадок толщиной несколько микрометров с последующим их сов- мещением с алюминиевыми контактными площадками кристалла и образованием с помощью УЗ-сварки. Таблица 10.40. Характеристика установок для микроконтактироваиия кристаллов с жесткими выводами Наименование параметра Значение параметра ЭМ-431 ЭМ-432 Производительность, кристалл/ч Число одновременно присоединяемых кри- сталлов Усилие сжатия присоединяемых элемен- тов, Н: основное дополнительное Температура импульсного нагрева инстру- мента, К Температура нагрева рабочего стола, К Частота УЗ-генератора, кГц Максимальная мощность УЗ-генератора, Вт Диапазон регулирования времени присоеди- нения, с 400 4000 1 10 1...7 100...700 3,5... 15 — 423...723 423...723 373..623 — 53...61 59...61 10 63 0,2...4,5 0,2...4,5 Для реализации методов беспроволочного монтажа, в частности метода пе- ревернутого кристалла, используют установки ЭМ-431 и ЭМ-432 (табл. 10.40). Установка ЭМ-431 — однопозиционная с автоматизированным циклом. Установ- ка ЭМ-432 — многокомпозициониая с полностью автоматизированным рабочим циклом от загрузки до выгрузки подложек. На ней одновременно присоединя- ется 10 кристаллов. Соответственно имеется и 10 сварочных головок, поворот- ный стол для перемещения подложек от одной рабочей позиции к другой. 474
В установке используется юстировка сборочного приспособления для совмеще- ния выбранной контактной площадки или базового элемента топологии с пере- крытием проектора микроскопа. Кристаллы базируются в специальных ловителях с конусными отверстиями. При опускании сварочных элементов иа подложку кристаллы входят в отвер- стия ловителей и центрируются в них. Установка легко переналаживается с одного типоразмера подложки на другой и с одного типоразмера кристалла на другой. Глава 11 Герметизация микроэлектронных устройств 11.1. Конструктивно-технологические разновидности герметизации Корпусная герметизация предполагает предварительное изготовление эле- ментов корпуса — основания с изолированными выводами, крышки и вспомо- гательных деталей. После монтажа кристалла (подложки) на основание и при- соединения выводов устанавливают крышку, в результате чего образуется по- лый замкнутый объем. При бескорпусной герметизации предварительно изготовляют лишь мини- мально необходимую арматуру — систему внешних выводов, а изготовление кор- пуса совмещают с процессом герметизации. Кристалл (подложку), соединенный с внешними выводами, в этом случае заливают полимерными компаундами. При этом компаунд заполняет все конструктивные промежутки. При комбинированной герметизации предварительно изготовляют капсулу в виде металлического колпачка, в которой устанавливают сборку подложки с выводами и заливают герметизирующим компаундом. Полимерные, пластмассовые и металлополимерные корпуса не обеспечивают надежной защиты от воздействия влаги, так как молекулы воды способны про- никать (хотя и медленно) через толщу любого герметика. Кроме того, поли- мерные материалы имеют ТКР, примерно на порядок выше, чем металлические выводы, что приводит к образованию микроканалов вдоль выводов, по кото- рым влага может проникать к активной части микросхемы. Вакуум-плотную герметизацию обеспечивают металлические, стеклянные и керамические корпуса, детали которых (основание и крышку) соединяют с по- мощью сварки или пайки. В ряде случаев приходится дополнительно герметизировать кристалл микро- схемы, нанося на его верхнюю плоскость каплю полимерного герметика (рис. 11.1). При этом наблюдаются обрывы тонких проводников разводки. Эти обрывы вызывают внутренние напряжения, возникающие в полимере. Полимер, нанесенный на кристалл, испытывая в результате охлаждения (после отвержде- ния) термическую усадку, стремится сжаться. Казалось бы, он должен при- жимать к кристаллу проходящие через него проводники разводки, а не отры- вать нх. В действительности вместо упрочняющего действия наблюдается обратное — полимер обрывает тонкие проводники. При комнатной температуре внутренние напряжения, возникающие в слое полимерного герметика, нанесенного на поверхность кристалла микросхемы, достигают значений, близких к пределу прочности полимера. Наибольшие на- пряжения наблюдаются у края полимерного слоя. Особенно велики и опасны касательные напряжения, действующие в плоскости сопряжения полимера с под- Рис. 11.1. Сечение микросхемы с до- полнительной полимерной герметизацией: 1 — капля полимерного герметика; 2 — про- водники разводки; 3— крышка; 4— керами- ческий корпус; 5 — кристалл микросхемы 475
ложкой; они тем больше, чем больше угол сопряжения, образуемый полимером Если угол сопряжения превышает 30°, то уже при комнатной температуре меж- ду полимером и подложкой появляется трещина, растущая от края вглубь Рост трещины неравномерный; после начальной быстрой стадии наступает прак- тическая стабилизация трещины, что связано с перераспределением напряжений в ее вершине. Местом наибольшей концентрации касательных напряжений и образования трещины является зона шириной 0,3 мм у края кристалла, где проходят про- водники разводки. Видимо, именно трещиной и высоким касательным напря- жением объясняются их обрывы. Следовательно, защиту кристалла каплей жест- кого полимера нельзя считать достаточно надежной. Для уменьшения вероятности появления обрывов следует уменьшить угол сопряжения полимера или заменить жесткий герметик эластичным, в котором внутренние напряжения очень низки, а появление трещины не вызывает обрыва проводников. 11.2. Корпусная герметизация на основе неорганических материалов Герметизация в корпусах предусматривает защиту от климатических и ме- ханических воздействий. Корпуса изготовляются на основе не сорбирующих влагу неорганических материалов (металл, стекло, керамика). Проходная арма- тура (выводы, теплоотводы), а также герметизация мест соединения отдельных деталей в них выполняются вакуум-плотными материалами (натекание не бо- лее 10е л-мкм/с). Рис. 11.2. Типы корпусов 476
Основной конструктивный материал для изготовления корпусов определяет нх название. Распространение получили металлостеклянные, металлокерамиче- ские и пластмассовые корпуса. Металлостеклянный корпус имеет металлическую крышку и стеклянное (или металлическое) основание с изоляцией и креплением выводов стеклом, крышка присоединяется к основанию сваркой пли пайкой. Металлокерамический корпус имеет керамическую крышку и основание, которые соединяются пайкой. Пластмассовый корпус имеет пластмассовое тело, полу- ченное путем опрессовки кристалла в рамках выводов. Конструкции корпусов выполнены по ГОСТ 17467—79 «Микросхемы инте- гральные. Основные размеры», в котором установлены требования к формам и размерам корпусов микросхем. Корпуса для герметизации подразделяются на пять типов в зависимости от формы проекции тела корпуса на плоскость основания и расположения вы- водов корпуса (табл. 11.1, рис. 11.2). Таблица 11.1. Классификация корпусов по их форме и расположению выводов Тип корпуса Подтип корпуса Форма проекции тела корпуса на плоскость основания ! Расположение проекции выводов (выводных площа- док) на плоскость основания Расположение выводов (выводных площадок) относительно плоскости основания 11 Прямоуголь- ная В пределах проекции те- ла корпуса Перпендикулярное, в один ряд 11 То же То же Перпендикулярное, в два ряда 1 13 » Перпендикулярное, в три ряда и более 14 » Перпендикулярное, по контуру прямоугольника 21 Прямоуголь- ная За пределами проекции тела корпуса Перпендикулярное, в два ряда 2 22 То же То же Перпендикулярное, в че- тыре ряда в шахматном порядке 31 Круглая В пределах проекции те- ла корпуса Перпендикулярное, по одной окружности 3 32 Овальная То же То же 33 Круглая За пределами проекции тела корпуса 41 Прямоуголь- ная За пределами тела кор- пуса Параллельное, по двум противоположным сто- ронам 4 42 То же То же Параллельное, по четы- рем сторонам 5 51 » В пределах проекции те- ла корпуса Перпендикулярное для боковых выводных пло- щадок; в плоскости ос- нования для нижних вы- водных площадок Корпус Гго типа содержит выводы, перпендикулярные плоскости основания и расположенные в пределах проекции тела корпуса на плоскость основания (рис. 11.2, а). Корпус 2-го типа с прямоугольными выводами, перпендикуляр- ными плоскости основания корпуса и выходящими за пределы проекции тела корпуса на плоскость основания, изображен на рис. 112,6, круглый корпус с выводами, перпендикулярными основанию корпуса и расположенными в пре- 477
делах проекции тела корпуса на плоскость основания (корпус 3-го типа), по- казан на рис. 11.2, в. Прямоугольный корпус с выводами, расположенными па- раллельно плоскости основания и выходящими за пределы проекции его тела иа плоскость основания (корпус 4-го типа), приведен на рис. 11.2,г. Корпус 5-го типа — прямоугольный плоский «безвыводиый» (за рубежом подобные кор- пуса называют «кристаллоносителямн»). Электрические соединения микросхемы размещенной в таком корпусе, осуществляются с помощью металлизированных контактных площадок по периметру корпуса (рис. 11.2, д). Выбор диэлектрических и металлических материалов для деталей н узлов корпусов определяется требованием согласования их по температурным коэффи- циентам расширения. Свойства основных материалов для корпусов приведены в табл. 11.2 и 11.3. Таблица 11.2. Основные свойства металлов и сплавов, применяемых в конструкциях корпусов микросхем Состав материала Плотность р, г/см3 Температура плавления, К Средний ко- эффициент расширения (при 300...500 К) «Х10-7- к-1 Теплопровод- ность (Ппи 300 К). Вт/(м-К) Удельная теплоемкость при 300 Kj кДж/кг«К Сплав 29НК (ковар): Ni—29%, Со—18%, Fe — 53% 8,3 1723 48 20 0,5 Никель 8,85 1725 133 92 0,157 Медь Сплав 47НД: 8,9 1356 165 395 0,384 Ni—47%, Си—5%, Fe — 48% 8,2 1723 90...91 25,2 0,5 Молибден 10,4 2933 55±2 162 0,262 Вольфрам 19,4 3673 44,4 130 0,134 Герметизация выводов в металлических корпусах осуществляется стеклян- ными бусами или стеклотаблетками. Бусами изолируется каждый вывод в от- дельности, а стеклотаблетками — группа выводов. Надежное соединение между металлом и стеклом возникает в результате частичного растворения окисла металла в стекле. Поэтому перед защитой кристаллов стеклами или перед соединением вывода со стеклянным изолятором в металлостеклянных корпусах металлические поверхности оксидируют. Таблица 11.3. Основные свойства диэлектриков, применяемых в конструкциях Материал Химический состав основных SIOs B2O3 AI2O3 С1О MgO BaO Стекло С48-2 Стекло С49-2 Стекло С72-4 Стекло С88-1 Керамика 22ХС Керамика «Поликор» 66,3 20,9 3,5 _ _ _ 68,2 19,0 3,5 — _ _ 63,0 4,5 1,5 7,0 — 12,0 67,5 — 5,0 — — 12,0 2,76 — 94,6 _ _ _ — — 99,7 — 0,3 — 478
w Рис. 11.3. Конструкции герметических выводов корпусов иа основе неорганиче- ских материалов: а, б — керамические корпуса; в, г—металлостеклянные; /— круглая припаянная крышка (ковар); 2— слой металла; 3 — керамическое кольцо; 4— вывод (ковар); 5 — основание (керамика); б — крышка (керамика); 7 — слой металла или клеевой шов; 8— крышка (нержавеющая сталь, ковар); 9— изолятор (стекло); 10 — основание (ковар); // — кольцо (ковар) Узел вывода — тело корпуса (гермовывод)—является критичным у всех корпусов, так как механические нагрузки на выводы при монтаже корпусов могут привести к потере герметичности узла. Конструкции герметичных выводов представлены иа рис. 11.3. По габаритным и присоединительным размерам сходные по конструкции корпуса подразделяются на типоразмеры, каждому из которых присваивается шифр, состоящий из обозначения подтипа корпуса (два разряда) и порядко- вого номера типоразмера (два разряда). Шаг выводов для корпусов 1-го и 2-го типов установлен 2,5 мм, для корпусов 4-го и 5-го типов — 0,625, 1,0 и 1,25 мм. Выводы могут иметь сечение круглой или прямоугольной формы. Ха- рактеристики корпусов для микросхем массового применения приведены в табл. 11.4. Для герметизации корпусов применяют контактную сварку, сварку давле- нием, плавлением (электронным лучом, лазером, микроплазмой, аргонодуговой сваркой), пайку припоями, стеклом и др. Конструктивно-технологические ограничения при использовании вакуум- плотиых корпусов приведены в табл. 11.5. 11.3. Герметизация корпусов контактной сваркой Контактная сварка — соединение основано на выделении теплоты в зоне контакта соединяемых деталей за счет прохождения электрического тока Для сокращения времени процесса и ограничения зоны нагрева сварку осуществля- ют коротким импульсом сильного тока. Это возможно при конденсаторной сварке (рис. 11.4), в которой используется разряд конденсаторной батареи на корпусов микросхем компонентов ТКР (при 300...500 К) аХ10~7, к-1 Прочность при растя- жении ОХ10-7, Н,м! Прочность при сжатии, охЮ-7, Н/м2 Темпера- тура размягче- ния, К Теплопро- водность» Вт/(м-К) Иа2О к„о 1Л2О 3,0 5,0 0,2 48±1,5 843 4,8 4,5 — 49±1 3...5 — 858 0,84 2,0 5,0 1,0 72±2 — —. 873 — 7,0 7,0 0,6 88± 1 — — 773 — — — — 80 20 170 — 14,7 — — — 70...76 21 210 2000 25...38 479
Таблица 11.4. Характеристики корпусов микросхем Условное обозначение по ГОСТ 17467-79 Габаритные размеры Корпуса, мм Размер монтажной площади И- мм Рассюяние Mt жду ряда- ми выводов, мм Наличие металлизации на мон- тажной площадке на плос- кости основания —— 151.15-4, 151.15-5, 19,5x14,5x5,0 14,0X6,2 10,0 + 151.15-6, 1203.15-1, 4- 1203.15-2 4- — + — — 157.29-1 39,0x29,0X5,0 34,0X20,0 22,5 — 201.14-8, 201.14-9 19,2x7,5x5,0 4,2 X 3,2 7,5 + 201.14-10, 2102.14-2 5,0X3,0 4- — 2102.14-3 3,9 X 2,9 4- + - — ——, 201.16-5, 201.16-6 19,5x7,5x5,5 4,2x3,2 7,5 — — 201.16-8 5,0X3,0 + — 201.16-13, 201.16-15 19,2X7,5X5,0 4,4 х 2,2 7,5 + + 4- 201.16-17 7,0X3,5 — 4- —. 201. А. 16-1 19,2X10,0X4,65 6,0X50 10,0 + — 210А.22-1 28,0X9,8x4,95 5,0 X 4,0 10,0 4- — 210Б.24-1 29,5X14,8X4,5 7,5X7,5 15,0 4- — 210Б.24-3 — 244.48-11 31,0X25,0x3,8 /)=8,0 20,0, — 25,0 301.8-2 D=9,5; //=4,8 0=3,0 — — 301.12-1 4- — 302.8-1 D=9,5; //=5,5 4- —. 311.8-1 39,0X25,0X7,0 0=8,0 — + 4- 311.8-2 + 4- 311.10-1 4- 4- 401.14-3 10,0X6,5X2,3 4,9X2,0 — 401.14-4 10,0X6,7X2,2 + 4- 401.14-5 + 4- 402.16-21 12,0X9,4X2,7 5,2X3,1 — 402.16-23 + — 402.16-25 — + 4- 402.16-32 + 4- 402.16-33 4,1X3,1 402.16-18 12,8X9,4X2,8 5,5X4,5 — + 4- 4112.16-1 — 4112.16-2 4- 4- 4112.16-3 + 4- 4131.24-1 19,7X15,8X3,05 10,7X8,4 — 4- — 4131.24-2 — 4131.24-3 7,5X7,5 — 4- + 4119.28-1 18,25X2,75X3,0 5,0x5,0 — 4- 4- 4119.28-2 4- 4122.40-1 25,75X12,7X3,0 6,0 X 5,0 — 4- 4122.40-2 + 429.42-1 26,6X16,75X2,9 6,2X6,2 — + — 429.42-3 — — Примечание. D — диаметр; Н — высота корпуса. 480
Таблица 11.5. Некоторые конструктивно-технологические ограничения при использовании вакуум-плотиых корпусов Способ герметизации Марки соединяемых материалов Оптимальная ширина зоны контактиро- вания, мм Оптимальная толщина кро- мок соединя- емых деталей, мм Радиус зак- ругления в углах, мм Минимальное расстояние от свариваемых кромок, мм Контактная сварка 29НК-29НК 29НК-НП2 (1...2) S** 0,2...0,5 £sl,0 1.5.. .2,5* Электронно- лучевая сварка 29НК-29НК 29НК-НП2 0,5* 0,25...0,3* 1,0 До керами- ческих дета- лей 1,0, до металло- стеклянного спая 2,5 Пайка мяг- кими при- поями ПОС-61, ПСр-2,5 29НК-22ХС или «Пели- кор>, метал- лизирован- ные пастой 0,6 0,1* или бо- лее для ме- таллостек- ляииого спая Не ограни чивается До металло- стеклянного спая 0,1* Аргоноду- говая свар- ка 29НК-29НК 0,8* нли бо- лее 0.3...0.5 0.8...1.0 До металло- стеклянного спая 2,5 • Рекомендуемые ограничения не регламентированы. Максимальная толщина детали. первичную обмотку сварочного трансформатора. Вторичная обмотка соединена с электродами, выполняющими роль сварочного инструмента. Конденсаторную сварку осуществляют неподвижными полыми или подвиж- ными инструментами, которые в процессе сварки прокатываются по периметру корпуса. При больших периметрах корпусов предпочтительна роликовая сварка, так как при этом снижаются нагрев корпуса и необходимые усилия, а также не требуется высокая герметическая точность инструмента. При сварке неподвижными электродами свариваемый узел устанавливают в гнездо нижнего электрода, верхний электрод при опускании центрирует крыш- ку и сжимает детали с удельным давлением 50 ..100 Н/мм2. Поскольку при Рис. 11.5. Специальные рельефы на эле- ментах корпуса, герметизируемого конден- саторной электросваркой: 1 — крышка; 2 — основание Рнс. 11.4. Схема конденсаторной сварки неподвижными инструментами-элек- тродами: 1 —« герметизируемый корпус; 2 — электроды; 3 — электрическая цепь сварочной машины 31 Заказ Кв 1270 481
Рис. 11.6. Электроды для конденса- торной сварки: а — комбинированный; б — монолитный; / — основание; 2 — рабочая часть; 3 — фиксатор для центровки электродов Рис. 11.7. Способы увеличения кон- тактного сопротивления касанием ножки наклонным фланцем балло- на (а) или его торцом (б): нагреве за счет сварочного импульса происходит коробление шва, целесооб- разно дополнительно сжимать детали, т. е. создавать осадку. Рабочий цикл (сжатие электродов, сварочный импульс, осадка и подъем электрода) выпол- няются на установках автоматически. Оптимальный режим сжатия устанавли- вается варьированием емкости конденсаторной батареи, напряжения зарядки, коэффициента трансформации и усилия сжатия. Влияние усилия сжатия на параметры импульса проявляется через контакт- ное сопротивление свариваемых деталей: с увеличением усилия контактное сопротивление уменьшается, время разрядки при прочих постоянных парамет- рах сокращается (т=7?С), а пиковое значение тока возрастает. При выбран- ных значениях емкости батареи С и напряжения зарядки U подводимая в зону сварки энергия остается постоянной и равной CU2/2, что является преимущест- вом конденсаторной сварки. В то же время для концентрации выделяющейся в области контакта свариваемых деталей теплоты сопротивление контакта R, а следовательно, н начальное усилие сжатия должны быть оптимальными Для повышения контактного сопротивления основание — крышка (оно должно быть существенно выше контактного сопротивления электрод — деталь) на одной из свариваемых деталей предусматривают специальный рельеф по контуру (рис. 11.5). Электроды (рис. 11.6) должны обладать высокой электро- и теплопровод- ностью при высокой твердости (по Бриннелю не ниже 1 кН/мм2) и износостой- кости. Монолитные электроды выполняют из бронзы марок БрБ2, БрХБ или БрНБТ. Основание комбинированных электродов изготовляют из меди марок Ml, М2 или М3, а рабочую часть — из сплава ВМ (20...30 мас.% Си, 70...80 мас.% W). Для обеспечения минимального теплового сопротивления на- конечник припаивают к основанию серебряным припоем Фиксатор для цент- роики электродов изготовляют из латуни ЛС59-1. При роликовой сварке требуется предварительно приварить (прихватить) крышку к основанию в нескольких точках, для чего используют специальные фиксирующие приспособления. Роликовая сварка осуществляется при подаче сварочных импульсов с частотой 50 Гц. В процессе роликовой сварки контактное сопротивление между крышкой и основанием корпуса изменяется сложным образом, в соответствии с чем из- меняется и подводимая в зону сварки мощность. Регулирование режима сварки основано на изменении выходной мощности в зависимости от контактного со- противления, измеряемого между импульсами в пропессе сварки. При герметизации методами контактной сварки не требуется высокой пластичности соединяемых материалов, есть возможность соединять тонкостен- ные (до 0,15 мм) детали, а также автоматизировать процесс. Недостатком является невозможность сваривать медь и другие высокотеплопроводные ме- таллы, а также высокие требования к плоскостности равномерности толщины соединяемых деталей. .482
Конструкция корпуса должна исключать возможность выплеска металла внутрь корпуса. Типы корпусов, спроектированных под контактную сварку, приведены на рис. 11.2, б, в. Наилучшее качество сварного шва обеспечивается при соединении деталей из ковара 29НК или сплава 47НД с деталями из ни- келя НП-2, стали Х18Н10Т. Детали из ковара и сплава 47НД обычно гальва- нически никелируются. Контактную рельефную конденсаторную сварку по контуру по тепловому воздействию на корпус можно отнести к разряду низкотемпературных. В этом процессе длительность сварочного импульса настолько мала (20...80 мс), что выделяемая теплота не успевает распространиться в глубь тела корпуса, а преимущественно отводится в электроды, которые обычно выполняются из мед- ных сплавов с высокой теплопроводностью. Например, температура в центре основания наиболее распространенного корпуса типа ТО-5 к концу сварочного импульса едва достигает 313 К- Однако при этом необходимо учитывать, что отбортовка кромок корпуса, испытывающая значительный разогрев (более 1273 К) и удельное давление (12...18) -107 Па, подвергается заметной дефор- мации, которая может вызвать напряженное состояние спая, а в некоторых случаях привести к его разрушению. При импульсной шовной сварке наблюдается большой нагрев элементов корпуса. Например, в течение 1 с от начала сварки температура на крышке размером 10X10 мм достигает 383 К, а к концу сварки (через 3...6 с) повыша- ется до 493...523 К. При этом температура в центре керамического основания корпуса достигает 323 и 383 К соответственно. Для повышения качества и стабильности конденсаторной сварки по контуру в некоторых случаях отбортовке деталей специально придают наклонную кон- фигурацию (рис. 11.7), а сварку осуществляют за счет контакта острой кромки отбортовки одной из деталей с плоской поверхностью отбортовки другой детали. Для снижения температурного градиента при сварке осуществляют предва- рительный подогрев корпусов до 423 К перед герметизацией. Сварка током промышленной частоты с точки зрения снижения темпера- турных воздействий и повышения скорости процесса имеет определенные огра- ничения, так как величина пауз между импульсами изменяется. При сварке током повышенной частоты 800...1200 Гц значительные возможности открывают- ся вследствие независимого регулирования времени импульсов и пауз между ними. Это позволяет в широких пределах варьировать частоту следования. 1000 Ти + Тв ’ где тв — длительность импульса, мс; тп — длительность паузы, мс. Кроме того, длина каждой сварной точки, получаемая прн прохождении пучка высокочастотных импульсов при этом процессе, значительно больше. При подготовке сварочных машин к работе уделяется внимание параллель- ности поверхностей электродов, которые должны обеспечивать равномерное сжа- тие деталей по контуру. В обычных условиях параллельность проверяют с по- мощью копировальной бумаги, сложенной вдвое красящим слоем вовнутрь, которая сжимается в рабочем режиме установки между сваривающими поверх- ностями. Такой технологический прием обеспечивает контроль параллельности в пределах 0,05...0,10 мм. Для герметизации микросхем применяют также рельефную контурную сварку (РКС) как один из видов контактной сварки сопротивлением. Этот процесс обеспечивает соединение деталей по поверхности их касания, ограни- ченной искусственными или естественными выступами (рельефами). Контурной называют разновидность рельефной сварки по кольцевым, квад- ратным, прямоугольным или овальным замкнутым рельефам и обычно приме- няют для герметизации (т. е. создания герметичных соединений) сравнительно небольшого объема, расположенного между свариваемыми деталями. Рельефной контактной сваркой герметизируют изделия в металлостеклянных и металлокерамических корпусах лишь одного конструктивно-технологического исполнения (металлостеклянные) вследствие большого усилия сжатия. Эта зна- чительная группа массовых микроэлектронных устройств только для интеграль- 31 483
ных микросхем составляет 47...56% от выпуска изделий, герметизируемых всеми видами пайки и сварки, причем 11...13 % из них приходится на долю гермети- зации РКС. В соответствии с классификацией корпусов интегральных микросхем РКС герметизируют корпуса 3-го типа, т. е. изделия, имеющие цилиндрическое ос- нование и вертикальные штыревые выводы (3101—3108 и др.), а также зна- чительную часть корпусов 1-го типа с прямоугольным основанием и штыревым расположением выводов (151.14, 151.15 и др ). Отличительной особенностью корпусов, предназначенных для герметизации РКС, является наличие замкнутых рельефов, т. е. выступов или ограничителей площади соприкосновения свариваемых деталей. Это позволяет повышать удель- ное давление в контакте и увеличивать контактное сопротивление за счет уменьшения площади контакта. Роль рельефов могут выполнять сварочные по- яски или кольцевые отбортовки малой ширины (рис. 11.8, 11.9), которые де- лают на основаниях (ножках) или крышках баллонов корпусов маломощных транзисторов и диодов. Сварочные выступы, т. е. собственно рельефы (рис 11.9), выполняются на корпусах мощных полупроводниковых приборов и прямоугольных корпусах микросхем с большой протяженностью контура сварки. Рельеф может выпол- няться на промежуточном кольце из никеля или ковара, который напаивают на медный фланец ножки корпуса с целью повышения контактного сопротив- ления (см. рис. 11.8,в и 11.9,0). Сварочные рельефы с минимальным радиусом закругления вершины вы- полняют обычно чеканкой. Иа тонкостенных деталях рельефы изготовляют вы- тяжкой в виде гофры (рис. 11.8,а). Предпочтительным является выполнение рельефа на баллоне (крышке) корпуса. При герметизации корпусов из ковара 29НК и никеля НП2 оптимальная толщина свариваемых кромок должна быть 0,2...0,5 мм; оптимальные соотно- шения толщин свариваемых кромок 2; 3 или 3: 4; минимальное расстояние от кромки электрода до металлостеклянного спая на корпусе не менее 0,6... 1,0 мм; деталь из сплава 29НК в месте сварки должна иметь золотое или серебряное покрытие толщиной не менее 3 мкм; на одной из деталей, особенно если ее периметр сварки более 80 мм, следует изготовлять замкнутый сварочный рельеф с определенным сечением (табл. 11.6). В некоторых конструкциях корпусов, герметизируемых РКС, крышки не име- ют отбортовки (рнс. 11.10). Увеличения контактного сопротивления при РКС достигают путем касания фланца ножки корпуса наклонной отбортовкой баллона (см. рис. 11.7, а) или Рис. 11.8. Способы увеличения контактного сопротивления между ножкой и баллоном выполнением узких сварочных поясков (а), сварочных рельефов (б, е) и гофра (г) Рнс. 11.9. Конструктивные элементы кор- пусов мощных полупроводниковых прибо- ров с промежуточным кольцом (а) н без него (б): 1 — валлон; 2 — керамический изолятор; 3 — фла- нец; 4 — промежуточное кольцо; 5 — рельеф 484
Таблица 11.6. Рекомендуемые размеры сечения сварочных рельефов Наружный диаметр корпуса, мм Тип рельефа Диаметр рельефа, мм Размеры рельефа, мм Радиус скругле- ния, мм высота Л ширина у основания а ширина У вер- шины b 9,1 Сплошной 8,1 0,12...0,2 0,4...0,6 _ 0,15 15 Полый 14,1 0,2.. .0,3 0,4...0,6 — 0,25 22,5 21,5 0,2...0,3 0,5 0,25 0,25 32 Сплошной или полый 29,5 0,25...0,3 0.7...0.8 — 0,25 52 Сплошной 50 0,3...0,35 0,6...0,7 — 0,25 его торцом (рис. 11.7,6), однако в последнем случае достичь стабильных поло- жительных результатов не удалось. Начальное контактное сопротивление при прочих равных условиях зависит от усилия сжатия электродов. Зависимость изменения начального сопротивления корпусов с различными гальваническими покрытиями от усилия сжатия элект- родов (рис. 11.11) показывает, что: переходное контактное сопротивление существенно уменьшается при уве- личении давления и зависит от вида покрытия; на стадии образования физического контакта наивысшую стабильность переходного контактного сопротивления обеспечивает гальваническое покрытие одной из деталей золотом; несколько худшую — гальваническое покрытие се- ребром. В качестве наружного слоя покрытия корпусов используют никель, золото и серебро, а для подслоя — никель и медь. Покрытия производят чаще всего гальваническим и химическим осаждением, иногда напылением. На качество соединений при контактной сварке покрытых корпусов влияют: анизотропность, толщина покрытий и число слоев; различная твердость покрытий, приводящая к значительному изменению фактической площади контакта; наличие дефектов под слоями покрытий (пор, пустот, отслоений, окисных пленок). Рис. 11.10. Устройство для гермети- зации металлостеклянных корпусов без отбортовки: 1 колпачок; 2 — основание; 3 — верхний электрод; 4 — нижний электрод Рис. 11.11. Зависимость начального контактного сопротивления корпусов с различными покрытиями от вели- чины усилия сжатия электродов: Ro — сопротивление в начале сжатия; Ri — сопротивление при заданном давле- нии; F — усилие сжатия электродов 485
Существенными факторами, приводящими к появлению пористости сварного шва, являются различная твердость, наличие дефектов покрытий и высокая шероховатость свариваемых кромок. Условием получения качественного соединения при герметизации РКС яв- ляется поддержание определенных соотношений между усилием сжатия, ампли- тудой и длительностью импульса сварочного тока при согласовании скорости нарастания тока с инерционностью привода сжатия электродов. Время сварки исходя из технологических соображений, с одной стороны, должно быть малым, чтобы обеспечить минимальный нагрев активных элемен- тов и металлостеклянных спаев. С другой стороны, минимальная длительность нарастания импульса тока до максимума (или большая крутизна фронта сва- рочного импульса) ограничена, так как прн существующей инерционности при- вода сжатия возможно образование выплеска жидкого металла. При герметизации конденсаторной РКС отношение амплитудного значения сварочного тока к длине сварочного шва в среднем составляет 0,7... 1 кА/мм. Диапазон изменения усилия сжатия электродов также ограничен возмож- ностью образования выплеска (при малом усилии) и риском получения непро- вара (при амплитудном значении тока, превышающем требуемое), сильной де- формацией свариваемых кромок и увеличением габаритов корпуса. Кроме того, при увеличении усилия сжатия электродов приходится соответственно увели- чивать сварочный ток для компенсации уменьшающихся переходных сопротив- лений деталь — деталь и электрод — деталь. Намного большее (по сравнению с шовной контактной сваркой) усилие сжатия при герметизации корпусов РКС является в основном ее недостатком, препятствующим сварке многих изделий с планарным расположением выводов. Электроды для сварки корпусов. При рельефной сварке применяют элект- роды с плоской рабочей поверхностью, размеры которой обычно превышают размеры соединения. Конструктивно электроды для РКС выполняют в виде быстросъемных втулок двух типов: комбинированных и цельных (рис. 11.12), которые вкладываются в токопроводы сварочной установки. Основание 1 ком- бинированного электрода изготовляют из меди Ml, М2 или М3, а рабочую часть или наконечник (вставку) 2 — из сплава БрНБТ. Цельные электроды из- готовляют из бронзы БрНБТ и БрКБ, прошедшей термомеханическую обработку. На рабочих поверхностях не допускаются забоины, вмятины, заусенцы и другие дефекты. В некоторых случаях для компенсации неплоскостности рабочих органов сварочного оборудования и свариваемых деталей, а также для ком- пенсации перекоса сварочного инструмента верхний электрод выполняют со сферической головкой, которая, соприкасаясь с ответной сферой промежуточной детали, позволяет ему самоустапавливаться. Номинальные размеры рабочих ча- стей электродов, предназначенных для герме- тизации корпусов РКС, определяются сопря- гаемыми размерами свариваемых деталей. Контроль рабочей части электрода произ- водится визуально с помощью лупы при уве- личении не менее 4 или по отпечатку на ко- пировальной бумаге. Отпечаток должен быть равномерным, четким и замкнутым по всему контуру. Если он недостаточно четок, прове- ряется параллельность плоскостей токоподво- дов сварной машины с помощью рычажного индикатора с ценой деления 0,01 мм, закреп- ленного на индикаторной стойке. Допускаемое отклонение от параллельности плоскостей то- коподводов составляет не более 0,002 мм. Основным показателем выхода из строя элек- тродов является выработка или выкрашивание нх рабочего (внутреннего) пояска. Восстанав- ливают электроды, шлифуя их рабочую по- верхность до удаления выработанной области, а затем, если необходимо, внутреннее отвер- стие дополнительно обрабатывают. В случае О) 6) Рис. 11.12. Конструкции ком- бинированных (о) н цельных (б) электродов для герметиза- ции корпусов и центратора электродов: 1 — основание (медь); 2— наконеч- ник электродный (сплав); 3 — фик- элемеитов 486
прилипания свариваемых деталей к электродам необходимо бязевой салфеткой, смоченной спиртом, протереть рабочие поверхности верхнего и нижнего элект- родов до полного удаления нагара и затем сухой салфеткой протереть их до полного удаления спирта. Стойкость электродов до переточки (перешлифовки) колеблется в широких пределах в зависимости от материала свариваемых деталей, материала рабочей части электродов и размеров свариваемых кромок. В случае применения элект- родов из сплава БрНБТ рекомендуется перешлифовка рабочих частей после выполнения 100...150 сварок. При применении в электродах для РКС рабочих вставок из элконайта их восстановление рекомендуется проводить после 1800...2500 сварок. Во мно- гих случаях стойкость электродов для РКС может быть не меньшей, чем для точечной, если обеспечить достаточное охлаждение конструкции. При выполнении рельефной сварки часто используются вставки электродов из композитных материалов (псевдосплавов), изготовляемые методами порош- ковой металлургии. Наибольшее распространение из материалов этого типа получили вольфрамомедные композиции, кириты или элкоиайты. В СССР ис- пользуют также материал ВМ-25, который содержит 79...80% вольфрама и медь (остальное), имеет электропроводность, равную 25% от электропроводности меди, твердость 180. .200 НВ, температуру разупрочнения 1273 К. 11.4. Герметизация сваркой давлением Сварка давлением осуществляется за счет совместной пластической дефор- мации периферийных фланцев основания и крышки (рис. 11.13,а). Сближение свариваемых поверхностей на расстояние действия межатомных снл обеспечи- вает металлическую связь между поверхностными атомами. При холодной сварке отсутствует нагрев и связанные с ним газовыделение и выплеск металла внутрь корпуса; с ее помощью можно соединять металлы с высокой теплопроводностью, необходимые для микросхем повышенной мощ- ности. Процесс холодной сварки легко автоматизировать. В зависимости от свойств свариваемых материалов применяют одно- или двустороннюю сварку. Двусторонняя сварка выполняется пуансонами с одина- ковой геометрией рабочей части — торцевым выступом трапецеидального про- филя шириной 0,8...1,2 от толщины свариваемого фланца (рис. 11.13,6). Ее используют для соединения материалов примерно одинаковой пластичности. Чтобы исключить подрезку фланцев, необходимо точно совместить верхний н нижний пуансоны. Одностороннюю сварку применяют для материалов с различной пластично- стью. Во избежание подрезки более пластичного фланца пуансон, воздействую- щий на этот фланец, должен иметь плоскую и широкую торцевую поверхность (рис. 11.13,6). Основным фактором, определяющим каче- ство холодносварного шва, является величина относительной деформации Ъ—t h Ю0= — 100%, о о где б — суммарная толщина свариваемых кро- мок деталей; t — толщина сварного шва; h — суммарная глубина вдавливания пуансонов (при сварке внахлестку). Задавшись величиной деформации, можно вычислить толщину холодносварного шва 1=б(1-е/100). Минимальная деформация, необходимая для холодной сварки однородных и разиород- Рис. 11.13. Схема*" холодной односторонней сварки (а) и форма рабочей части пуансо- на (6): 1 —* верхний пуансон; 2 — крышка корпуса: 3 — основание корпуса; 4 — нижний пуансон 487
ных металлов, существенно отличающихся из-за различий в подготовке свари- ваемых поверхностей и исходном состоянии материала. В промышленных условиях считается, что сварка осуществлена правильно, если относительная деформация находится в интервале 78...85%. Однако с точ- ки зрения достижения не максимальной прочности, а необходимой герметично- сти для пары медь — ковар при герметизации маломощных транзисторов ока- залась деформация при односторонней сварке на 67%, а при двусторонней — на 72%. При сварке одноименных металлов ориентировочное удельное давление можно приближенно определять по формуле, заимствованной из теории объем- ной штамповки, исходя из условия, что ширина рабочей части пуансонов вдвое превышает необходимую толщину свариваемого металла: P=Ot | 1,5 + 100 \ 100-е /' где ат—предел текучести металла, МН/м2; е — относительная деформация, %. Ориентировочно можно считать, что давление обычно в 5 ..8 раз превышает предел прочности свариваемых металлов при растяжении Необходимым условием обеспечения качества сварного шва является отсут- ствие загрязнений на соединяемых поверхностях. Для исключения образования окисла целесообразно осуществлять защиту поверхности слоем никеля или хро- ма толщиной 3...9 мкм. При сжатии соединяемых поверхностей твердая пленка покрытия разрушается и в результате деформации выдавливается из зоны сварки. Эффективным способом подготовки деталей к холодной сварке является нанесение пленок никеля химическим или гальваническим методом При хими- ческом никелировании прочность сварного шва увеличивается и наиболее проч- ное сцепление получается при толщине пленки 20 мкм. Однако при этом ста- новятся ломкими проволочные выводы, никелируемые одновременно с фланцем корпуса. Поэтому толщину покрытия для малогабаритных приборов с тонкими выводами уменьшают до 3...6 мкм. Химическое никелирование не позволяет получать вакуум-плотные соединения стабильного качества, хотя и обеспечивает необходимую механическую прочность их. Отжиг медных баллонов в водороде при 673 К в течение 45 мин увеличивает микротвердость и, улучшив сцепле- ние с основой никелевого покрытия, обеспечивает стабилизацию герметичности соединений. Матовое гальваническое никелирование медных крышек обеспечивает тре- буемое качество герметизации без отжига. Оптимальной технологией подготовки медного баллона под холодную сварку является матовое гальваническое нике- лирование, обезжиривание кипящим четыреххлористым углеродом с последую- щей сушкой в термостатах при 393...423 К в течение 1 ч. Оптимальным явля- ется отношение толщин покрытия и основного металла, равное 0,01...0,02. Для обеспечения стабильности герметичности соединений необходимо по- крытие оснований корпусов. Оно выполняется химическим никелированием, подвергается нескольким технологическим травлениям во время сборочных опе- раций (уменьшаясь в толщине), промывкам в деионизованной воде и сушкам в термостатах и терморадиационных печах. Для холодной сварки часто коваровое основание покрывают более тонким слоем никеля (4. .6 мкм) и затем слоем золота толщиной 8...10 мкм. Основание корпуса подвергают термоотжигу в вакууме 0,013 Па, который совмещен с процессом пайки кристалла кремния на основание при температуре 883 ..943 К в течение 15...25 мин. Во время термоотжига никель проникает в слой золота, придавая ему хрупкость. Холодной сварке способствуют вакуум и воздействие вибраций. Поверх- ность свариваемых деталей перед холодной сваркой очищают путем удаления твердых поверхностных и жировых загрязнений, из которых наиболее опасны пленки поверхностно-активных веществ. При исследовании схватывания меди и алюминия в глубоком вакууме в области давлений от 1,3-10-3 до 1,3-10~7 Па требуемая для схватывания меди деформация последовательно снижалась от 38 до 7%. Очищенная в вакууме 1,3 10“7 Па поверхность алюминия загрязняется 488
Рис. 11.14. Разгрузочные (компенсационные) элементы холодносварных кор- пусов до и после соединения: а — тонкие вертикальные стенки; б — гофрированная крышка: в — тонкая легкодеформи- руемая крышка; е —* разгрузочная канавка в основании; / — крышка; 2— основание адсорбированными газами пропорционально произведению давления в камере на время выдержки, т. е. количеству поступающего к поверхности газа. Влияние пленки адсорбированных газов на свариваемость существеннее, чем влияние предварительного увеличения энергии поверхностных слоев (путем закалки, наклепа, легирования и т. п.). Вибрационное сварочное усилие позволяет снизить необходимое давление на пуансон или при сохранении максимальной прочности сварных соединений снизить необходимую деформацию. Качество холодносварного шва зависит от правильного выбора геометрии рабочих частей инструмента и конструкции элементов корпуса, прилегающих к свариваемым кромкам. Этн элементы должны поглощать выдавленный из сварной зоны объем металла и ограничивать развитие пластической деформации в той зоне корпуса, где располагается полупроводниковый кристалл или под- ложка. Компенсаторами служат тонкие, способные легко деформироваться, вер- тикальные стенки крышки или основания и специальная выемка, так называе- мая разгрузочная канавка во фланце основания. Реже применяют для этой цели гофрированные крышки (рис. 11.14). Герметизацию корпусов холодной сваркой осуществляют соединением по замкнутому контуру (периметру) внахлестку силами, нормально перпендику- лярными к свариваемой поверхности с одно- или двусторонним деформирова- нием. Менее распространено соединение при одновременном действии нормаль- ных и тангенциальных усилий, так называемая сварка сдвигом или продавли- ванием. Сварка с двусторонним деформированием рекомендуется в основном для соединения однородных материалов. Смещение выступов при сварке разно- родных металлов вызывает подрезание (утоньшенне) более мягкого. Для исключения возможности образования подрезов более мягкого металла крышки в месте перехода от горизонтальной кромки к вертикальной стенке при значительном смещении выступов инструмента (рис. 11.15) свариваемую кромку крышки изготовляют более толстой и широкой, чем кромка основания корпуса, с непременным перекрытием всей кромки основания выступом верхнего пуан- сона. При герметизации некруглых корпусов односторонней холодной сваркой с перекрытием верхним пуансоном всей свариваемой отбортовки (рис. 11.16) уменьшена жесткость и сопротивляемость деформированию в углах за счет уменьшения толщины свариваемой кромки основания и применения деформации на 8О...84%. Наряду со сваркой с деформированием в свободном объеме используют сварку с деформированием в замкнутом объеме: одностороннюю сварку с ча- стичным объемным сжатием, при которой пластическая деформация более мяг- кого металла ограничивается размерами выемки в инструменте. Для снижения требуемого удельного давления и уменьшения необходимой степени деформации свариваемую кромку более мягкой детали выполняют по высоте, равной выемке 489
Рис. 11.15. Схема образования под- резов при значительном смещении выступов инструмента Рис. 11.16. Схема односторонней хо- лодной сварки с перекрытием верх- ним пуансоном всей свариваемой отбортовки: 1 — основание, 2 — крышка; 3 — пуансон инструмента; 4 — матрица инструмента Рнс. 11.17. Схема односторонней хо- лодной сварки с деформированием в замкнутом объеме: 1 — кромка детали из мягкого металла; 2— матрица инструмента; 3 — выемка в матрице; 4 — кромка детали более твер- дого металла; 5 — пуансон инструмента Рис. 11.18. Типичная конструкция и размеры элементов корпусов для герметизации сваркой плавлением матрицы, н перекрывают кромкой детали из менее пластичного металла, которую односторонне деформируют выступом пуансона (рис. 11.17). К недостаткам метода следует отнести значительные пластические дефор- мации, требующие увеличения толщины фланцев (0,3...0,8 мм в зависимости от размеров корпуса), что увеличивает массу корпуса. Кроме того, необходимы специальные конструктивные меры для предотвращения распространения де- формаций в зону расположения изоляторов для выводов и в область крепления кристаллов (увеличение ширины фланцев, проектирование разгрузочных кана- вок и бортиков по контуру основания корпуса и т. п.). 11.5. Герметизация сваркой плавлением Методы сварки плавлением (аргонодуговой, микроплазменный, электронно- лучевой и лазерный) используют для герметизации корпусов, периметр сварки которых превышает 50 мм, а суммарная толщина кромок отбортовки в боль- шинстве случаев составляет 0.4...I мм. Для отечественных корпусов наиболее характерна отбортовка свариваемых кромок параллельно плоскости оснований, хотя для сварки лучевыми способами может также использоваться отбортовка, перпендикулярная плоскости основания корпусов (рис. 11.18). При разработке технологии герметизации корпусов сваркой плавлением следует учитывать: глубину проплавления, обеспечивающую заданную герметичность корпуса, которая по существу определяет требуемую мощность сварочного источника При 49Q.
Рис. 11.19. Схема взаимного расположения корпуса и элек- трода при аргонодуговой свар- ке (а) и характерные размеры соединяемых деталей (б): 1 — теплоотвод крышки; 2 — кор- riyc микросхемы; 3 — теплоотвод основания; 4 — корпус кассеты; 5 — электрод; 6 — сопло заданной скорости сварки (обычно 200 ..400 Вт в непрерывном режиме и 40—60 Вт в импульсном); мощность сварки, материалы и конфигурацию деталей, а также скорость сварки, влияющие на распределение температурного поля и максимальные тем- пературы в корпусе. Эта температура прямо пропорциональна мощности свароч- ного источника и при непрерывных режимах сварки может достигать до 573 К. при импульсных режимах 443...453 К. Возможно снижение температуры посред- ством применения теплоотводящих оправок и уменьшения диаметра луча; выбор мощности и скорости перемещения корпуса (а в импульсном режи- ме длительности импульсов и частоты их следования), определяющий скорости нагрева и охлаждения металла при сварке. При высоких скоростях охлаждения («жесткие режимы») в швах может появляться пористость, приводящая к не- герметичности. Пористость связана с выделением из сварочной ванны газов (в основном водорода) в процессе кристаллизации, когда растворимость их в металле скачкообразно уменьшается. При малом времени обезгаживания шва в случае высоких скоростей сварки наблюдается «кипение» сварочной ванны. Аргонодуговая сварка неплавящимся электродом. Сварка малоамперной дугой применяется при герметизации прямоугольных металлостеклянных корпу- сов со штырьковыми выводами. Сварку осуществляют в камере, заполненной инертным газом. Дугу дополнительно стабилизируют струей защитного газа (рис. 11.19). Для исключения обрывов дуги при переходе в процессе сварки с одного корпуса на другой в ряде случаев используют дополнительную (де- журную) дугу, а иногда и две-три дуги. Мощность их не превышает 1О...15% от мощности дуги во время импульса тока. При сварке материалов толщиной 0,3...1 мм проплавляющая способность импульсной дуги в 1,5...2 раза больше по сравнению с постоянно горящей дугой, а остаточные деформации снижаются почти вдвое. Для неплавящегося электрода используют прутки вольфрама ВТ-10 или ВТ-15, содержащие 1,5...2% тория. Угол заточки электрода, определяющий ра- бочие размеры катода и катодное падение напряжения, в значительной степени влияет на вольт-амперные характеристики дуги. Для предупреждения блуждания сварочной дуги по поверхности сваривае- мого металла при аргонодуговой сварке используются весьма малые диаметры электродов (до 0,4 мм) н очень короткая (до 0,6 мм) дуга. При этом для получения стабильной глубины проплавления металла допустимое отклонение дуги не должно превышать ±0,1 мм. В диапазоне малых токов круто падающая ветвь кривой вольт-амперной характеристики дуги перекрывается аналогичной кривой источника питания, в результате чего возможны только скользящие точки пересечения обеих характеристик, соответствующие нестабильным дугам. В качестве неплавящихся электродов применяют прутки вольфрама малого диаметра (с присадкой 1,5—2 мае. доли тория), конец которых заточен на конус с углом при вершине 15...30°. Электрод устанавливают под углом к тор- цам свариваемых кромок на расстоянии 0,3...0,6 мм, которое выдерживается предварительной настройкой с помощью щупа. Обеспечить такие геометрические параметры необходимо, чтобы исключить блуждание сварочной дуги по поверх- ности герметизируемого корпуса. Этому же, а также эффективному теплоотводу способствует и увеличение ширины фланцев. Кромки фланцев должны высту- пать из-под теплоотводов на расстояние, превышающее толщину фланца осно- вания или крышки на 0,1 мм. При герметизации аргонодуговой сваркой детали корпусов устанавливают в кассеты с медными теплоотводами (рис. 11.20). Кассеты закрепляются в по- 491
Рнс. 11.20. Сборка корпуса в теплоотводах кас- сеты: 1 — электрод; 2 — теплоотвод планарного вывода; 3— теплоотвод основания; 4 — корпус прибора; 5 — тепло- отвод крышки Рис. 11.21. Схема микроплазменной сварки: 1 — электрод; 2 — плазмообразующий газ; 3— корпус плазмотрона; 4— защитный газ; 5 — теплоотвод; б — свариваемые кромки фланцев корпуса микросхемы воротных центрах и выставляются относительно электрода на расстоянии дли- ны дуги. Горелка с электродом передвигается возвратно-поступательно вдоль кассеты с собранными деталями. После сварки одной из сторон микросхем кассету поворачивают на 180° и сваривают противоположные стороны. Для герметизации двух оставшихся сторон микросхемы должны быть перегружены в другие кассеты и сварены аналогичным образом. Для качественной герметизации необходимо: при использовании сплавов 29НК-Т в качестве материала основания кор- пус крышки изготовлять из ковара или нержавеющей стали 1Х18Н9Т; толщину кромок под сварку выдерживать 0,1...0,6 мм. Разница в толщине кромок крышек и оснований должна быть в пределах допуска на толщину про- ката Радиус закругления углов корпуса не должен превышать 1,5 мм. Откло- нение формы от плоскости не должно быть более 0,05 мм (для оснований) и 0,1 мм (для крышек); ширину отбортовок основания и крышки не допускается иметь менее 1 мм, а смещение кромок при сборке в кассетах — более 0,1 мм; наличие покрытий (никель, золото) на элементах корпуса перед герметиза- цией крайне нежелательно; для повышения коррозионной стойкости крышек и оснований до сварки рекомендуется применять химическое полирование. Микроплазмеииая сварка. Является разновидностью малоамперной дуговой сварки, использующей сжатую дугу с низкотемпературной плазмой для сварки металлов малых толщин. В этом виде сварки локализация и стабилизация раз- ряда достигаются сжатием его с помощью насадки с малым (менее 1 мм) диа- метром выходного отверстия — сопла (рис. 11.21). Поскольку возбуждение ду- гового разряда непосредственно на изделие через узкое сопло невозможно, то вначале возбуждается вспомогательная дуга между электродом и соплом, включенным через токоограничительный резистор. Образующаяся при этом низкотемпературная плазма (10 000 К) возбуждает основную дугу между электродом и изделием. Если полярность потенциалов изделия и сопла по от- ношению к электроду одинакова, то вспомогательный разряд шунтируется и гаснет. Относительно малые токи в дуговом разряде (до 40 А) при малом сеченин плазменного столба имеют высокую плотность, а цилиндрическая форма столба позволяет удлинять дугу практически без изменения параметров сварного шва. Этот процесс свободен от основных недостатков малоамперпой агронодуговой сварки: сравнительно большого активного пятна и нестабильности пря малых токах. Стабилизация струей плазмообразующего газа позволяет за счет умень- шения канала сопла плазмотрона существенно увеличить длину дуги н кон- центрацию энергии в источнике теплоты. 492
Прн сближении плазменной горелки со свариваемым изделием плазма «де- журной» дуги образует электропроводный столб между вольфрамовым электро- дом (катодом) и изделием (анодом), в результате чего возбуждается основная (зависимая) дуга. При этом дежурная дуга не отключается, ее возбуждение осуществляется высокочастотным разрядом осциллятора. Для оценки проплавляющей способности микроплазменной дуги необходимо знать распределение теплового потока по пятну нагрева. Для этого определяют диаметр пятна нагрева в различных сечениях дуги. Установлено, что колеба- ния длины дуги в пределах 1,5—3 мм практически не влияют на проплавляю- щую способность микроплазменной дуги, что говорит о постоянстве диаметра столба. Установка микроплазменной сварки МПУ-4 предназначена для сварки в непрерывном и импульсном режимах постоянного тока с прямой и обратной полярностями. Длительность импульсов тока и пауз 0,03...0,5 с, ток прямой полярности 3...30 А, ток обратной полярности (1,5...15 А, ток вспомогательной дуги 3...6 А. Расход плазмообразующего и защитного газов равен соответственно 0,2...0,8 и 2.. 8 л/мин. Плазмообразующим газом обычно служит аргон, а за- щитным — аргон, гелий-аргоновые или аргановодородные смеси. Электронно-лучевая сварка. Осуществляется за счет превращения кинети- ческой энергии ускоренных электронов в тепловую при торможении в свари- ваемых металлах. Электронный пучок образуется за счет эмиссии электронов с нагретого катода в вакууме, формируется и фокусируется на свариваемых кромках с помощью электростатических и электромагнитных лииз. В зависимости от значения ускоряющего напряжения электроны проникают в материал на некоторую глубину б,=2,1-10-|27/2/р, где U — ускоряющее напряжение, В; р — плотность материала, г/см3. Тепловой источник при электронно-лучевом воздействии распределен в про- странстве сложным образом: нормально по поверхности и глубине пробега электронов с максимумом иа некоторой глубине. При малых ускоряющих напряжениях (до 20 кВ) глубина проникновения электронов б составляет около 1 мкм, поэтому можно считать источник поверх- ностным и распределенным по гауссову закону. Эффективный КПД элект- ронно-лучевого нагрева составляет T)u=l— Krit где г, — коэффициент отражения электронов; К — коэффициент пропорцио- нальности, характеризующий распределение отраженных электронов по их энер- гиям Обычно К=0,45-0,50 Величина г определяется по эмпирическому соотношению т Г‘ crz43' где т — масса атома, г; с, — константа, имеющая значение для легких (г= = 6...47) элементов 10,7-10~23 г, для тяжелых (z=74...92) элементов 15,5-10-23 г. Диаметр фокального пятна электронного луча в электронно-лучевых уста- новках зависит от тока луча и расстояния от центра фокусирующей системы до свариваемой поверхности d= (t>+c2/)B—а/, где d — диаметр луча, мм; L — расстояние от оси фокусирующей системы до поверхности сварки, мм; 1—ток луча, мА; а = 8,9-10~4 мм/мА; 5 = 0,85-10~3; с2=3,04 • 10-5 л/мА. Значения термического КПД т)т, указанные иа рис. 11.22, при электронно- лучевой сварке могут быть рекомендованы для случая малых толщин. При герметизации прямоугольных корпусов их вращают вокруг оси, перпен- дикулярной оси электронного луча (рис. 11.23). Все точки периметра корпуса последовательно проходят под лучом на различном расстоянии (выше или ниже) 493
Рнс. 11.22. Зависимости термического КПД т]т от тока электронного луча (а) и ускоряющего напряжения (б): 1 — непрерывный режим сварки; 2 — импульсный режим Рис. 11.23. Схема лучевой сварки прямоуголь- ного вращающегося корпуса без изменения фо- кусного расстояния: 2а — угол сходимости луча; ft— максимальное откло- нение от фокальной плоскости от оптимального положения фокуса луча. Максимально допустимое отклонение от фокальной плоскости h можно определить из соотношения hCrctga^s/^**)1/2—1], (11.1) где г — радиус электронного луча в фокальной плоскости, см; qa— удельная мощность луча, Вт/см2; qa*' — критическая удельная мощность, при которой еще происходит расплавление свариваемых кромок, Вт/см2; а, — половина угла сходимости электронного луча. Значение критической мощности qa** (для непрерывного режима) <?«** — Р(с^пл + £пл)|/ 1 (112) где а — температуропроводность свариваемого металла, см2/с; VCB—скорость сварки, см/с; 6 — толщина свариваемых кромок, см; с—теплоемкость метал- ла, Дж/(г-К); Тпл, Lnn—соответственно температура и теплота плавле- ния, К и Дж/г. Для импульсного режима формула (11.2) имеет вид qa**=p(cTnn + Ьапуу^~ о/ти, где ти — длительность сварочного импульса, с. Равномерное распределение энергий по оси луча при вращении герметизи- руемого корпуса можно получить за счет высокочастотного (более 10s Гц) сканирования фокуса луча относительно точки О (см. рис. 11.23). В этом слу- чае луч с углом сходимости 2«! опускается относительно точки О на глубину h (амплитуда сканирования) за счет изменения угла 2аь который на глубине h 494
составляет 2а2. Связь амплитуды сканирования с параметрами луча следующая: + [(^а**)М2-1], (11.3) tg a2 J tg a2 где L — фокусное расстояние, см. При равенстве углов a) = a2 (фокальное пятно не сканируется, луч уста- новлен в точке О) выражение (11.3) переходит в (111). Шовная импульсная сварка энергией лазерного излучения. Сплошной шов формируется путем наложения сварных точек друг на друга с некоторым пе- рекрытием, характеризуемым коэффициентом перекрытия P=l[d, где I — длина перекрытия сварных точек на поверхности детали; d— диаметр лазерного луча. Основные геометрические характеристики сварного шва представлены па рис. 11.24. Так как форма сварной ванны при лазерной сварке обычно близка к сферической или конической, то глубина шва зависит от коэффициента пе- рекрытия и всегда меньше глубины проплавления материала в единичной свар- ной ванне. Выбор коэффициента перекрытия определяется необходимой мини- мальной глубиной проплавления, от которой зависят прочность и герметичность шва. Для обеспечения наибольшей глубины шва коэффициент перекрытия должен быть близок к единице. Скорость сварки при этом мала: V=fd(\ — P), где f — частота следования импульсов; кроме того, необходимо учитывать возможные структурные изменения в металле сварной ванны в результате многократного от 1 до 1/(1— Р) воздействия излучения на один н тот же участок детали. При сварке вакуум-плотных соединений в МЭУ типичными являются тре- бования глубины шва 0,1...0,3 мм; это позволяет во многих случаях ограничить- ся коэффициентом перекрытия 0,4.. 0,6 н увеличить скорость сварки. Оптимальная конструкция сварного соединения должна быть такой, чтобы требовалось минимальное тепловложение при сварке и обеспечивалась высокая степень герметичности соединения крышки с корпусом. На рис. 11.25 показаны некоторые конструкции сварных соединений и направление излучения. В каждом варианте может быть получено качественное соединение. Вариант, показанный па рис. 11.25, а, требует минимального тепловложения, поскольку энергия из- лучения подводится непосредственно к зоне соединения и необходимо лишь частичное проплавление. Однако имеется вероятность разбрызгивания металла и попадания его внутрь корпуса, что нежелательно. На рис. 11.25,6 представлен вариант вертикального направления излучения при соединении внахлест. Умепь- Рив. 11.24. Основные геометрические характеристики сварного шва, выполнен- . ного импульсным лазером: d —диаметр сварной точки; I— длина перекрытия; h — глубина проплавления; Н — глу- би на шва 493
Рис. 11.25. Способы соединения корпусов с крышками для герметизации шов- ной лазерной сваркой (стрелками показано направление излучения): а, в — встык; б — внахлест шейная толщина крышки (ступенька) в зоне сварки приводит к снижению тре- буемого тепловложения. Конструкция на рис. 11.25, в облегчает сборку и фик- сацию деталей и позволяет использовать прн сварке такое же тепловложение, как н в случае, показанном на рис. 11.25, а. Одновременно снижается вероят- ность попадания жидкого металла внутрь корпуса. При сварке корпусов, имеющих радиусы закругления, поверхность стыка смещается относительно положения фокальной плоскости рабочего объектива установки, что может привести к недостаточному проплавлению кромок и, сле- довательно, к возможной потере герметичности шва. При сварке углов корпуса, не имеющих закруглений (острых углов), возни- кают интенсивные выплески металла вследствие резкого уменьшения теплоот- вода в корпус. Минимальная величина, при которой не происходило выплесков, составляет 0,5 мм. На установках «Квант-10, 12, 17», обеспечивающих подачу в зону сварки импульсов излучения энергией от 1,5 до 10 Дж с частотой следования от 1 до 20 Гц, ие представляет труда герметизация малогабаритных корпусов, изготов- ленных из стали 36КНМ, 36НХТЮ, сплавов 29НК, 50Н. Основные характеристики серийного отечественного сварочного оборудова- ния на базе твердотельных лазеров приведены в табл. 11.7. Увеличения энер- гии излучения в импульсе можно достичь увеличением числа активных элементов лазера путем размещения нескольких активных элементов в одном осветителе («Квант-18») или установкой нескольких осветителей в одном резонаторе («Квант-15», «Квант-17»). Наиболее мошное излучение получают с помощью лазеров с активным эле- ментом на основе стекла, легированного неодимом Однако лазеры на стекле имеют очень ограниченный диапазон рабочих частот следования импульсов (до 1 Гц), что связано с большими тепловыми деформациями стекла, которые при- водят к разрушению стержня Напротив, активные элементы из АИГ могут ра- Таблнца 11.7. Некоторые характеристики отечественных Тип установки Энергия излучения, В, Дж Длительность импульса ти, мс Частота следова- ния импульсов А Гп СЛС-10-1 До 10 2; 4 До 0,5 Квант-10 10...30 4 До 1 Квант-12 До 3 1,5; 2; 2,5; 4 До 20 Квант 15 10...15 1,5; 2; 2,5; 4 0,1..20 Квант-16 30 4...7 0.1...1 Квант-17* 4 в одном луче 1,5; 2; 2,5, 4 0,1 10 Квант-18 До 150 8 0.1...1 • Двухлучевой полуавтомат. 496
ботать при частоте до 20 импульсов в секунду, поэтому находят свое приме- нение при шовной сварке деталей с протяженными стыками. Основными способами повышения производительности лазерных сварочных установок являются: использование оптики, позволяющей свободно манипулировать пучком из- лучения при сварке деталей различных конфигураций (отклоняющей оптики или гибких световодов) в сочетании с автоматизацией управления; разделение пучка излучения на несколько пучков и одновременная обработ- ка нескольких деталей; использование в одной установке нескольких лазерных излучателей. Для повышения качества сварки используется ряд приемов: формирование импульсов излучения особой формы; изменение параметров излучения в течение сварочного импульса; обработка изделия несколькими пучками излучения одно- временно или последовательно от одного или нескольких лазеров; обработка световым пятном особой конфигурации и др. Использовано излучение увеличенной мощности в течение относительно ко- роткого времени в начале каждого импульса, что позволило, не увеличивая мощности установки, быстро проплавить поверхностный слой металла и облег- чить дальнейшее проплавление детали на большую глубину. Возможна подача на лампу накачки двух импульсов тока от двух нако- пителей. Первый импульс с меньшей энергией обеспечивает более острую фо- кусировку излучения, большую плотность мощности и лучшее поглощение энер- гии материалом. Второй импульс следует непосредственно за первым и несет в себе основную, но менее остро сфокусированную часть энергии излучения, обеспечивающую необходимое проплавление материала детали. Необходимого изменения плотности мощности при сварке добиваются, из- меняя положение фокальной плоскости рабочего объектива установки в течение времени действия импульса излучения. В первой стадии цикла сварки излучение расфокусируется смещением фокальной плоскости вверх по отношению к опти- мальному положению; при этом металл детали плавится на небольшую глуби- ну. Затем перемещением фокусирующей системы фокальную плоскость опуска- ют в положение, соответствующее максимальному проплавлению. Плотность мощности излучения резко увеличивается, и стык проплавляется на заданную глубину. В последней стадии цикла сварки фокальную плоскость объектива возвращают в исходное положение. Такой способ позволяет уменьшить выплеск металла, улучшить внешний вид соединения и повысить его прочность. Для повышения качества соединения и улучшения его внешнего вида при сварке изделий по всему стыку осуществляют обработку излучением большой мощности с глубоким проплавлением, а затем «заглаживание» поверхности шва и излучением малой мощности или при нахлесточном соединении выпол- нение в верхней детали углублений конической формы короткими импульсами излучения высокой мощности, после чего по этим углублениям проводят сварку установок лазерной сварки на твердотельных лазерах Диаметр светово- го пятна мм Активный элемент Число активных элементов Число ламп накачки Число осве тите лей 0,4...1,5 Стекло с Nd 1 1 1 0,4... 1,4 Стекло с Nd 1 1 1 0,25... 1 АИГ с Nd 1 1 1 0.25...3 АИГ с Nd 2 2 2 0,7...1,4 Стекло с Nd 1 1 1 До 0,7 АИГ с Nd 2 2 2 — Стекло с Nd 2 2 I 32 Заказ № 1270 497
излучением малой мощности, в результате чего образуется зона проплавления конической формы. Эффективен способ лазерной сварки последовательно двумя лазерными лу- чами, которые получают путем расщепления основного луча с помощью полу- прозрачного зеркала. При этом первый луч вызывает частичное окисление сва- риваемых материалов, а второй осуществляет сварку. Для повышения эффективности лазерной сварки сварочная установка может снабжаться устройством, состоящим из системы полупрозрачных и полностью отражающих зеркал, с помощью которого сварка стыкового соединения произ- водится одновременно с двух сторон. Увеличения прочности сварного соединения можно добиться «стиранием» резких границ между зоной проплавления и основным металлом детали. Для этого используют промежуточный оптический элемент, изменяющий распределе- ние мощности излучения по сечению пучка таким образом, что уменьшение мощности на границе происходит постепенно, а не скачкообразно. Элемент пред- ставляет собой непрозрачную для применяемого излучения пластинку с отвер- стием в виде «солнышка» со множеством лучей либо прозрачную пластинку, имеющую ряд концентрических зон с различным коэффициентом поглощения данного излучения. Для сварки тонкой металлической фольги тугоплавких металлов необходимо использовать излучение достаточно высокой плотности мощности, сфокусирован- ное в световом пятне малого размера (0,1..0,2 мкм). Серийное сварочное обо- рудование (СЛС-10-1, «Квант-10», «Квант-16») не обеспечивает получения све- товых пятен требуемых параметров. Применение в этих установках телескопов повышенной кратности приводит к улучшению выходных характеристик уста- новок за счет ограничения выходящего из резонатора излучения входной линзой телескопической системы. Использование короткофокусных объективов зачастую неоправдано из-за уменьшения поля зрения и рабочей зоны установки. Пере- численные недостатки легко устраняются путем оснащения оборудования внут- рнрезонаторными диафрагмами. Применение таких диафрагм позволяет полу- чить световое пятно излучения диаметром 0,1 ..0,2 мм без существенного сни- жения энергетических характеристик излучения. Внешний вид сварного соединения и свойства металла сварной ванны за- висят от состава и состояния газовой атмосферы, в которой проводится лазер- ная сварка. Эти свойства определяют химическое взаимодействие металла де- талей с газами н способность газа ионизироваться и образовывать плазму, а также протекание теплового обмена нагретой поверхности с окружающей средой. Выбор оптимального состава газовой атмосферы осуществляют с точки зрения образования химических соединений в поверхностном слое металла свар- ной ванны или растворения газов в объеме ванны с соответствующими изме- нениями свойств металла. Вероятность образования плазменного облака над поверхностью сварного соединения зависит от способности газов окружающей среды ионизироваться, т. е. от их потенциала ионизации. Так, разбавление аргона на 50% гелием при подаче в зону сварки позволяет значительно снизить поглощение энергии излу- чения в плазменном облаке. От интенсивности образования плазменного облака зависит давление отдачи его на поверхность расплава, а значит, и форма по- верхности сварной зоны после кристаллизации металла. Газовая среда влияет на формирование поверхности сварной ванны для никеля и молибдена, в меньшей степени для ковара и практически не влияет для латуни, титана и коррозионно-стойкой стали. При сварке деталей излучением лазера с одновременным обдувом потоком защитного газа значительно уменьшается температура нагрева изделия, что связано с изменением поверхностной теплоотдачи детали в окружающую среду. Эффективность отвода теплоты с поверхности свариваемых деталей возрастает с увеличением расхода газа н скорости его истечения. При максимальных ско- ростях истечения газа среднее значение коэффициента поверхностной теплоотда- чи превышает его значение при сварке на спокойном воздухе более чем в 6 раз. Для защиты деталей при лазерной сварке, например, от окисления имеют значение организация потока защитного газа на выходе из сопла, конфигурация 498
сопла, расстояние от сопла до места сварки. Наилучшие характеристики имеют сопла смешанной формы, выполненные в виде сочетания конуса с цилиндром или имеющие образующую в виде кривой Витошинского. К конструкции сопла предъявляются следующие требования: надежная защита сварки, отсутствие сканирования частями сопла излучения; сопло не должно ухудшать условий освещения места сварки лампами подсветки, для этого нижняя часть сопла может изготовляться из цилиндрической стеклянной трубки, которая позволяет организовать подвод защитного газа непосредственно к месту сварки, не ухудшая условий его освещенности. Из экспериментов следует, что наилучщне условия защиты места нагрева при различных расходах защитного газа создаются использованием сопла с внутренним диаметром 10 мм. При выборе основных размеров верхней метал- лической части сопла необходимо учитывать угол сходимости пучка в процессе его фокусировки и угол, под которым устанавливаются лампы подсветки. Для улавливания паров металла над поверхностью обрабатываемой детали можно использовать лазерную сварочную головку со специальным кольцевым коллектором. Коллектор изготавливают из материала постоянного магнита, что снижает количество ионизированного металлического пара, повышает эффек- тивность действия излучения и уменьшает расход защитного газа. Коллектор располагают так, что излучение проходит через центральное отверстие кол- лектора. Для уменьшения интенсивности плазменного факела над поверхностью де- тали в рабочей зоне организуется замкнутый герметичный объем, в котором создается либо специальная атмосфера, либо вакуум. Этот объем ограничен поверхностью детали, фокусирующей линзой объектива, внутренним и наруж- ным соплами. Между наружным соплом и деталью существует зазор, через который в объем попадает воздух. Если зазор герметизируется, то в объеме может создаваться разрежение. В этом случае объем заполняется защитным газом. Для создания потоков воздуха оптимальных направлений в сопле де- лаются отверстия. Лазерная сварка стекла. Поглощение стеклом излучения с длиной волны 10,6 мкм позволяет использовать СО2-лазер для сварки стеклянных элементов электронных приборов: труб, оболочек, окон и т. п. Для сварки деталей из обычных стекол вполне достаточен уровень мощно- сти 50...100 Вт, а для сварки кварца и металлостеклянных спаев необходимы мощности 300 Вт и более. При обработке электровакуумных стекол ряд принципиальных преимуществ перед СО2-лазерами имеет СО-лазер. Относительно глубокое проникновение из- лучения СО-лазера в электровакуумные стекла позволяет локализовать ЗТВ, увеличить толщину сварных соединений, повысить их надежность, уменьшить градиент температуры по толщине стенки обрабатываемых узлов, снизить тре- бования по уровню мощности к источнику излучения. Отсутствие резких изме- нений мощности, объемный характер поглощения излучения лазеров на СО, а отсюда неизменность температуры на поверхности обрабатываемых изделий позволяют стабилизировать технологические процессы. 11.6. Герметизация корпусов пайкой Герметизация пайкой заключается в соединении металлических и металли- зированных поверхностей узлов корпусов с помощью припоя. Применяют раз- личные способы нагрева. Простейший способ нагрева (кондуктивный) заключается в применении нагревательных плит, на которые устанавливают предварительно собранные детали корпуса, прижимаемые с небольшим усилием. Припойные прокладки предварительно флюсуются погружением в раствор канифоли. Чем больше кор- пус, тем труднее его паять. Чтобы обеспечить равномерность нагрева по пери- метру корпуса при пайке, необходим тщательный контроль размеров нагрева- теля. Чем длиннее периметр корпуса, тем труднее выдержать допуски на раз- меры нагревателей. Недостатком этого способа является значительный нагрев основания, несущего активную часть МЭУ, а также необходимость применять 32’ 499
Таблица 11.8. Состав и свойства Марка припоя Химический Sn | РЬ Cd 1 B1 I ПОС-61 59...61 Остальное 0,1 ПОС-61+3% 57...59 Х> — — ПОС-61+3% 57:..59 —. — ПОСК-50 50 32 18 — ПОСИС-1 30 19 — — ПОС-40 39...40 Остальное -— 0,1 ПСр-2,5 5,5±0,5 92±1 — — ПСр-2 30±0,1 63± 1,5 5±0,5 — ПОСВ-33 33,4 33,4 — 33,3 ПОСК-47 47 36 17 — флюс, остатки которого трудно удалить полностью и который может попасть внутрь корпуса. Другой способ нагрева основан на подаче и обдуве корпуса со стороны крышки горячим газом. При использовании струи горячего сухого азота или аргона нет необходимости применять флюс. Пайку струей горячего газа выпол- няют на двухместных установках. В крупносерийном и массовом производстве пайку корпусов целесообразно выполнять в конвейерных печах с защитной средой. В этом случае используют конвективный способ нагрева. Для фиксации н прижима собранных деталей корпуса их устанавливают в кассеты, которые, в свою очередь, помещают на движущийся транспортер печи. Скорость движения транспортера и температур- ный профиль печи обеспечивают оптимальный цикл пайки. Достоинством пайки для герметизации является отсутствие значительных давлений при герметизации и специального инструмента, к недостаткам отно- сятся необходимость нагрева всего прибора до значительной температуры (473...693 К), вредное влияние флюсов и высокие требования по плоскопарал- лельности соединяемых поверхностей; если же пайка ведется без флюса, то необходимо работать в атмосфере водорода (который не всегда желателен) или дорогостоящего инертного газа. Для герметизации используют припои с температурой пайки от 353...358 К (сплав Розе) до 673...693 К (ПСр-3) и выше. Особенно распространенным явля- ется припой ПОС-61 (температура пайки около 483 К) и ПСр-2,5 (температура пайки около 613 К) (табл. 11.8). Основным технологическим условием создания паяного соединения является обеспечение взаимодействия жидкого припоя с твердым металлом, которое в основном обусловливается смачиваемостью. Сте- пень смачивания и растекания зависит от вида контактирующих металлов, со- стояния поверхности (наличия окислов, шероховатости), а также условий пайки (температуры, газовой среды, продолжительности пайки). Флюсы, применяемые при пайке, не только растворяют окислы на поверхности твердого металла, но и, являясь поверхностно-активными веществами, уменьшают поверхностное на- тяжение припоев, способствуют улучшению смачивания и растекания. При смачивании припоем паяемого металла возникает взаимодействие ме- таллов, приводящее при затвердевании к образованию связи между кристалли- тами. При этом могут наблюдаться три основных вида этой связи. Первый вид взаимодействия возникает тогда, когда паяемый металл и припой обладают взаимной растворимостью. Степень взаимной растворимости зависит от темпе- ратуры и времени пайки. Второй вид — химическое взаимодействие с образова- нием промежуточных слоев химического соединения припоя с металлом. Следует отметить, что наличие в припое даже в небольшом количестве компонентов, спо- собных взаимодействовать химически или с образованием твердого раствора с паяемым металлом, вызывает появление переходного слоя, в котором продиф- фундировали атомы из припоя и металла. Третий вид возникает при контакте 500
используемых для герметизации припоев состав, % Температура плавления, К Температура пайки, К J Zn 1 Ag Au 1 Sb 0,8 456 473...513 — 3 — 0,1 463 513...553 — — 3 0.1 463 513...553 — — —— — 418 453 50 1 — 403 443 —— — 1.5...2 456...508 523 — 2,5 ±0,3 — — 568...578 593...623 — 2±0,3 — —— 498...508 523...533 — — — — 403 443 — — — — 415 453 металлов, которые не вступают в химическую связь и взаимно не растворяются. Сцепление затвердевшего припоя с металлом объясняется действием металли- ческих сил. При пайке корпусов с золотым покрытием следует учитывать, что при ис- пользовании припоя в виде прокладки краевой угол смачивания по золоту со- ставляет 0° и припой неограниченно растекается по золотой поверхности крыш- ки корпуса. Поэтому процесс пайки осуществляют при строгом контролировании температуры; так, для ПОС-61 температура не выше 483 К. Следует учитывать, что качественно загерметизированные приборы в процессе хранения и испытаний, особенно термических, теряют герметичность, что связано с продолжением рас- творения нерастворившегося золотого покрытия в твердом припое, нарушаю- щим герметичность шва. В процессе хранения, испытаний и эксплуатации при- боров в паяном шве идут процессы упорядочения с изменением состава интер- металлических фаз, в результате чего возможны уменьшение прочности при- соединения крышки и потеря герметичности. Исключить потерю герметичности после термических воздействий можно пу- тем применения припоя с повышенной температурой плавления. Так, структура швов, паяных припоем ПСр-2,5, после герметизации и выдержки в течение 500 ч при температуре 423 К практически не меняется. Это объясняется тем, что по- вышение температуры плавления приводит к повышению температуры его ре- кристаллизации и резкому уменьшению скорости процессов возврата. Возможна пайка изделий, покрытых золотом, с использованием свинцового припоя в виде прокладки с нанесенным на нее покрытием из сплава олово-сви- нец. Пайку проводят в атмосфере инертного газа при 493...553 К- Пайка деталей, покрытых золотом, припоем на основе олово-свинец до сих пор сопряжена с рядом проблем, связанных с образованием интерметаллических соединений. Улучшение паяемости достигается при введении в припой индия, который по- давляет образование интерметаллической фазы и улучшает смачиваемость. При герметизации металлостеклянных корпусов МЭУ с использованием при- поя на основе олово-висмут-индий сохранить прочность и герметичность шва можно путем исключения золотого покрытия, так как в соединениях золото- золото после термообработки на границах шва образуется сплошная полоса интерметаллидов. Крышка корпуса легко отделяется от корпуса, разрушение идет по границе между никелем крышки и полосой интерметаллидов. В соеди- нениях никель-никель после пайки прочность хорошая, после выдержки прн температуре 423 К в течение 500 ч прочность почти не меняется. Хорошие результаты прн пайке дает эвтектика золото-олово, содержащая 80% золота и 20% олова и имеющая температуру плавления 553 К- Пайка осуществляется без применения флюса при температуре 593...623 К. Этот при- пой плохо течет, поэтому пайка требует дополнительного времени. Для улуч- шения растекаемости по золотому покрытию в припой золото-олово вводят 0,5...0,6 % висмута. Температура плавления такого припоя составляет 548 К, 501
температура пайки 583...603 К. Процесс пайки осуществляется в конвейерных печах в среде инертного газа. На качество герметизации оказывает влияние характер золотого покрытия. I ерметизацию золоченых корпусов пайкой без флюса можно осуществить при- поями, содержащими 99 99,5% олова и 0,5...1,0% висмута (последний может быть заменен на сурьму или серебро). Золотом должна быть покрыта не вся поверхность крышки, а только часть ее, входящая в зону шва, чтобы исключить растекание припоя по внешней и внутренней поверхностям его крышки. Тол- щина золотого покрытия при этом на крышке должна быть не более 1,5...2 мкм. Пайку осуществляют без припойнон прокладки за счет слоя припоя олово- ьпемут, нанесенного на крышку. Слой припоя наносится в виде рамки или ва- чка только по краю внутренней поверхности крышки Толщина его должна ( -ггь не менее 0,15 мм. Этот процесс используют в металлокерамическом кор- пусе. Керамическая крышка его имеет на одной стороне нанесенный в виде ге льца слой припоя. На основание корпуса тоже в виде кольца нанесено золото. При герметизации струей нагретого газа слой припоя на крышке плавится, сма- чивает золото и герметизирует корпус без использования флюса. Для снижения температуры внутри корпуса нагрев герметизируемого кон- тура проводят со стороны крышки корпуса подвижным источником теплоты — газоплазменным источником с использованием водородно-кислородного пламени, получаемого при электролизе. При этом скорость перемещения источника теп- лоты относительно корпуса соизмерима со скоростями распространения тепло- вого потока в материале крышки. Известно, что корпуса, герметизированные припоем ПОС-61, теряют герме- тичность при термических испытаниях или в процессе эксплуатации. Поэтому вопрос надежности герметизации не менее важен, чем вопрос исключения флю- са и снижения температуры при герметизации. Причины низкой надежности герметизации во многом заключаются в технологии изготовления крышки, так как золотое покрытие в зоне шва не все растворяется в припое. Оставшийся слой золота переходит в припой в процессе термических испытаний или эксплуа- тации прибора, связь крышки с припоем нарушается, прибор теряет герметич- ность, а часто и крышку. Обычно при пайке золотое покрытие наносят тол- щиной не более 2...3 мкм, чтобы в процессе пайки оно полностью растворялось в припое Роль золота при этом заключается только в том, чтобы улучшить смачиваемость основного металла припоем Золото должно ложиться на очень чистую, совершенно свободную от окис- лов и каких-либо солей поверхность основного металла или промежуточного слоя, защищая ее от окисления в процессе хранения или обработки деталей до момента пайки Очень часто на практике, особенно при золочении, поверх- ность никеля, на которую осаждается золото, пассивируется. В этом случае припой, растворив золото, не смачивает покрытую окисной пленкой поверхность никеля и качественное соединение получить не удается. Когда же золочение ве- дут из кислых электролитов, припой, растворив золотое покрытие, хорошо взаи- модействует в процессе пайки с поверхностью никеля, образуя очень прочное качественное соединение. Наиболее целесообразным с точки зрения надежности представляется такой процесс герметизации, при котором крышка имеет селек- тивное золочение (припой ОБИ), нагрев осуществляется струей горячего инерт- ного газа, а золотое покрытие полностью растворяется в припое во время пай- ки. В расплавленном виде припой взаимодействует с чистой поверхностью никеля, находящегося под золотом, образуя после кристаллизации прочное гер- метичное соединение. Для снижения температуры перегрева применяют импульсные источники нагрева—лазеры. Длительность процесса герметизации лазерной импульсной пайкой ие превышает времени ретардации, которое определяется временем вза- имного растворения припоя и металла крышки и временем образования интер- металлических соединений: е(£т4-£ж) 1 г=гоехр —------------ , где г0—постоянная времени; £т, Е>к — энергия активации диффузии в твердой н жидкой фазах. 502
Рис. 11.26. Зависимости усилия от- рыва крышки, припаянной к основа- нию, от времени предварительного оплавления припоя на основании на воздухе (/), в азоте (2) и в арго- не (3) Рис. 11.27. Зависимости процента выхода годных по герметичности корпусов от времени предваритель- ного оплавления припоя на их осно- ваниях на воздухе (7), в азоте (2) н в аргоне (3) Количественную оценку температуры перегрева крышки и времени пайки можно провести с помощью расчета. Графики зависимости температуры пере- грева крышки от времени (рис. 11.26) показывают, что максимальная темпера- тура (843...875 К при оптимальном режиме) достигается в момент окончания импульса. Прн этом длительность процесса пайки составляет примерно 10~2 с. Разность температур нижней и верхней поверхностей крышки не более 30 К, причем их максимумы сдвинуты по времени на величину ta=h2[‘la, где h—тол- щина крышки; а — коэффициент температуропроводности материала крышки Никель как материал для корпусов является термодинамически более ус- тойчивым, чем золото, что затрудняет пайку никелированных корпусов и тре- бует выбора специальных припоев и флюсов. При пайке плоских никелированных корпусов микросхем следует учиты- вать, что применение активного коррозионного флюса в прокладочном методе вызывает коррозионное поражение никелевой крышки, основания корпуса, а также алюминиевой металлизации кристалла. Если основание корпуса золоче- ное, то толстая припойная прокладка (120...130 мкм) создает благоприятные условия для роста хрупких интерметаллидных фаз. Применение водорода в качестве газообразного флюса при пайке никели- рованного корпуса приводит к водородному механизму коррозионного пораже- ния. Помимо этого в присутствии водорода уменьшается ударная вязкость и увеличивается хрупкость никелевых покрытий и паяного шва. Существенным не- достатком метода является также отсутствие универсальности и возможности автоматизации. Однако применение сильноактивных коррозионных флюсов приводит не только к коррозионному поражению алюминиевой металлизации, но также ни- келевой крышки и никелированного основания плоского корпуса. Кроме того, припой при оплавлении может иногда стекать в центральную часть кристалла. Последний недостаток устраняется тем, что при герметизации пайкой в водо- родной печи плакированная крышка располагается в кассете вверх герметизи- рованной поверхностью, однако для образования прочного паяного шва недоста- точно дозы прнпойного материала, плакированного на крышке. При пайке возможно использование пастообразного самофлюсующегося припоя, которым обслуживается ободок основания плоского корпуса. Метод обеспечивает полное исключение в процессе пайкв коррозионного поражения паяного шва, никелевого покрытия и алюминиевой металлизации. Имеется воз- можность дозирования припоя, а также автоматизации процесса герметизации. Критичным параметром является время предварительного оплавления (рис. 1127) Оптимальное время оплавления составляет 8...10 с. Поверхность припоя на основании плоского корпуса после оплавления в те- чение 10 с имеет крупнозернистый характер с налетами неметаллической фрак- ции. При увеличении времени оплавления до 20 с зернистость поверхности уменьшается за счет выгорания неметаллической фракции. 503
Рис. 11.28. Элементы керамического корпуса, герметизируемого стеклом: / — крышка; 2—технологическая рамка с выводами; 3 — основание Рис. 11.29. Полимерный корпус: 1 — баллон полиэтиленовый; 2 — флюс: 3 — трубка; 4 — пробка; 5 — подставка Отмывка в теплой деионизованной воде и водных растворах спирта с по- следующей сушкой также приводит к исчезновению неметаллической фракции и уменьшению зернистости припойного сплава. Хранение оснований с предварительно оплавленным припоем в защитной атмосфере в течение 30 суток не оказывает влияния на герметичность корпусов. Для керамических корпусов герметизацию можно осуществить путем пай- ки стеклом. Применение специального кристаллизующегося стекла позволяет при соответствующем тепловом режиме обработки использовать его не только для пайки внешних выводов к керамическому основанию, но и для пайки (герме- тизации) керамической крышки к основанию. Корпус состоит из основания и крышки, покрытых с внутренней стороны стеклоприпоем на основе низкотемпе- ратурной системы РЬО—ZnO—В2О3 (толщина покрытия до 0,3 мм). Особенно- стью выводной рамки является ее объемная конструкция: поскольку формовка выводов после герметизации недопустима, выводной рамке заранее придают необходимую форму (рис. 11.28). Выводную рамку получают штамповкой из сплавов 29НК или 47НД. Внутреннюю часть выводов алюминируют под по- следующую УЗ-сварку перемычек (толщина покрытия не более 5 мкм). Сборку выводов с основанием осуществляют в кассетах с одновременным креплением кристалла в углублении основания. При температуре 813...823 К н времени 30...35 с происходит припайка выводов и кристалла, причем кристал- лизации стекла за это время не происходит. 11.7. Герметизация на основе органических материалов Герметизация на основе органических материалов осуществляется в поли- мерных и металлополимерных корпусах. Их эксплуатационные характеристики определяются свойствами полимерных материалов и герметичностью проходной арматуры. Конструкция металлополимерного корпуса приведена на рис. 11.29. Рис. 11.30. Варианты конструктивного оформления клеевого шва и монтажной площадки армированного вывода: 1 — крышка; 2 основание; 3 — вывод; 4 — микросхема; 5 — монтажное соединение а — монтажный торец вывода предохранен от загрязнений при прессовании; б —торец вывода затекает пластмассой; в — торец вывода затекает пластмассой, но зачистка уп- рощена; 1 — основание; 2 клеевой шов; 3 — крышка; 4 — полость монтажа; 5 — вывод 504
Рнс. 11.31. Капсульные конструкции корпусов с вертикальными выводами (а), односторонняя пенальная (б) и двусторонняя пенальная (в) конструкции: 1 — капсула; 2 — микросхема; 3 — полимерное покрытие; 4 — вывод Штыревые выводы корпуса выполняются несколькими методами (рис. 11.30), конструктивное исполнение корпусов представлено на рнс. 11.31. Герметизацию металлополимерных и полимерных корпусов осуществляют клеевыми соединениями. Процесс склеивания состоит из подготовки клеевого материала и субстрата к склеиванию, нанесения клеевого материала на суб- страт, отверждения клеевого материала и последующей выдержки до момента эксплуатации. Подготовка клеевого материала определяется его химической природой. Если композиция однокомпонентна, состав гомогенизируют. Качество состава проверяют определением его концентрации или вязкости. Если клеевая компо- зиция состоит из основного компонента и структурирующего агента (отверди- теля), необходимо строго соблюдать режим перемешивания во избежание преж- девременного отверждения состава. При этом контролируют жизнеспособ- ность клея. Подготовка субстрата сводится к удалению с его поверхности загрязнений и генерированию на ней функциональных групп, взаимодействующих с группами, входящими в состав адгезива. Обычно подготовку проводят обезжириванием (обработка инертным растворителем), механической (обработка абразивами) или химической активацией поверхности субстратов (обработка окислителями). Нанесение клеевого материала на субстрат определяется в первую очередь вязкостью. Порошковые или гранулированные адгезивы следует наносить рас- плавлением или напылением, в том числе в электростатическом поле. Приме- нение пленочных клеев связано только с необходимостью обеспечения нужной конфигурации, определяемой геометрией склеиваемых поверхностей. Высоковяз- кие адгезивы требуют применения специального оборудования, работающего под давлением, однако в ряде случаев достаточно ограничиться кистью или шпа- телем. Растворимые клеи средней и пониженной вязкости наиболее удобно нано- сить на субстрат с помощью пульверизатора. Распространенным способом нанесения клеевых составов является кистевой способ. В современной технологии применяются постоянно питаемые кисти. Температура и продолжительность открытой выдержки определяют степень совершенства клеевого шва вследствие удаления нз него летучих компонентов. Помимо этого необходимость в открытой выдержке обусловлена кинетическим характером процесса сорбции адгезива поверхностью субстрата, связанным с необходимостью достижения равновесных условий формирования площади кон- такта, при которых обеспечиваются термодинамически наиболее выгодное распо- ложение макромолекул на подложке и геометрическое соответствие реакционных центров по обе стороны границы раздела фаз. Отверждение клеевого материала — важная технологическая стадия процесса склеивания. Ее особенности определяются закономерностями перехода адгезива в трехмерное состояние. На практике режимы отверждения задаются темпера- турой, давлением и продолжительностью. Некоторые клеи отверждаются уже при комнатной температуре (например, эпоксидно-полиамидные системы). Однако повышение температуры приводит к ускорению процесса склеивания и в конечном итоге к образованию более эф- фективной сетки химических межфазных связей. Часто рациональным режимом 505
отверждения является сложный ступенчатый нагрев. В технологии используют инфракрасные, высокочастотные, индукционные и другие источники нагрева. Давление в процессе отверждения клеев в основном определяет конструк- ционные параметры МЭУ. Без давления трудно обеспечить регулярные сетки межфазных связей. Давление предопределяет принудительный характер форми- рования склеек. Чем ниже приложенная к изделию нагрузка, тем более аморфна трехмерная структура отвержденного адгезива. Однако чрезмерная нагрузка может привести к вытеканию клея, образованию пустот в клеящем слое, загряз- нению ее торцов. Температура и давление склеивания предопределяют продол- жительность отверждения клея. Последующая выдержка склейки определяется необходимостью ее охлаж- дения, завершения процесса структурирования клеевого шва и релаксации воз- никающих в нем внутренних напряжений. Доотверждение адгезива и повыше- ние прочности склейки можно ускорить дополнительным подводом энергии (кро- ме тепловой), например у-облученнем дозой до 0,05 Кр. Заключительную вы- держку обычно проводят при комнатной температуре в течение нескольких часов (клеи горячего отверждения) или 1...3 суток (клеи холодного отверждения). Составы клеевого крепления и режимы для создания его перечислены в табл. 11.9, Таблица 11.9. Материалы для бескорпусной герметизации и способы их нанесения Изделие Назначение герметизации Г ерметизирующие материалы Способ нанесения материалов Полупроводни- ковые приборы и микросхемы Стабилизация пара- метров на стадии производства SiO2, S13N4, AI2O3, фосфорно- и бороси- ликатные стекла Термическое окисление, пи- ролиз, азоти- рование Герметизация изде- лий бытового и об- щепромышленного на- значения Компаунд ЭКМ, эма- ли КО-97, ЭП-91 Погружение, нанесение с иг- лы Тонкопленочные микросхемы Стабилизация пара- метров на стадии производства SiO2, GeO, легкоплав- кие халькогенидные стекла Вакуумное на- пыление Герметизация изде- лий бытового и об- щепромышленного на- значения Лаки ФП-525, УР-231, эмаль ФП-545 Распыление из пульверизато- ра, погружение, полив Эластичные компаун- ды типа «Виксинт», КТ-102 Заливка Толстоплеиоч- ные микросхе- мы Герметизация изде- лий бытового и об- щепромышленного на- значения Тиксотропный ком- паунд Ф-17 Обволакивание погружением с механической вибрацией Порошковые компаун- ды ПЭП-177, ПЭК-19 Обволакивание напылением в электростати че- ском поле, вих- ревое напыле- ние Бескорпусная и комбинированная герметизация. Герметизацию компаунда- ми проводят с целью заполнения конструктивных промежутков изделия поли- 506
меризующимися электроизоляционными составами и образования твердой моно- литной оболочки. Герметизируемое изделие в этом случае должно представлять собой бескорпусную сборку подложки (кристалла) с системой внешних выво- дов, в частном случае с применением ленточного носителя. Герметизирующие компаунды составляются преимущественно на основе эпоксидных, крсмнийорганических или полиэфирных смол. Эпоксидные компаунды характеризуются высокой адгезией, малой усадкой (менее 1%), высокими механическими свойствами. Недостатком жидких соста- вов является малая жизнеспособность, что исключает возможность централизо- вать их приготовление в больших количествах, а также затрудняет механиза- цию и автоматизацию процесса герметизации. В связи с этим предпочтитель- ны порошкообразные композиции, которые при нагреве и небольшом давлении приобретают высокую текучесть и хорошо заполняют форму. Порошкообразные композиции можно использовать в виде таблеток, что облегчает дозирование материала. Эпоксидные компаунды — основной вид герметизирующих пресс- материалов. На основе кремнийорганическнх смол можно получать эластичные компо- зиции (табл. 11.10). Эластичность, а также высокая прочность кремнийкисло- родных связей в структуре материала обеспечивают ему высокую термостой- кость (до 523 К, а при кратковременных нагревах до 623...673 К). Однако ме- ханические и адгезионные свойства кремнийоргаиических компаундов невысоки, что предопределяет основную область их применения — предварительная защита (обволакивание) сборки с целью фиксации гибких проволочных и ленточных перемычек. Полиэфирные компаунды обладают небольшой вязкостью и способностью к отверждению при комнатной температуре. Их применяют в основном для свободной заливки или обволакивания. Обволакивание — способ герметизации, включающий нанесение капли герметика на сборку или кратковременное погружение сборки в герметизирую- щий состав. В обоих случаях внешние выводы остаются открытыми. Состав должен обладать достаточно низкой вязкостью, которая при сушке медленно повышается Под действием сил поверхностного натяжения покрытие приобре- тает форму, приблизительно повторяющую форму сборки с заполнением мел- ких конструктивных промежутков. Для повышения механической прочности и герметичности обволакивание можно выполнять в несколько приемов с подсу- шиванием каждого вновь нанесенного слоя. Отверждение полученной оболочки производится после сушки (удаления растворителей) при температуре и вре- мени, которые указаны для каждой марки герметика. Для окончательной герметизации микросхем обволакивание не применяют. Этот процесс используют для предварительной защиты узла перед опрессовкой или свободной заливкой. Свободную заливку выполняют в открытом корпусе-капсуле (ме- таллическом или пластмассовом), в котором размещена сборка подложки с внешними выводами наружу. Для сборок со сложной арматурой целесообразно предварительно заливать компаунд в капсулу с последующим погружением и фиксацией сборки. Для фиксации положения сборки и исключения замыкания на металлическую капсулу выводов в конструкции сборки должны быть пре- дусмотрены соответствующие элементы. Чтобы адгезия к стенкам капсулы была высокой, используют главным образом эпоксидные компаунды (например, ЭК-16Б). Типичным примером конструкции микросхемы с использованием сво- бодной заливки является металлополимерный корпус (рис. 11.32). При свободной заливке отсутствует динамическое воздействие компаунда на сборку, что уменьшает вероятность обрыва проволочных и ленточных пере- мычек и позволяет в отдельных случаях исключать предварительную защиту их обволакиванием. Способ заливки не требует специального технологического ос- нащения, но имеет низкую производительность, так как индивидуальная дози- ровка компаунда не позволяет применить групповые методы обработки. Для облегчения дозировки используют таблетки из порошкообразных компаундов соответствующей формы и размеров с отверстиями или вырезами под выводы. Литьевое (трансферное) прессование является наиболее про- изводительным и дешевым способом герметизации в серийном производстве 507
Таблица 11.10. Физические и технологический свой Параметр ГК КЭН-1 КЭН-2 Вязкость по ВЗ-1 при 298±10 К, 20* 120 350 не менее Срок хранения, мес. 3 3 3 Жизнеспособность при 291 ±10 К, 2 5 6 ч Число компонентов в состоянии поставки 1 2 2 Режим отверждения, К/ч 20/20, 60/5 или 25/20, 1Ю/2, 80/3 60/4 или Диапазон рабочих температур, К 150/5 25/20, 80/3 213. .473 213...473 193..473 ЕПр, кВ/мм, не менее Удельное объемное сопротивление, 25 25 25 1014 10'5 1014 Ом-см, не менее Тангенс угла диэлектрических по- зю-3 ю-2 8 10-3 терь при 104 Гц, не более Относительная диэлектрическая 3,5 3,5 3,5 проницаемость, не более Водопоглощение за 7 суток, % 0,2** 0,15 0,3 * Измерения проведены с использован! 1ем вискозиметра ВЗ 4 Таблица 11.11. Физические и техно Показатель К-81-39 ЭФП-606 ЭФ П-60 Текучесть по спирали, см, не ме- нее 90 150 80 Срок хранения при 293...298 К, мес 0,5... 1 3 1 Время выдержки в форме, мин 3—5 3...5 3...5 Температурный коэффициент ли- нейного расширения, 1 /К 38-1О-6 36-Ю-4 35 10-4 Температура стеклования, К 373 373 383 Предел прочности при изгибе, МН/м3, не менее Удельная ударная вязкость, кДж/м2 70 70 70 8...10 5 5 Теплостойкость по Мартенсу, К 403 403 403 Удельное объемное электрическое сопротивление, Ом-см 1015 1014 10'4 Тангенс угла диэлектрических по- терь прн 1 МГц 0,02 0,03 0,03 Диэлектрическая проницаемость при 1 МГц 4,6 4,5 5 Электрическая прочность, кВ/мм 15 20 20 508
ства эластичных кремнииорганических компаундов кэн-з КЭН-4 КЭТ-1 КЭТ-1Н КЭТ-2 КЭТ-2Н 480 900 90 ,240 180.. 300 90...240 180...300 3 3 3 3 3 3 8 — >5 >5 >5 >5 2 1 2 2 2 2 60/3 или 80/2 60/3 или 80/2 25...20 + 110/2 + 150/2 24/8 193...473 203...523 193...523 193...523 173...523 173.. 523 27 25 25 30 34 29 1014 1015 10'6 1014 10‘5 1015 8-Ю-8 8-10-4 10~8 5-Ю-8 10-8 22/1О-2 3,5 3,5 3,5 3,5 3,0 4,0 0 0 0,07 0,02 о,п 0,03 •* За 24 ч. гические свойства пресс-материалов ЭК П-‘200 КЭП-1 ТЭМП-250 КФП тэп тмп 150 35 40 50 90 80 1 — — 2 2 6 5..7 зо-ю-6 5...6 32-1О-6 5.. 6 15-Ю-4 5.. 7 23-10-6 2...3 (20...25) • • Ю-® 4..6 25-10-® 413 60 383 75 403 65 433 45 433 80 433 80 5 5 18 3 — 8 443 10'4 1014 396 1014 >573 10'5 453 Ю18 До 473 1016 0,03 0,02 0,05 0,03 0,02 0,02 5 4,5 5 4,5 4,5 4,5 20 20 20 15 20 20 509
Рис. 11.32. Металлополи- мерные (а, б), пластмассо- вый (в) и полимерный (г) корпуса микросхем: / — корпус; 2 — вывод; 3 — по- лимер микросхем. В основе метода лежит использование временных (разъемных) пресс-форм, как правило, многоместных, с общей загрузочной камерой. В каче- стве исходного материала применяют пресс-порошкн компаундов на основе эпоксидных или кремнийорганических смол или их композиций. Для его осущест- вления используют пресс-материалы из табл. 11.11. Повышенной теплостойко- стью обладают эпокснкремнийорганические пресс-материалы ЭКП-200 и КПФ. Пресс-материалы ТЭП и ТМП обладают высокой теплостойкостью, достаточно низким ТКЛР. Помещенный в загрузочную камеру пресс-порошок (или таблетки) разогревается, переходит в жидкое состояние и под давлением через литнико- вые каналы подается в формующие гнезда. После выдержки под давлением в течение 3...5 мин давление снимается, пресс-форма раскрывается, а изделие выталкивается. Наиболее эффективно применять литьевое прессование для сборок на основе групповых плоских выводных рамок в виде отрезка ленты. Технологическая групповая рамка, удаляемая впоследствии обрезкой, упрощает загрузку много- местной пресс-формы, придает конструкции необходимую жесткость и несет иа себе базирующие отверстия, с помощью которых быстро и точно ориентируют сборки в гнездах пресс-формы. Изделия загружают в пресс-форму, смыкают ее и заливают материал под давлением. Далее путем приложения усилия замыкают пресс-форму (фиксация давления) и выдерживают необходимое время, а затем открывают ее и извле- кают герметизированное изделие. Технические характеристики установки герметизации пластмассой УГП-50 приведены ниже. Технические характеристики установки герметизации пластмассой УГП-50 Усилие на штоке литьевого цилиндра, кН: при опускании .............................5... 120 при подъеме..................................... 19... 100 Усилие на зажимной плите пресса, кН: при ускоренном подъеме...........................35 при замедленном (сжатие пресс-формы) .... 50 Ход зажимной плиты пресса, мм...........................230 Ход штока литьевого цилиндра, мм........................230 Наибольшее расстояние между верхней и зажимной пли- тами пресса, мм .... . 630 Размер площади на зажимной плите пресса для разме- щения пресс-формы, мм................................... 500x500 Потребляемая мощность, кВт...........................12 Размеры, мм . . ....................... 1460x1000x2750 Масса, кг ........................................ 2300 Вблизи ребра кристалла, залитого жестким полимером, возникают значи- тельные термические напряжения, которые уже при комнатной температуре близки к пределу прочности полимера. Область значительных касательных на- пряжений и сдвиговых деформаций приходится на место присоединения иро- 510
водников разводки, что является причиной их обрывов. Следовательно, в кон- струкциях микросхем необходимо избегать контакта ребра кристалла и поли- мерного корпуса, например, за счет введения эластичного подслоя. Однако дей- ствие подслоя эффективно лишь в том случае, если технология его нанесения гарантирует создание достаточно толстого слоя эластика непосредственно на ребре кристалла. Высокую надежность герметизации обеспечивают комбинированные способы защиты поверхности полупроводниковых структур иизкомодульными полимерами, в частности кремнийорганическими эластичными компаундами в сочетании с защитными материалами. Среди эластичных защитных кремнийорганических материалов в полупро- водниковой технике применяют компаунды типа КЛТ-30, «Эластосил», «Вик- синт», НК. Высокими электроизоляционными свойствами, хорошей адгезией, длительным сроком хранения и технологичностью отличается однокомпонентный компаунд марки ГК (ТУ ЫУО, 028.021). Для приборов, не подвергающихся действию высоких температур, разрабо- тана серия эластичных компаундов низкотемпературного отверждения КЭН-1, КЭН-2, КЭН-3, КЭН-4 (ТУ ЫУО.028.055). Для компаундов КЭН-1, КЭН-3 ха- рактерна хорошая технологичность: время жизнеспособности после смешения с катализатором вулканизации составляет 5...8 ч, отверждение при 333...353 К происходит в течение 2...5 ч. Слои компаунда КЭН-2 толщиной до 15 см от- верждаются как в закрытом, так и в открытом объеме с незначительным выде- лением теплоты. По сравнению с другими ненаполненными компаундами, раз- работанными на основе каучука СКТН, компаунды КЭН-2 и КЭН-3 отличаются более высокой прочностью. Термостойкие компаунды типа КЭТ (ЫУО.028.047 ТУ) допускают эксплуа- тацию в широком диапазоне температур (от 173 до 523 К). Они выдерживают кратковременный нагрев до 623. .673 К и могут отверждаться в слоях значи- тельной толщины. Свойства эластичных компаундов приведены в табл. 11.10. Из кремнийорганических пассивирующих материалов перспективен материал КА (ЫУО.029.66ТУ), который в сочетании с компаундом КЭТ-2Н позволяет по- высить стабильность параметров приборов при повышенных температурах. В качестве защитных материалов широко используются одно- или двух- компонентные материалы холодного отверждения и двухкомпонентные мате- риалы ускоренного отверждения. Первые отверждаются обычно при комнатной температуре в присутствии влаги воздуха (после чего возможен высокотемпе- ратурный отжиг) с выделением небольшого количества побочных продуктов. К ним относятся такие эластомеры, как эластосил 21-03, материалы типа КЛ, ГТ-0, «Виксинты» ПК-68, К-18 и др. Материалы ускоренного отверждения (НК-1, эластосил 159-26) отвержда- ются при температуре 373...423 К без выделения вредных побочных продуктов и после отверждения обладают более высокими физико-механическими пара- метрами по сравнению с материалами холодного отверждения. Для защиты элементов МЭУ, работающих в диапазоне температур 213 ..473 К (ТУ-6-299-77) разработан материал ускоренного отверждения — ком- паунд 159-167 (СИЭЛ). Компаунд представляет собой смесь модифицирован- ного кремнийорганического каучука и олигодиметилгидридсилоксана с 1%-ным раствором платинохлористоводородной кислоты в изопропиловом спирте. В не- отвержденном состоянии это однородная прозрачная жидкость, имеющая вяз- кость не более 50 Па-с, жизнеспособность при 293 К не менее 6 ч. Компаунд отверждается без выделения вредных побочных продуктов в интервале темпе- ратур 293...473 К- Без катализатора компоненты компаунда могут храниться при комнатной температуре в течение года. Основные характеристики компаунда СИЭЛ и характеристик отвержденных компаундов ГК, НК 1 и эластосила 21-03 приведены в табл. 11.12 и 11.13. Высокая прозрачность ненаполненного компаунда позволяет применять его для заливки светоизлучающих диодов и матриц из них, а также ряда фото- электронных приборов и устройств. В то же время легкое подкрашивание компаунда, делающее его практически непрозрачным, дает возможность исполь- зовать СИЭЛ для защиты активных структур, которые нежелательно подвер- гать действию света. 511
Таблица 11 12 Основные свойства компаунда 159—167 (режим отвердения: 6 ч при 423 К) Параметр Температура, К 213 | 293 | 473 Внутренние напряжения, МПа 0,8 (8) 0,15 (1,5) Коэффициент запаса прочности 5,5 24 20 Удельное объемное электрическое сопротивление, Ом-м —— 5-Ю'7 5-Ю'4 Тангенс диэлектрических потерь на частоте 1 кГц — io-3 2-10-’ Относительная диэлектрическая про- ницаемость на человеке 1 кГц 2,9 2,5 Электрическая прочность, МВ/м — 25 •— Водопоглощение, % —-« 0,2 — Содержание ионогенных примесей, % ——“ io-6 — Светопропускание в видимой обла- сти спектра пленки полимера тол- щиной 0,5 мм — 95%' •—’ Светопропускание пленки толщиной 0,5 мм, пигментированной окисью хрома Ио Таблица 11.13. Основные физико-механические свойства защитных эластомеров при различных режимах отверждения 159-167 (СИЭЯ) Эластосил 21-03 НК-1 Показатель Модуль упругости, МПа Прочность при растяже- нии, МПа Относительное удлине- ние перед разрывом, % Адгезия покрытий, МПа: к кремнию к золоту к алюминию к стеклу к стеклотекстолиту 0,5 1,5 0,42 1,7 275 145 2,5 3,3 120 3,5 1,5 3,5 3,6 2,9 2,7 10,36 0,42 0,48 0 68 0,72 1,2 1,3 3,6 3,5 {0,28 0,3 0,34 0,58 0,7 0,75 0,85 105 100 140 125 85 315 330 70 80 4,2 1,5 2,0 4,0 4,0 4,5 1,6 2,2 4,0 4,2 0,1 0,5 0,25 0,3 Примечание. Компаунд ГК и эластосил 21-03 перед отверждением при 333 и 373 К должны выдерживаться сутки прн 293 К. Оптически прозрачные герметизирующие материалы. Для герметизации све- тоизлучающих диодов, цифробуквенных индикаторов и других оптоэлектронных приборов разработаны оптически прозрачные материалы: жидкие и порошко- образные заливочные компаунды марок ОП-3, ОП ЗЛА, ОПР, ОР, ОП, пресс- материал марки ОПП и оптические клеи марок ОПН-1, ОПН 2, ПО-2, ОПК-1, ОПК-2 (табл. 11 14). Высокое светопропускание этих материалов со- 512
Таблица 11.14. Свойства оптически прозрачных компаундов и пресс-материалов Параметр оп-з ОП-ЗМ ОПП-1 ОР ОП1 -2 Л-1 Показатель пре- ломления Светопропускание образцов толщи- ной 1 мм, %: 1,517 1,515 1,574 1,505 1,485 1,54 при Х = 430... 500 нм 74...82 72...82 80...86 — 82...90 0...30 при Х = 500„ 800 нм 82...90 82 88 86 — 90.95 30...85 Интегральное све- топропускание при Л = 633 нм, % — — — Не бо- лее 70 — — Светорассеивание под углом 30°, % — — 12 — — Тангенс угла ди- электрических по- терь, не более 0,015 0,015 0,03 0,02 0.025 0,03 Относительная ди- электрическая про- ницаемость *, не более 4,0 4,0 4,0 4,0 4,2 3.8 Удельное объемное электрическое со- противление *, Ом-см, не менее 10'5 1015 1014 1015 10'5 1014 Водопоглощение в холодной воде за 24 ч, %, не более 0,25 0,28 — 0 35 0,2 0,5 Температурный коэффициент ли- нейного расшире- ния, 10~6 1/град 50...55 65...70 55 45...50 65...70 84 Предел прочности на разрыв, МПа 20 30 73 40 40 — Рабочая темпера- тура, к 398 398 403 398 373 для синей и 398 для ос- тальной видимой части спектра 398 • Значения параметра измерены при температуре 293 К и частоте I04 Гц. 33 Заказ № 1270 513
Рис. 11.33. Светопропускаиие образцов ком- паунда ОП-З в исходном состоянии (/), после хранения в течение 30 суток в ка- мере влаги (2), после хранения в течение 1000 ч при температуре 398 К (3) Рис. 11.34. Спектры пропускания компаунда ОП: желтого цвета красного цвета (2), зеленого та (3) Рис. 11.35. Светопропускание образ- цов клея ОПН-1, ОПН-2 в исходном состоянии (/), после хранения в те- чение 30 суток в камере влаги (2), после хранения в течение 1000 ч при температуре 398 К (3) (Л, цве- храняется и после длительного воздействия повышенной температуры и влаж- ности (рис. 11.33). Для увеличения контрастности свечения приборов герметизирующие ком- паунды окрашивают в красный, желтый, зеленый цвета. При этом спектр про- пускания окрашенного компаунда согласовывают со спектром излучения прибо- ра (рис. 11.34). Разработаны светорассеивающие компаунды марок ОПР, ОР, которые име- ют высокое интегральное светопропускание н интенсивное светорассеяние, что позволяет значительно расширить угол обзора индикатора. Герметизация компаундами ОП-З, ОП-ЗМ, ОПР, ОР осуществляется за- ливкой в форму: герметизация порошкообразным компаундом ОП требует его предварительного плавления с последующей заливкой или нанесения предвари- тельно отформованной таблетки на герметизируемый прибор с последующим плавлением и отверждением. При использовании пресс-материала ОПП при- меняется трансферное прессование при удельном давлении 0,3.. 5 МПа. При герметизации стеклянных и стеклокерамических корпусов используют порошкообразные н пленочные оптические клеи, отверждаемые при температуре от 293 до 413 К. Для оптических клеев марок ОПН-1, ОПН-2 температура отверждения со- ставляет 293...353 К. Эти клеи обладают повышенной влагостойкостью и ста- бильными оптическими свойствами (табл. 11.15, рис. 11.35). Пленочный оптиче- ский клей ПО-2 и порошкообразные термостойкие клеи ОПК-1 и ОПК 2 позво- ляют механизировать процесс сборки и герметизации оптических деталей Клеи обладают повышенной термостойкостью, высокими диэлектрическими и адге- зионными свойствами, высоким светопропусканием (рис. 11,36, 11.37). Клеи могут быть изготовлены в виде пластинок определенной конфигура- ции, таблеток, стержней, карандашей или в виде твердого покрытия на поверх- ности склеиваемых деталей. Клеи для криогенной техники. При низких температурах клеи работают как конструкционные материалы, поэтому к ним предъявляются повышенные Требования по прочности, рекомендуется использовать клеи на основе поли- 514
Таблица 11.15. Свойства оптических клеев П араметр Внешний вид Показатель поеломления: С-1 К сх Н сЗ 2 о I ё 84 S t: с 33 к р, 1) а S □ □ к ад о =Х L> ад □ ?8 33* 515
Значения параметра измерены при температуре 298±5 К и частоте 10* Гц. 516
Рис. 11.36. Светопропускание клея ПО-2 в исходном состоянии (/), по- сле хранения в течение 1000 ч при температуре 393 К (2) Рис. 11.37. Светопропускание клея ОПК в исходном состоянии (/) и после хранения в течение 1000 ч при температуре 423 К (2) Таблица 11.16. Состав эпокситита- носодержащих клеевых композиций Марка клея Состав, % Смола | Отвердитель К-8/8 50 50 К 1 90 10 К-82/2 90 10 К-115/2 90 10 К 77/5 30 20 К-73/4 77 23 Таблица 11.17. Физико-механические свойства клеевых композиций Параметр К-8'8 К-1 К-82 2 К-115/2 К-77 К-74 3 К-67 Предел прочности при сдви- ге, МПа: в исходном состоянии 14,7 39 13,1 9,1 6,6 7,2 78 после 10 термоциклов по 30 мин от 77 до 423 К 14,3 6,7 15,4 10,6 9,8 6,4 8,5 после выдержки при тем- пературе 77 К в течение 100 ч 15,9 5,7 15,3 6,8 8,4 8,2 9,0 после выдержки в каме- ре влаги при 98 %-ной влажности и температуре 313 К в течение 4 суток Теплопроводность, Вт/(м-к); 15.3 5,7 13,0 7,7 7,3 8,0 5,4 в исходном состоянии 1,5 2,0 — —- 2,0 — 1,5 после 10 термоциклов от 77 до 423 К 1,0 0,9 — — 0,8 — 1,0 после выдержки при тем- пературе 77 К в течение 100 ч 1,0 1 — — 1,0 — — после выдержки в тече- ние 4 суток в камере при 98 %-ной влажности и температуре 313 К 0,8 0,5 0.8 517
уретанов и ароматических полимеров, содержащих гетероциклы (полибензими- дозолы, полиимиды, полиимидазохиноксалины и лестничные полимеры). Клеящие материалы на основе эпоксидных смол обладают высокими физико- механическими свойствами при криогенных температурах, минимальной усадкой при отверждении (до 2%), высокой адгезией к большинству конструкционных материалов, высокой когезионной прочностью, возможностью отверждаться при нормальной и повышенной температурах и хорошими технологическими свой- ствами. К их числу относятся композиции К-1, К-8/8, К-82/2, К-115/2, К-77/5, К-73/4, представляющие собой однокомпонентные клеи на основе эпоксититано- содержащих олигомеров и отвержденные олигомерными отвердителями (табл. 11.16), а также композиция К-67 на основе эпоксидной смолы ЭД-20 и отвердителя полиангидрида себациновой кислоты (УП-607). Отверждение эпокси- титаносодержащих композиций проводится по ступенчатому режиму: подъем температуры до 453 К в течение 3 ч, выдержка при 453, 463, 473 К по 3 ч. Композиция К-67 отверждается при температуре 393 К в течение 24 ч. В табл. 11.17 приведены данные по испытанию клеевых соединений при склеивании после воздействия различных факторов. Для получения теплопро- водных клеев в К-8/8, К-1, К-115/2 и К-67 добавляют в качестве наполнителя нитрид бора. Оптимальным является объемное соотношение основы и наполни- теля 10:7. Максимальная теплопроводность в этом случае составляет 1,5...2,0 Вт(М К). 11.8. Соединения выводов МЭУ на печатном монтаже Типовые конструкции элементов и их соединений в узлах радиоаппаратуры, выполненной на печатном монтаже, приведены на рис. 11.38. Соединения пе- чатных проводников с выводами элементов осуществляются на контактных площадках или в металлизированных отверстиях печатных плат без промежу- точных контактов (лепестков, монтажных стоек и т. п.). Печатные проводники и нх контактные площадки выполнены из медной фольгв толщиной 35...50 мкм, предварительно приклеенной к гетинаксовой или стеклотекстолитовой подложке платы. Материалы печатных плат (стеклотекстолит, гетинакс, клеи, применяе- мые для их фольгирования) чувствительны при пайке к тепловому воздействию и к составу паяльных флюсов и растворителей для отмывки их остатков. В конструкции паяных соединений между поверхностями сопрягаемых мон- тажных элементов предусматриваются оптимальные зазоры, определяемые со- ставом выбранного припоя и особенностями процесса пайки. Оптимальный зазор при пайке оловянно-свинцовымн припоями для типовой конструкции соединения (рис. 11.38,6) устанавливается соотношением d0=d,+ (0,2. .0,3), где do — диаметр металлизированного отверстия; dB — диаметр вывода ЭРЭ. Фактически зазопы выполняются превышающими 0,4 мм; при этом не учи- тывается, что удельное электрическое сопротивление оловянно-свинцовых при- поев почти в 10 раз больше, чем у меди. С увеличением зазоров возрастает переходное сопротивление паяных соединений и расход дефицитных высокооловян- ных припоев, снижается механическая прочность, растет число усадочных ра- ковин и увеличиваются затраты ручного труда. Паяные швы электромонтажных соединений должны быть без избытка припоя, иметь преимущественно скелетную форму с вогнутыми и непрерывными галтелями припоя по всему периметру соединения (рис. 11.39). Скелетная фор- ма (рис. 11.39,6) в отличие от заливной (рис. 11.39, а) позволяет видеть кон- туры отдельных паяемых элементов и с большей достоверностью оценивать качество монтажных соединений при их визуальном контроле. Соединения пустотелых заклепок, лепестков, стоек и им подобных конст- руктивных деталей с контактными площадками или печатными проводниками, выполненными методом развальцовки или расклепки, должны быть пропаяны по всему периметру развальцовки илн расклепки. Поверхность галтелей при- поя паяных швов должна быть гладкой, глянцевой или светло-матовой без темных пятен, трещин, крупных пор, скоплений мелких газовых и усадочных раковин, грубозернистости, без игольчатых и дендритных образований, наплы- 5’8
Рис. 11,38. Электрорадиоэлементы н их соединения на печатных платах: а — штырьковые соединения на односторонних печатных платах; б — штырьковые соеди- нения на двусторонних и многослойных платах; в — планарные соединения на платах всех типов; I — подложка платы; 2 — вывод навесного ЭРЭ; 3 — корпус ЭРЭ; 4 — печатный провод- ник; 5 — металлизированное отверстие в плате; 6 — контакт соединения; 7 — контактная площадка; 8 -- вывод ГПК; 9 — планка; W — ГПК; — диаметр вывода ЭРЭ; — диа- метр металлизированного отверстия вов, брызг и выступов припоя, уменьшающих минимально допустимое расстоя- ние между соседними контактными площадками и печатными проводниками. Типовой технологический процесс включает: флюсование электромонтажных соединений; подсушку и подогрев узлов перед пайкой; пай- ку соединений; отмывку остатков флюсов и сушку; подпайку и перепайку де- фектных соединений; контроль над качеством. Паяльные флюсы предназначены для удаления с паяемых металлов н припоя окисных пленок, предотвращения образования их в процессе нагрева, снижения поверхностного натяжения жидкого припоя н защиты подготовленных к пайке поверхностей от воздействия внешней среды с целью сохранения их паяемостн. При лужении, консервации н пайке используют канифоль марки А и В (ГОСТ 19113—73), а также жидкие паяльные флюсы (табл. 11.18). Выбор флюсов для лужения и пайки производят с учетом металлов и металлопокрытий монтажных элементов, их паяемости, наличия электроизоля- ционных и антикоррозионных покрытий, совершенства применяемых методов и средств последующей отмывкн остатков флюса и контроля над качеством от- мывки. По составу и свойствам флюсы подразделяются на две группы. К первой относятся смолосодержащие, не вызывающие коррозии флюсы, содержащие канифоль нли полиэфирные смолы марок ПН-9, ПН-56^ Эти флюсы и их остатки не снижают электрическое сопротивление подложек 519
t I 3 k S ff i S 7 5 2 4 6 В Рис. 11.39. Форма паяных соединений: а — заливная; б — скелетная; h — высота металлизации монтажного отверстия; Вп — вы- пуклая галтель паяного шва; hi — высота заполнения отверстия припоем; / — лепесток; 2—припой; 3—монтажный привод; 4—подложка печатной платы; 5 — вывод навесного элемента; 6 — контактная площадка; 7 — металлизированное отверстие; 8 — планарный вывод ЭРЭ печатных плат и электроизоляционных покрытий иа проводах и не вызывают коррозии меди и покрытий из других металлов, но имеют слабую флюсующую активность и преимущественно предназначены для пайки монтажных элементов, выполненных из меди, серебра, золота или неметаллических материалов, покры- тых медью, серебром, золотом или оловянно-свиицовыми, оловянно-висмутовыми и другими сплавами с высокой паяемостью. Процесс пайки должен выполняться припоями, температура пайки которых 473...573 К. Наряду с использованием в процессах пайки флюсы марок ФКСп, ФКЭт и ФПЭт применяются консервирующие покрытия, сохраняющие паяемость пе- чатных плат и выводов ЭРЭ при длительных сроках складского хранения. Вторую группу составляют коррозионно-активные флюсы, которые не со- держат смол, обладают высокой флюсующей активностью при температуре пайки 413...673 К. В исходном состоянии они и их остатки после пайки вызы- вают коррозию металлов, снижают электрическое сопротивление изоляции. Коррозионно-активные флюсы применяют в процессах лужения и восстанов- ления паяемости монтажных элементов перед последующей их консервацией или пайкой с помощью флюсов со слабой флюсующей активностью. Флюс ФДФс предназначен для лужения оловянно-свинцовыми, оловянно-вис- мутовыми и серебряными припоями монтажных элементов, выполненных из ста- ли, никеля, ковара, нихрома, пермаллоя и других металлов с пониженной паяе- мостью. Флюс ФРГи эффективен при лужении, пайке и оплавлении оловянно-свин- цовых металлопокрытий методом погружения в нагретый флюс. Флюс марки ФТБф в отличие от всех остальных эффектов при лужении, пайке и плавлении оловяино-свинцовых металлопокрытий методом погружения в нагретый флюс. Флюс марки ФТБф в отличие от всех остальных эффективен при лужении и пай- ке монтажных элементов, выполненных из алюминия или сплава АМц. Флюсование каждого отдельного соединения выполняется вручную с помо- щью кисти или дозатора (рис. 11.40), если последующая пайка производится электропаяльником. Изменяя усилие сжатия полиэтиленового баллона дозатора, 520
Таблица 11.18. Марки, состав и свойства флюсов Марка флюс 3 Составляющие Содержание по массе, % Температур- ный интервал активности, К Влияние флюса и его остатков на электриче- ское сопротив- ление изоляции на медь и ее покрытие ФКСп ФКЭт ФПЭт ФКТ ФТС ФГСп ФСкПс ** ФДФс ФДГл ФТБф * Полиэфи ** Паста с Канифоль сос- 10...60 новая Спирт этиловый 40...90 473...573 или этилацетат Смола ПН-9 15...50 476...623 Не влияют Коррозии или ПН-56* не вызыва- Этилацетат 50...85 ют Канифоль сос- 20...50 новая Тетрабромид 0,05...0,1 473...573 дипентена Спирт этиловый Остальное Кислота салли- — 413...573 Снижают Вызывают циловая электриче- коррозию Спирт этило- ское сопро- вий тивление изоляции Гидразин соля- 2...4 438...623 но-кислый Глицерин 25...50 Спирт этило- Остальное вый Семикарбазид 3...5 433...673 гидрохлорид Полиокс-100 27...37 Глицерин Остальное Диэтиламин со- 20...25 473...673 ляно-кислый Кислота орто- 20...25 фосфорная ’(плотность 1700 кг/м3) Этиленгликоль Остальное Диэтиламин 4...6 438...623 соляно-кислый Глицерин Остальное Кадмий бор- 9... 11 фтористый Цинк борфто- 2,5...3,5 Снижают Вызывают ристый - электриче- коррозию Аммоний бор- 4,5...5,5 543...623 ское сопро- фтористый тивление Триэтанола- Остальное изоляции мин рные флюсующие смолы (ТУ-6-0.5-1777—76). ветло-желтого цвета. 521
Рис. 11.40. Дозатор флюса: / — баллон полиэтиленовый; 2 — флюс: 3—-трубка; 4 — пробка; 5 — подставка Рис. 11.41. Флюсование пульвериза- цией: 1 — пульверизатор 2 — печатная плата флюсуемого узла; 3—ванна; 4— флюс; А — направление транспортировки узла можно с большой точностью регулировать количество подаваемого флюса и производить отсос избытка его в баллон. Дозатор исключает испарение сильно- летучих составляющих флюса, сохраняет постоянными его состав и жидкоте- кучесть, обеспечивает экономный расход флюса и чистоту на рабочем месте. Перед механизированной пайкой флюсование соединений выполняется преи- мущественно следующими групповыми способами: пульверизацией, волной жид- кого или пенообразного флюса, погружением в ванну с флюсом. Групповое механизированное флюсование соединений в узлах на печатном монтаже производится по схеме: флюс в жидком, вспененном или распыленном состояниях наносится на печатную плату, свободную от навесных элементов. При флюсовании пульверизацией (рис. 11.41) участвующий в процессе флюсования и дозируемый пульверизатором флюс не возвращается в ванну после флюсования очередного узла. Ванна с флюсом постоянно за- крыта крышкой, которая исключает испарение летучих составляющих и изме- нение состава флюса. Флюс в ванне находится в состоянии покоя. При флюсовании струей жидкого флюса (рис. 11.42) участ- вует вся масса флюса, находящаяся в открытой ванне с большой поверхностью испарения. Для поддержки постоянства состава во флюс периодически добав- ляют летучую составляющую. Флюсование происходит с избытком флюса, кото- рый частично удаляется щетками, расположенными над ванной со стороны схода флюсового узла с волны флюса. Флюсование пенообразной волной флюса (рис. 11.43) со- вершается всей массой флюса в открытой ванне с большой поверхностью ис- парения. Пенообразная волна формируется щелевым соплом вспениванием жидкого флюса струями воздуха, подаваемого в трубку из мелкопористой ке- рамики под давлением 0,01...0,03 МПа (0,1...0,3 атм). Из-за перемешивания, продувки воздухом и большой поверхности испарения состав флюса в ванне бы- стро изменяется. Возрастает вязкость, ослабевает капиллярный эффект, умень- шается количество подаваемого в зазоры флюса, снижается качество пайки Флюсование погружением в ванну совершается при участии всей массы флюса в открытой ванне с большой поверхностью испарения. Но флюс находится в спокойном состоянии, изменение его состава происходит не так быстро, как при флюсовании пенообразной или жидкой волной. Подается он с большим избытком; для стекания флюса узел выдерживают над ванной от 4 до 6 с, что снижает производительность и повышает расход флюса из-за его испарения. Необходимо поддерживать постоянным уровень флюса в ванне. Подсушка и подогрев узлов перед пайкой. После флюсо- вания проводят подсушку флюса и подогрев печатной платы, совмещая эти опе- рации. При флюсовании жидкий флюс смачивает поверхность печатной платы не только со стороны пайки, но и частично со стороны установки корпусов навесных элементов. При последующей групповой пайке погружением или вол- ной припоя, нагретого до температуры 473 К и более, происходит бурное кипе- ние до полного перехода жидкой составляющей флюса в парообразное состо- яние. Превращение жидкости в пар совершается без повышения температуры на паяемых элементах с большими затратами теплоты, которое отбирается от припоя Это приводит к снижению температуры пайки и к увеличению времени нагрева и пайки электромонтажных соединений. Под платой образуются паро- 522
Рис. 11.42. Флюсование струей жид- кого флюса: 1 — ванна; 2 — флюс; 3 — сопло, формиру- ющее волну флюса; 4 — печатная плата флюсуемого узла; 5 — волна флюса; 6 — насос центробежный; А — направление транспортировки узла Рис. 11.43. Флюсование пенообраз- ной волной флюса: 1 — трубка мелкопористая керамическая; 2 —ванна; 3 — жидкий флюс 4 — сопло, формирующее пенообразную волну флю- са; 5 — пенообразная волна флюса; 6 — печатная плата флюсуемого узла; А — направление транспортировки узла вые подушки, которые препятствуют свободному доступу припоя к соединяемым элементам. Отдельные струи пара под значительным давлением пропитываются сквозь зазоры в монтажных отверстиях на противоположную сторону платы и увлекают с собой мелкие (0,01...0,3 мм) частички жидкого припоя, ко- торые налипают на выводах и корпусах элементов в местах, недоступных для визуального контроля. Отрыв и попадание частичек припоя между печатными проводниками при последующей лакировке узлов приводят к снижению со- противления изоляции, коротким замыканиям и выходу в брак готовых изделий. Подсушка обеспечивает полное удаление до начала пайки жидкой состав- ляющей флюса. Кроме того, подогрев обеспечивает равномерный подогрев пе- чатной платы и ослабляет тепловой удар и степень коробления платы в мо- мент контакта с жидким припоем при последующей групповой пайке. Темпера- тура предварительного подогрева узлов, содержащих навесные элементы с теплостойкими элементами температуру снижают на 5...10 К. Групповые процессы пайки. Групповая пайка — технологический процесс одновременного нагрева и пайки соединений навесных элементов, предваритель- но смонтированных в узел, выполняется: погружением в припой; волной при- поя; групповыми паяльниками; струей горячего газа. Пайка погружением в припой состоит в том, что после флюсо- вания и подогрева печатная плата узла стороной, свободной от корпусов навес- ных элементов, погружается на 2...4 с в припой, нагретый до оптимальной тем- пературы пайки, на глубину 0,5...0,8 толщины платы. Одновременное контакти- рование паяемых элементов платы с жидким припоем вызывает быстрый груп- повой нагрев соединений до температуры пайки, смачивание сопрягаемых по- верхностей и затекание припоя в зазоры под действием капиллярного эффекта и вибрации промышленной частоты, которая подается извне в припой или на плату в момент ее отрыва от зеркала припоя. Оптимальная температура пайки под- держивается в ванне автоматически. Снижение ее в процессе пайки каждого узла составляет 2...3 К, и к началу следующего цикла пайки она восстанавли- вается до исходного значения. Пайка погружением может производиться в не- глубоких ваннах с малой массой, требующей минимального времени на разогрев до рабочей температуры. Наличие большого зеркала припоя требует тщательной очистки от окислов перед началом пайки каждого узла. Пайка волной припоя заключается в том, что после операций флю- сования и подогрева узла его печатная плата стороной, свободной от корпусов навесных элементов, перемещается по гребню ламинарной волны жидкого при- поя, которая образуется над зеркалом ванны с помощью щелевого сопла и ме- ханического или электромагнитного насоса (рис. 11.44). Используются высоко- оловянистые припои ПОС-61, ПОССУ-61-0,5 и реже ПОССУ-50-0,5, а ПОС-61М и ПОСК-50-18, содержащие медь и кадмий, к применению в ваннах с волно- 523
образователями не допускаются из-за быстрой потери жидкотекучести и сма- чиваемости. Непрерывное движение и обновление припоя, подаваемого насосом из глуби ны ванны, обеспечивают условия, при которых поверхность гребня волны к мо- менту контактирования с печатной платой не успевает окислиться. При этом в припое, выполняющем функции теплоносителя, создается постоянная заданная температура пайки, а также сокращается время нагрева, смачивания и форми рования паяных соединений Оптимальная температура пайки волной припоев составляет 523...543 К. Время групповой пайки зависит от толщины и длины платы, а также от ширины гребня волны припоя, контактирующей с поверхно- стью платы, и косвенно определяется скоростью движения паяемого узла Ши- рина гребня волны, контактирующая с поверхностью платы, обычно измеряется с помощью линейки и прозрачной пластины из кварцевого или другого тепло- стойкого стекла, которая устанавливается в кассету вместо платы. Непрерывное движение платы относительно струй жидкого припоя на греб- не волны обеспечивает смывание остатков флюса, свободный выход газов, обра- зующихся под платой, и хорошие условия теплопередачи и формирования пая- ных соединений преимущественно скелетной формы. Наклон платы к горизон- тальной плоскости 0...200 усиливает эффективность происходящих явлений и исключает образование наплывов, сосулек и перемычек припоя между элемен- тами Длина печатных плат паяемых узлов не ограничивается размерами зеркала припоя в ванне, а ширина их зависит только от длины сопла волнообразователя. Обычная система пайки волной припоя неэффективна для монтажа микро- корпусов, так как припой не может подтекать под них и достигать металлизиро- ванных участков. Вместо этого припой должен обтекать прибор вокруг. При этом один из концов прибора может блокировать другой конец от потока припоя. Решением этой проблемы является пайка двойной волной, когда первая волна посылает струю припоя, обеспечивающую хорошее смачивание металли- зированных участков. Вторая, более слабая волна, удаляет избыток припоя и позволяет избавиться от коротких замыканий. Для такой пайки требуется по- гружение в припой (ПОС-61) при 533 К в течение 2.. 5 с. Волну припоя используют для групповой механизированной пайки соеди- нений планарной конструкции в узлах с двусторонним расположением корпусов навесных элементов на обычных и многослойных печатных платах. Для этой цели каждый типоразмер узлов оснащают специальным приспособлением, кото- рое надежно защищает корпуса навесных элементов от воздействия волны при- поя и одновременно обеспечивает его свободный доступ к соединениям пла- нарных выводов, с контактными площадками платы. На качество соединений отрицательное влияние оказывает снижение текучести и смачиваемости у при- поев работающих в ваннах с волнообразованием, при котором ниспадающий с вершины волны поток припоя непрерывно захватывает с зеркала ванны име- ющиеся там окислы, замешивает их в общую массу припоя и ухудшает его свойства. Количество окислов, замешиваемых в припой, возрастает с увеличением вре- мени волнообразования, высоты волны, неламинарности ниспадающих с ее греб- ня потоков припоя и с образованием воронки в припое вокруг вращающегося вала насоса. Обладая меньшей, чем у припоя, плотностью, окислы накаплива- ются на поверхности ванны в виде серой кашеобразной массы, слой которой все увеличивается и через 30...40 ч работы составит десятки миллиметров. Одновре- менно начнет возрастать количество взвешенных окислов в припое, поступаю- щем через насос и сопло на гребень волны. Окислы вызовут в паяных соедине- ниях ряд характерных дефектов: наплывы, сосульки и перемычки припоя между элементами печатного монтажа, потемнение, грубозернистость и дендритные об- разования в припое, несплошное обслуживание контактных площадок, неполное заполнение припоем зазоров в соединениях и выпуклые галтели паяных швов. Результативным методом обеспечения стабильного высокого качества пайки волной служит периодическая полная замена припоя в ваннах. Замедлить про- цесс загрязнения припоя окислами и увеличить сроки его использования можно за счет упорядочения условий работы ванн с волнообразователями. Пайка долж- на выполняться на ламинарной волне при минимально возможной ее высоте от 524
Таблица 11.19. Состав и свойства растворителей 7 И 1 < : риГСЛЯ Характеристика растворителя Растворяемые остатки флюсов Температура, К Нижнии пре- , дел взрыво- опасной кон- центрации, % Допустимая концентрация в рабочей зо- не, мт м3 Относитель- ная скорость [ испарения* | к £ X OJ Е X вспышки Д <v о = Ш CJ О S S « св го ч х О С X Метилен хлори- стый ФКСп, ФКЭт, ФКТ, ФПЭт 303 259 853 12 50 2,0 Этиловый спирт ФКСп, ФКЭт, ФКТ 351,4 286 677 3,6 1000 8,3 Смесь бензина марки БР-1 с эти- ловым спиртом в отношении 1 : '1 или 2 1 ФТС, ФКСп, ФКЭт, ФКт, ФГСп, ФДФс, ФСкПс 351 248 503 1,2 300 3,5 Трихлорэтилен ФКСп, ФКЭт, ФКТ, ФНЭт 360,3 — — — 10 3,8 Вода горячая ФГСп, ФДФс, ФСкПс, ФДГл, ФТБф 373 — — — — — * По сравнению с эфиром. гребня до зеркала припоя в ванне. Система волнообразования должна работать в пульсирующем режиме, автоматически включая волну припоя лишь на время пайки очередного узла. Уровень припоя в ванне при работающей системе вол- нообразования должен исключать появление воронки припоя вокруг вращаю- щегося вала насоса и захват в нее окислов с зеркала ванны Для защиты припоя от окисления используют растительные масла пальмо- вое, арахисовое и хлопковое, минеральные «Шелл» и др. Отечественная техно- логия использует канифоль марок А и В, а также защитные жидкости ЖЗ-1 и ТП-22 (ОСТ4ГО 033.200). Канифоль подают на очищенное от окислов зеркало ванны до образования сплошного слоя 5.. 10 мм и по мере возгонки канифоли и образования разрывов в слое его восстанавливают дополнительно подаваемой канифолью. Полное обновление канифоли в ванне следует производить не чаще чем через 150 ч ее нахождения на зеркале расплавленного припоя. Сроки дей- ствия защитных жидкостей ограничены несколькими часами. Канифоль еще обладает флюсующими свойствами, и ее замешивание в массу припоя в ванне приводит к восстановлению части окислов и рафинированию сплава. Дополни- тельная подача канифоли производится вручную 3.. 4 раза в смену. Пайка групповыми паяльниками производится последовательно по группам выводов каждого из навесных элементов с применением группового прижима-теплоотвода при косвенном нагреве от двух электропаяльников, встроен- ных в паяльную головку, которая работает в автоматическом режиме Приме- няется для присоединения планарных выводов микросхем к контактным пло- щадкам обычных и многослойных печатных плат. Пайка струей горячего газа используется при пайке электромон- тажных соединений планарной конструкции, преимущественно при монтаже ГПК и шлейфов на печатных платах или прн соединении их концов между собой. Перед монтажом концы подвергают лужению с дозированием припоя марки ПОС-61, ПОСК-50-18 или ПОСВ-33, которую выбирают в зависимости от теп- лостойкости материала подложки. На монтажные элементы наносят флюс мар- ки ФКСп или ФПЭт и подсушивают его на воздухе в течение 3...5 м ш 525
В качестве основных газов теплоносителей в этом способе пайки применяют гелий, аргон или их смеси. Температура газа, измеряемая на выходе его из сопла, косвенно предопределяет температуру пайки. Она устанавливается опыт- ным путем и зависит от применяемого газа, его расхода, формы и диаметра сопла, расстояния от его торца до зоны нагрева и от скорости ее перемещения. Для соединений ГПК, паяемых припоем ПОС-61 с нагревом в струе гелия, температура газа 573...673 К, а время пайки или, иначе, скорость перемещения паяльной головки составляет 0,1...0,2 м/мин. Растворители для отмывки остатков паяльных флюсов. Остатки флюсов после лужения и пайки удаляются отмывкой в растворителях, основные свой- ства которых приведены в табл. 11.19. Высокая растворяющая способность растворителей проявляется не только во взаимодействии с остатками флюсов; они частично или полностью растворяют некоторые составы маркировочных красок, эмалей, лаков, клеев. Список литературы 1. Батавин В. В., Концевой Ю. А., Федорович Ю. В. Измерение параметров полупроводниковых материалов и структур.— М.: Радио и связь, 1985.—264 с. 2. Березин А. С., Мочалкина О. Р. Технология и конструирование интеграль- ных микросхем/Под ред. И. П. Степаненко.— М.: Радио и связь, 1983.—232 с. 3. Броудай И., Мерей Дж. Физические основы микротехнологии: Пер. с англ.— М.: Мир, 1985.—496 с. 4. Вендик О. Г., Горин Ю. Н., Попов В. Ф. Корпускулярно-фотонная тех- нология.— М.: Высшая школа, 1984.—240 с. 5. Гуль В. Е., Шенфиль Л. 3. Электропроводниковые полимерные компози- ции.— М.: Химия, 1984.—240 с. 6. Гуськов Г. Я., Блинов Г. А., Газаров А. А. Монтаж микроэлектронной аппаратуры.— М.: Радио и связь, 1986.— 176 с. 7. Данилин Б. С., Сырчин В. К. Магнетронные и распылительные системы.— М : Радио и связь, 1982.—72 с. 8. Ивановский Г. Ф., Петров В. И. Ионно-плазменная обработка материа- лов.— М.: Радио и связь, 1986.—282 с. 9. Ионная имплантация в полупроводниках и других материалах. Сб. ста- тей/Под ред. В. С. Вавилова.— М.: Мир, 1980.— 200 с. 10. Крапихин В. В., Соколов И. А., Кузнецов Г. Д. Физико-химические ос- новы технологии полупроводниковых материалов.— М.: Металлургия. 1982. 11. Курносов А. И. Материалы для полупроводниковых приборов и инте- гральных схем.— М.: Высшая школа, 1980.—327 с. 12. Легирование полупроводников методом ядерных реакций/Под ред. Л. С. Смирнова.—Новосибирск: Наука, Сибир. отд., 1981.—179 с. 13. Мильвидский М. Г., Освенский В. Б. Структурные дефекты в монокри- сталлах полупроводников.— М.: Металлургия, 1984.—256 с. 14. Нашельский А. Я., Гиилов С. В. Расчеты процессов выращивания леги- рованных монокристаллов полупроводников.— М.: Металлургия. 1981.—91 с. 15. Нашельский А. Я. Монокристаллы полупроводников.— М.: Металлур- гия, 1978.—200 с. 16. Нашельский А. Я. Технология полупроводниковых материалов.— М.: Ме- таллургия. 1987.—336 с. 17. Обработка полупроводниковых материалов/Под ред. Н. В. Новикова, В. Бертольди.— Киев: Наукова думка, 1982.—254 с. 18. Парфенов О. Д. Технология микросхем.— М.: Высшая школа, 1986. 19. Справочник по пайке/Под ред. И. Е. Петрунина,— М.: Радио и связь. 1984 —400 с. 20. Технология СБИС. В 2-х кн.: Пер. с англ./Под ред. С. Зи,—М.: Мир, 1986,—Кн. 1 —453 с. 21. Технология тонких пленок: Справочник/Под ред. Л. Майселла и Р. Глэн- га; Пер. с англ, под ред. М. И. Елинсона и Г. Г. Смолко.— М.: Сов. радио, 1977,—Т. 1, 2. 22. Физико-химические методы обработки полупроводников/Под ред. Б. Д. Луфт.— М.: Радио и связь, 1982.—136 с, 526
Оглавление Предисловие........................................................... 3 Глава 1. Методы получения, очистки и легирования монокристаллических материалов . . ............................4 1.1. Основные материалы для полупроводниковых и микроэлектронных устройств............................................................4 1.2. Методы направленной кристаллизации из расплавов ... 17 1.3. Методы получения равномерно легированных монокристаллов полу- проводников . ..................................42 1.4. Программирование кристаллизационного процесса (изменение усло- вий роста монокристаллов).......................................... 52 1.5. Маркировка и основные свойства поликристаллических и монокри- сталлических полупроводников ...................................... 54 Глава 2. Механическая обработка кристаллов полупроводниковых мате- риалов ....................................... , . . ... 61 2.1. Подготовка монокристаллов к резке на пластины . ... 61 2.2. Материалы для наклейки слитков, пластин и кристаллов . . 67 2.3. Резка монокристаллов ... 69 2.4. Материалы для шлифования и полирования монокристаллических материалов......................................................... 78 2.5. Шлифование и полирование пластин......................... 87 2.6. Контроль качества пластин полупроводниковых материалов . . 94 2.7. Разделение пластин...........................................100 Глава 3. Полупроводниковые подложки и физико-химические методы обработки их поверхности ...................................... 105 3.1. Требования к полупроводниковым подложкам . . 105 3.2. Методы контроля качества полупроводниковых подложек . . .108 3.3. Физико-химические методы обработки поверхности полупроводников 1'3 3.4. Ионно-плазменное травление...................................129 3.5. Геттерирование примесей и дефектов в полупроводниковых подлож- ках .............................................................. 139 3.6. Методы получения окисных пленок германия . . 145 Глава 4. Диффузия в полупроводниках.................................. 149 4.1. Физические процессы, происходящие при диффузии примеси в полу- проводниках ................149 4.2. Расчет распределения примеси при диффузии 154 4.3. Диффузия примесей III и V групп в кремнии с коэффициентом диф- фузии, зависящим от концентрации примеси...................163 4.4. Аномальные результаты процесса диффузии примесей III и Vгрупп в планарной технологии кремниевых приборов ....................... 165 4.5. Методы проведения диффузии...................................... 172 4.6. Внешние источники примеси для кремния ’ ’ 177 4.7. Примесные покрытия ..............................................189 Глава 5. Технология эпитаксиальных слоев , * ’ 204 5.1. Физические основы процесса эпитаксии . 204 5.2. Методы проведения эпитаксии " ' * ’ 204 5.3. Методы, стимулирующие эпитаксию............................." 214 5.4. Легирование в процессе эпитаксии ’ ’ ' ’ 227 5.5. Контроль параметров эпитаксиальных слоев . * ’ ’ ’ 228 527
Глава 6. Ионная имплантация . . ....................231 6.1 Физические основы ионной имплантации............................231 6 2. Образование радиационных дефектов .............................239 6.3 . Отжиг легированных структур..................................241 6.4 . Технологическое оборудование для иоииой имплантации . . 245 6.5 Ионная имплантация как технологический прием при создании МЭУ 257 6 6 Методы исследования ионно легированных слоев . . 258 Глава 7. Технология тонких пленок ................................. 262 7.1. Термовакуумный метод получения тонких пленок .... 262 7.2. Импульсное нанесение пленок . . .... 274 7 3. Получение пленок из ионизированных потоков многоатомных частиц методами ионного осаждения . ... 278 7.4. Методы определения толщины пленок............................ 295 Глава 8. Фотошаблоны и технология их изготовления . ... 298 81 Общие сведения, термины и определения............................298 8.2. Основное технологическое оборудование для изготовления ФШ . 302 8.3. Конструкция фотошаблонов ...... 307 8.4 Технология металлизированных фотошаблонов . .............312 8 5. Технология цветных фотошаблонов ............................322 8.6. Контроль параметров фотошаблонов. Основные виды дефектов ФШ и их определение.................................... . . 328 8.7. Ретушь и корректировка топологии фотошаблонов ..... 334 Глава 9 Литографические процессы в технологии микроэлектронных устройств ..................................................... .... 336 9.1. Сущность фотолитографии и основные процессы .... 336 9.2. Реперные знаки в фотошаблонах..................................345 9.3. Удаление резистивной маски маскирующей пленки ФР .... 350 9.4. Методы переноса изображений ...................................355 9.5. Электронно-лучевая литография .................................369 9.6. Рентгеновская литография.......................................383 9 7 Ионно-лучевая и голографическая литографии.................391 9.8. Процессы травления в литографии . . 397 Глава 10. Сборка микроэлектронных устройств.........................419 10.1. Монтаж кристаллов у плат микросхем в МЭУ......................419 10 2 Мнкросварка при монтаже выводов МЭУ..........................431 10.3. Рабочий инструмент для микросварки .... 441 10.4. Технология микросварки ............. . 448 10.5. Контроль качества микросварных соединений проводник—пленка 453 10 6. Припои и технология мнкропайки при сборке МЭУ . . 461 10.7. Электропроводящие клеи 465 10.8. Беспроволочный монтаж.........................................470 Глава 11. Герметизация микроэлектронных устройств...................475 11.1. Конструктивно-технологические разновидности герметизации . . 475 11 2. Корпусная герметизация на основе неорганических материалов . 476 11.3. Герметизация корпусов контактной сваркой .............479 11.4. Герметизация сваркой давлением . ....................487 115. Герметизация сваркой плавлением ... .............490 11.6. Герметизация корпусов пайкой..................................499 11.7. Герметизация на основе органических материалов ..... 504 11.8. Соединения выводов МЭУ на печатном монтаже.................518 Список литературы...................................................526