Текст
                    й н и RJn
1 j
Г. Красников
Конструктивно-
технологические
особенности
субмикронных
МОП -транзисторов
Издание 2-е, исправленное
ТЕХНОСФЕРА
Москва
2011


(lllmrflli) Издание осуществлено при поддержке ^-^„^—-^ ОАО «НИИ молекулярной электроники и завод «Микрон» УДК 621.382 ББК 32.852 К78 К78 Красников Г.Я. Конструктивно-технологические особенности субмикронных МОП-транзисторов Издание 2-е, исправленное Москва: Техносфера, 2011. - 800 с., ISBN 978-5-94836-289-2 В книге рассмотрены особенности работы субмикронных МОП-транзисторов, описаны направления развития и ограничения применения методов масштабирования транзисторов, представлены требования к подзатворным диэлектрикам и технологии их формирования, различные конструкции сток-истоковых областей МОПТ и технологические процессы создания мелкозалегающих легированных слоев. Рассмотрены проблемы влияния масштабирования размеров элементов в субмикронную область и особенностей технологических процессов на надежность и долговечность субмикронных МОП-транзисторов. Представлены данные о влиянии технологических процессов изготовления субмикронных СБИС (процессов плазменной обработки, ионного легирования и технологических операций переноса изображения) на деградацию подзатворного диэлектрика, а значит - на уровень выхода, надежность и долговечность годных готовых изделий. Книга предназначена для специалистов в области проектирования и разработки технологии изготовления КМОП СБИС, а также для студентов старших курсов, аспирантов и преподавателей технических вузов. УДК 621.382 ББК 32.852 » Красников Г.Я., 2011 > 2011, ЗАО «РИЦ «Техносфера», оригинал-макет, оформление ISBN 978-5-94836-289-2
СОДЕРЖАНИЕ ПРЕДИСЛОВИЕ 10 ГЛАВА 1 ОСОБЕННОСТИ РАБОТЫ СУБМИКРОННЫХ МОП-ТРАНЗИСТОРОВ 11 1.1. Введение 11 1.2. Короткоканальные эффекты в МОПТ 12 1.2.1. Зависимость порогового напряжения от длины канала 12 1.2.2. Узкоканальный эффект 14 1.2.3. DIBL-эффект 15 1.3. Ток стока одномерного длинноканального МОПТ 16 1.3.1. Случай сильной инверсии 16 1.3.2. Режим слабой инверсии 19 1.4. Ток стока короткоканального транзистора 20 1.4.1. Универсальная зависимость подвижности носителей от напряженности эффективного электрического поля. Концепция эффективного поля 20 1.4.2. Отклонение от универсальной зависимости 24 1.4.3. Зависимость подвижности электронов от напряженности продольного электрического поля 28 1.4.4. Насыщение скорости носителей 29 1.4.5. Ток стока в линейной области 30 1.4.6. Распределение напряженности продольного электрического поля в канале 35 1.4.7. Длина области насыщения скорости носителей 39 1.4.8. Ток насыщения стока 41 1.5. Модель порогового напряжения МОПТ в глубоком субмикронном диапазоне 44 1.5.1. МОПТ без LDD-области 50 1.5.2. МОПТ с LDD-областью 51 1.5.3. Влияние напряжения на стоке 53 1.5.4. Оценки характеристической длины / 55 1.6. Аналитическая модель тока стока, учитывающая эффект превышения равновесной скорости носителей (overshoot) 57 1.7. Распределение электрического поля вблизи стока МОПТ со структурой LDD 62 1.8. Короткоканальный эффект в субмикронных р-МОПТ со скрытым каналом 64 1.9. Ток утечки стока, индуцируемый напряжением на затворе (GIDL-эффект) 67 1.9.1. Квазидвумерная модель 72 1.9.2. Зависимость GIDL-тока от параметров LDD-структуры 74 1.10. Туннельный перенос носителей в стоковом переходе 77 1.11. Обратный короткоканальный эффект 78 1.12. Влияние квантования энергии носителей в инверсном слое 81 ЛИТЕРАТУРА 83
щА Содержание ГЛАВА2 ПРИНЦИПЫ И ОГРАНИЧЕНИЯ МАСШТАБИРОВАНИЯ МОПТ 87 2.1. Введение (историческая справка) 87 2.2. Методы масштабирования МОПТ 89 2.2.1. Метод масштабирования с сохранением напряженности электрического поля 89 2.2.2. Обобщенный метод масштабирования 91 2.2.3. Метод селективного масштабирования 93 2.3. Закономерности изменения основных характеристик МОПТ при масштабировании 94 2.3.1. Нагрузочная способность МОПТ 94 2.3.2. Ограничения, связанные с током утечки 95 2.3.3. Ограничения, обусловленные надежностью (эффект горячих носителей и пробой окисла) 97 2.4. Тенденции масштабирования и требования к последовательному сопротивлению МОПТ 98 2.5. Компромисс между быстродействием, напряжением питания и надежностью 103 2.6. Два сценария масштабирования 104 2.7. Компромисс между приборными характеристиками и рассеиваемой мощностью. Проблема немасштабируемости порогового напряжения 106 2.8. Плотность рассеиваемой мощности в масштабируемых приборах 109 2.9. Перспективы масштабирования КМОП СБИС ПО 2.10. Структура приборов и технология ее реализации 111 2.10.1. Краткий исторический экскурс развития КМОП-технологии 111 2.10.2. Современное состояние КМОП-технологии 112 2.10.3. Проблемы масштабирования глубокосубмикронных МОПТ 114 2.11. Масштабирование МОПТ в области суб-0,1-мкм размеров 117 2.11.1. Масштабирование МОПТс Ls = 40hm 117 2.11.2. Масштабирование МОПТ с Тох = 1,5 нм 117 2.11.3. Возможные пределы уменьшения размеров МОПТ 118 ЛИТЕРАТУРА 119 ГЛАВАЗ ФОРМИРОВАНИЕ ПОДЗАТВОРНЫХ ДИЭЛЕКТРИКОВ СУБМИКРОННЫХ МОПТ 123 3.1. Введение 123 3.2. Методы формирования подзатворных диэлектрических слоев на основе Si02 127 3.3. Дефектность и надежность тонких подзатворных окислов, полученных термическим окислением в среде с малым содержанием кислорода 132 3.4. Проникновение бора через подзатворный окисел 135 3.4.1. Обратный короткоканальный эффект в р-МОПТ с поверхностным каналом, обусловленный проникновением бора в область канала 139 3.5. Улучшение характеристик подзатворных окислов методом нитрирования... 141 3.5.1. Термическое нитрирование слоев Si02 в NH3 143 3.5.2. Оптимизация процесса термического нитрирования подзатворного окисла в среде NH3 при изготовлении п-и р-МОПТ 144
Содержание 5" 3.5.3. Нитрирование подзатворного окисла в среде закиси азота (N20).... 152 3.5.4. Влияние горячих носителей на МОПТ с подзатворным окислом, нитрированным в среде N20 157 3.5.5. Термическое нитрирование подзатворных окислов МОПТ в среде окиси азота (N0) 164 3.5.6. Эффективная подвижность носителей в МОПТ с подзатворным окислом, легированным в N20 или N0 169 3.6. Низкотемпературное формирование подзатворных диэлектриков с использованием плазмостимулированных процессов в режиме «отдаленной» плазмы 173 3.7. Формирование подзатворных окислов различной толщины. Окисление кремния, локально легированного азотом 177 3.8. Подзатворные слои оксинитрида кремния, изготавливаемые методом быстрого термохимического осаждения из газовой фазы 180 3.8.1. Формирование слоев оксинитрида кремния 182 3.8.2. Электрофизические характеристики оксинитридных слоев (SiOxNy). формируемых RTCVD-методом 183 3.8.3. Электрические характеристики МОП-структур и МОПТ с подзатворным слоем SiOxNy 187 3.9. Двухслойный подзатворный диэлектрик нитрид кремния- двуокись кремния 190 3.10. Формирование подзатворных слоев нитрида кремния методом струйного осаждения из газовой фазы 196 3.11. Альтернативные подзатворные диэлектрики с высокой диэлектрической проницаемостью 202 ЛИТЕРАТУРА 210 ГЛАВА4 ФОРМИРОВАНИЕ СТОК-ИСТОКОВЫХ ОБЛАСТЕЙ 219 4.1. Введение 219 4.2. Быстрый термический отжиг сток-истоковых областей МОПТ 221 4.2.1. Быстрый отжиг в реакторах с холодными стенками 222 4.2.2. Быстрый отжиг в реакторах с горячими стенками 226 4.3. Ионно-пучковая имплантация 229 4.3.1. Влияние имплантационных нарушений на диффузию примесей 229 4.3.2. Особенности имплантации ионов BF2+ и As+ 237 4.3.3. Нестационарная ускоренная диффузия примесей 246 4.3.4. Предварительная аморфизация кремния мелкозалегающих SDE-областей 252 4.3.5. Каналирование бора при имплантации ионов низких энергий 258 4.3.6. Влияние состава газовой среды отжига на параметры мелкозалегающих легированных слоев 260 4.3.7. Влияние скорости нарастания и спада температуры отжига на параметры легированных слоев 262 4.3.8. Использование высокомолекулярных соединений при ионной имплантации 264 4.3.9. Влияние последовательности термических операций на параметры имплантированных слоев 268
шо Содержание 4.3.10. Влияние остаточных имплантационных дефектов на характеристики субмикронных МОПТ 271 4.3.11. Теневой эффект при ионной имплантации 273 4.4. Ионно-плазменная имплантация 278 4.4.1. Ионно-плазменная имплантация бора 280 4.4.2. Ионно-плазменная имплантация мышьяка и фосфора 285 4.5. Сравнение методов ионно-плазменной и ионно-пучковой имплантации ...287 4.6. Формирование мелкозалегающих слоев методом быстрой диффузии из газовой фазы 289 4.7. Формирование SDE-областей быстрой диффузией из легированного окисла 294 4.8. Конструктивно-технологические проблемы субмикронных МОПТ 298 4.8.1. Ограничения использования LDD-структур 298 4.8.2. Уменьшение размеров LDD-областей и надежность МОПТ 300 4.8.3. МОПТ с асимметричной LDD-структурой 304 4.8.4. Обратная последовательность формирования LDD- и контактных сток-истоковых областей 307 4.8.5. Структура типа LATID 312 4.8.6. Структура стока с pocket-областями 322 4.8.7. МОПТ с поликремниевыми контактами 329 4.8.8. Использование приподнятых сток-истоковых областей в МОП-структурах 330 4.8.9. МОПТ со сток-истоковыми областями, содержащими полицидный спейсер (S4D) 337 ЛИТЕРАТУРА 342 ГЛАВА5 ФОРМИРОВАНИЕ ОБЛАСТИ КАНАЛА СУБМИКРОННЫХ МОПТ 349 5.1. Структура области канала субмикронного МОПТ 349 5.2. МОПТ с ретроградным распределением примеси в области канала 355 5.2.1. Пороговое напряжение МОПТ с ретроградным распределением примеси в канале 358 5.2.2. Ток стока МОПТ с ретроградным (ступенчатым) распределением примеси в области канала 364 5.2.3. Экспериментальные характеристики МОПТ с ретроградным распределением примеси в области канала 372 5.2.4. Особенности легирования области канала n-МОПТ индием 374 5.2.5. Формирование области канала с ретроградным распределением примеси ионной имплантацией через структуру затвора 379 5.2.6. Использование эпитаксиального наращивания кремния для формирования ступенчатого распределения примеси в области канала МОПТ 382 5.3. МОПТ с латерально-неоднородным распределением примеси в области канала 386 5.3.1. Оптимизация порогового напряжения pocket-МОПТ в короткоканальной области 390 5.3.2. Концепция полного подавления спада и подъема Vt(Lc) для МОПТ со структурой SMART-Pocket 395
Содержание 5.3.3. Формирование pocket-областей после отжига сток-истоковых слоев 398 5.3.4. Суб-0,1 -микронные МОПТ с pocket-областями, легированными индием и сурьмой 401 5.4. р-канальные МОПТ со скрытым каналом 405 5.4.1. Пороговое напряжение р-МОПТ со скрытым каналом 409 5.4.2. Особенности легирования бором скрытого канала р-МОПТ методом ионной имплантации 415 5.4.3. Методы формирования скрытого канала ВС-р-МОПТ 418 5.4.4. Латеральное легирование PTS-областей ВС-р-МОПТ 428 5.5. МОПТ с областью канала «канавочного» типа 430 ЛИТЕРАТУРА 432 ГЛАВА6 ФОРМИРОВАНИЕ ЗАТВОРА СУБМИКРОННЫХ МОПТ 437 6.1. Введение 437 6.2. Поликремниевые затворы 439 6.2.1. Влияние обеднения носителями поликремниевого затвора на характеристики МОПТ 439 6.2.2. Влияние микроструктуры поликремния на степень обеднения затвора носителями 441 6.2.3. Снижение степени проникновения бора из затвора в область канала ионной имплантацией азота 444 6.2.4. Другие методы снижения эффекта проникновения бора в канал р-МОПТ 448 6.2.5. Формирование затворов п+-и р+-типов осаждением легированных слоев поликремния 451 6.2.6. Оптимизация термического бюджета при легировании поликремниевого затвора 453 6.2.7. Эффект рассогласования параметров близкорасположенных МОПТ 458 6.3. Салицидная технология формирования затворов субмикронных МОПТ.... 461 6.3.1. Формирование затворов по самосовмещенной технологии 461 6.3.2. Затворы на основе силицида титана 465 6.3.3. Технологические методы интенсификации фазового превращения С49—С54 TiSi2 472 6.3.4. Силицидные затворы на основе CoSi2 484 6.3.5. Термическая стабильность слоев CoS2 488 6.3.6. Методы повышения термической стабильности слоев CoSi2 491 6.3.7. Силицидные затворы на основе NiSi 500 6.4. Полицидные затворы КМОП-приборов 501 6.4.1. Особенности технологии формирования полицидных затворов 501 6.4.2. Нанесение слоев силицида вольфрама при формировании полицидных затворов 505 6.4.3. Влияние низкотемпературного отжига на деформацию боковой поверхности WSix-полицидного затвора 508 6.4.4. Методы подавления латеральной диффузии примесей в полицидных межсоединениях затворного уровня 509
(|8 Введение 6.4.5. Формирование полицидного затвора последовательным осаждением WSix и Si в едином вакуумном цикле (технология NICE) 512 6.4.6. Особенности формирования полицидных затворов Т181х-поли-81 515 6.4.7. Перераспределение примесей в полицидной структуре TiSi2 -поли-Si 519 6.5. Полиметаллические затворы 523 6.5.1. Основные свойства полиметаллических затворов 523 6.5.2. Селективное окисление кремния при формировании полиметаллических затворов с использованием вольфрама 524 6.6. Поликристаллические SixGelx- затворы 530 6.6.1. Использование поликристаллических SiGe-слоев 530 6.6.2. Технологические особенности формирования SiGe-затворов 532 6.6.3. Закономерности диффузии примесей в слоях поли-SiGe. Электрофизические характеристики затворов 535 6.6.4. Характеристики МОПТ с SiGe-затвором 544 6.7. Металлические затворы 546 6.7.1. КМОП-приборы с металлическими затворами 546 6.7.2. Особенности интеграции металлических затворов в технологию изготовления КМОП-приборов 548 6.7.3. Сравнение МОПТ с поликремниевыми и металлическими midgap-затворами 550 6.7.4. Использование металлов для затворов с низким значением Vt 553 ЛИТЕРАТУРА 557 ГЛАВА7 НАДЕЖНОСТЬ СУБМИКРОННЫХ МОП-ТРАНЗИСТОРОВ 567 7.1. Введение 567 7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 568 7.2.1. Эффекты, обусловленные горячими носителями 568 7.2.2. Продольная составляющая напряженности электрического поля в канале 569 7.2.3.Ток подложки 571 7.2.4.Ток затвора 584 7.2.5.Деградация приборных характеристик МОПТ. Основные аспекты деградации МОП под воздействием горячих носителей 601 7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 634 7.3.1. Статический подход к прогнозу срока службы tL методом ускоренных испытаний с использованием характерных зависимостей tL от тока подложки Isub 634 7.3.2. Статический подход к прогнозу срока службы (tL) методом ускоренных испытаний с использованием зависимости tLoT(l/Vd) 641 7.3.3. Метод прогнозирования срока службы, основанный на реальных временных эпюрах — Vg(t) и Vd(t) 650 7.4. Микроструктурная природа и механизмы возникновения дефектов в МОПТ под воздействием горячих носителей 659 7.4.1. Дефекты на границе раздела Si-Si02 659
7.4.2. Дефекты, создаваемые в объеме подзатворного слоя Si02 664 7.5. Пробой подзатворных окисных слоев 665 7.5.1. Модели пробоя диэлектрических слоев 666 7.5.2. Мягкий пробой 667 7.5.3. Модели ускоренных испытаний на пробой 669 7.5.4. Температурная зависимость пробоя 672 7.5.5. Термохимическая модель деградации тонких слоев Si02 под воздействием электрического поля 673 ЛИТЕРАТУРА 687 ГЛАВА8 ВЛИЯНИЕ ТЕХНОЛОГИЧЕСКИХ ПРОЦЕССОВ НА НАДЕЖНОСТЬ МОПТ 699 8.1. Введение 699 8.2. Технологические процессы с использованием плазмы 701 8.2.1. Модель образования дефектов при проведении плазменных процессов 702 8.2.2. Деградация параметров МОПТ 710 8.2.3. Особенности плазменных процессов 717 8.2.4. Процессы осаждения в плазме 728 8.2.5. Скрытые нарушения при плазменных процессах 732 8.2.6. Захват положительного заряда как скрытые нарушения 742 8.3. Ионная имплантация 748 8.3.1. Радиационные нарушения в подзатворном окисле 748 8.3.3. Модель тока утечки в имплантированном окисле 752 8.3.4. Деградация параметров МОПТ 753 8.3.5. Токи заряжения при ионной имплантации 754 8.3.6. Модель тока заряжения в подзатворном окисле при ионной имплантации 759 8.3.7. Нейтрализация положительного заряда на пластине в процессе ионной имплантации 760 8.4. Рентгенолитография 763 8.4.1. n-канальные приборы 764 8.4.2. р-канальные приборы 766 8.4.3. Влияние Х-облучения на GIDL в МОПТ 766 8.5. Электронно-лучевая литография 768 8.6. Уменьшение нарушений, привносимых технологическими процессами 770 8.6.1. Применение защитных диодных структур 770 8.7. Контроль и управление привносимыми нарушениями в процессе изготовления МОПТ 774 8.7.1. Моделирование накопления привносимых нарушений при проведении технологических процессов 774 8.7.2. Контроль привносимых нарушений с использованием тестовых структур 780 ЛИТЕРАТУРА 788 СПИСОК СОКРАЩЕНИЙ 793
ПРЕДИСЛОВИЕ Мировое производство полупроводниковых изделий в своей подавляющей части основано на конструктивно-технологическом базисе КМОП-приборов. При этом в настоящее время в нашей стране отсутствует обобщенный анализ современной субмикронной и глубокосубмикронной технологии их изготовления. В 1980-е годы у наших специалистов-электронщиков большой популярностью пользовались книги «Физика полупроводниковых приборов» С. Зи и «Технология СБИС» под его редакцией. Конечно же, многие положения, рассмотренные в этих изданиях, справедливы и для субмикронной технологии. Однако прогресс технологии микроэлектроники инициировал интенсивные исследования новых конструктивных видов физической структуры субмикронных и глубокосубми- кронных МОП-транзисторов. Наблюдаемое в последние годы значительное, более чем на порядок, уменьшение размеров элементов физической структуры транзистора как в плане, так и в сечении, привело к определяющему влиянию сильных электрических полей на характеристики и надежность МОП-транзисторов. За рубежом в последние годы регулярно появляются многочисленные публикации, посвященные исследованию и разработке методов управления распределением напряженности электрического поля в активной области транзистора путем построения необходимого концентрационного профиля и выбора физической структуры и конструкции элементов МОПТ. Выполняемые в настоящее время исследования направлены, главным образом, на снижение влияния короткоканаль- ных эффектов, уменьшение паразитных сопротивлений и емкостей, подавление до приемлемого уровня эффекта «горячих» носителей. Успехи этих исследований и достижения в разработке технологических процессов изготовления субмикронных МОПТ обеспечили увеличение нагрузочной способности, быстродействия и надежности транзисторов при масштабировании. Однако эта значительная по объему информация не систематизирована, вследствие чего и возникла необходимость в подготовке и выпуске предлагаемой книги. В этом издании все части объединены в один том. Это сделает книгу более удобной для использования как учеными и специалистами-электронщиками, так и широкой студенческой аудиторией, желающей ознакомиться со всей полнотой достижений и задач современной микроэлектроники. В новое издание внесены небольшие исправления, необходимость в которых указана уважаемыми читателями, за что я им очень признателен. Я благодарен О.М.Орлову за полезные замечания по второму изданию. Г. Я. Красников
ГЛАВА I ОСОБЕННОСТИ РАБОТЫ СУБМИКРОННЫХ МОП-ТРАНЗИСТОРОВ 1.1. Введение Основная особенность субмикронного МОП-транзистора (МОПТ) заключается в том, что он работает в экстремальных условиях воздействия эффектов короткого канала и сильных электрических полей. Поэтому целью первой главы является изложение этих аспектов работы МОПТ, с которыми, по нашему мнению, необходимо в первую очередь ознакомить читателей и особенно — разработчиков технологических процессов и технологов полупроводникового производства. Акцент сделан на изложении результатов экспериментальных исследований и описании подходов в создании аналитических и полуэмпирических моделей параметров и процессов, протекающих в структуре МОПТ Конечно, трудно выбрать наиболее важные вопросы, оставив без внимания все остальные. Справедливо говорят, что в технологии есть основные и вспомогательные процессы, но — нет второстепенных! И тем не менее пришлось выделить те проблемы субмикронных МОПТ, решение которых, на наш взгляд, определяет дальнейшее успешное развитие СБИС КМОП. В начале главы даются определения короткоканальных эффектов и краткое изложение длинноканальной модели МОПТ При описании работы субмикронных транзисторов основное внимание уделяется универсальной зависимости эффективной подвижности носителей от эффективной напряженности поперечного электрического поля. Каждый из конструктивных элементов транзистора и параметров технологического процесса изготовления прибора в той или иной мере влияет на эту зависимость. В первой главе изложены концепция эффективного электрического поля в канале и представления о механизмах рассеяния носителей, а также основные факторы, приводящие к несоответствию значения эффективной подвижности универсальной зависимости. Приведены соотношения для подвижности электронов и дырок, зависящие от напряжения на затворе (Vg), порогового напряжения (V) и толщины подзатворного окисного соля (7^), которые многократно подтверждены экспериментами в различных лабораториях мира. Эти соотношения являются основой для описания аналитической модели МОПТ Сначала рассматривается модель, в которой допускается отсутствие градиента электрического поля в поперечном направлении. Затем предлагается модель без этого допущения, что позволяет получить выражение для тока-стока как функции эффективной длины канала и с учетом последовательного сопротивления сток-истоковых областей. Наконец, предлагается дальнейшее развитие этой модели с учетом непостоянства потока электрической индукции со стороны стока, что позволило описать и объяснить резкий спад порогового напряжения в области малой (< 0,25 мкм) длины канала. Такое последовательное усложнение модели позволяет читателю полнее оценить влияние различных факторов на характеристики транзистора.
Глава 1. Особенности работы субмикронных МОП-транзисторов Несмотря на снижение напряжения питания до 2,5 В, деградация параметров МОПТ, вызываемая горячими носителями, остается серьезной проблемой. Рассматриваются результаты расчетов распределения напряженности электрического поля вблизи стока для МОПТ с полным и частичным перекрытием стока затвором. Результаты показывают, что положение и величина максимума напряженности электрического поля при высоком и низком напряжениях на стоке могут изменяться, вследствие чего в некоторых случаях определение срока службы приборов методом ускоренных испытаний может быть ошибочным. Уменьшение размеров МОПТ при масштабировании вызывает эффекты, которые связаны с изменением параметров физической структуры. Так, повышение концентрации легирующей примеси в перекрытом затвором участке стоковой области приводит к увеличению тока утечки стокового /ья-перехода, индуцированного напряжением на затворе, при напряжении, меньшем, чем напряжение пробоя р-п- перехода. При уменьшении длины канала возможно возникновение так называемого обратного короткоканального эффекта, обусловленного неправильным выбором технологии реализации структуры. Он проявляется в увеличении порогового напряжения и эффективной длины канала. Актуальна в настоящее время проблема выбора конструкции р- канальных МОПТ Конструктивно-технологические особенности транзисторов как с поверхностным, так и со скрытым каналом требуют компромиссного решения с учетом обеспечения технологичности изготовления и необходимости увеличения тока стока при приемлемых короткоканальных характеристиках. В глубокосубмикронных МОПТ (с длиной канала Ьс < 0,18 мкм) проявляются качественно новые закономерности переноса носителей в канале. Это вызвано значительным возрастанием напряженности как поперечного, так и продольного электрического поля. В первом случае это приводит к ретроградному распределению плотности носителей в канале из-за квантования энергии носителей под воздействием сильного поля в направлении, перпендикулярном поверхности канала. Следствием этого эффекта является увеличение эффективной толщины подзатвор- ного окисла. Во втором случае из-за значительного увеличения как напряженности, так и градиента электрического поля энергия электронов не успевает релаксировать при взаимодействии с решеткой, в связи с чем она не соответствует значению напряженности электрического поля в данной точке канала. Такая нелокальная зависимость энергии электронов от напряженности электрического поля приводит к так называемому «перегреву» электронов, иначе говоря, к превышению скорости носителей ее равновесного значения, соответствующего напряженности поля в данной точке. Не все из описанных в данной главе особенностей работы субмикронного транзистора найдут продолжение в последующих главах. Однако мы сочли необходимым кратко рассказать о них в связи с проведением широких и интенсивных исследований в этой области при разработке технологии изготовления МОПТ с глубокосубмикронными размерами элементов. 1.2. Короткоканальные эффекты в МОПТ 1.2.1. Зависимость порогового напряжения от длины канала При выводе соотношений для порогового напряжения Vt предполагается, что весь заряд под затвором в области обеднения (Qb) определяется напряжением на за-
1.2. Короткоканальные эффекты в МОПТ IЗГ творе. Это справедливо лишь для длинноканаль- ных приборов, в которых ширина областей обеднения у стока и истока много меньше длины канала L. Однако, когда длина канала уменьшается, уже нельзя пренебречь зарядом ((?,) областей обеднения стока и истока в сравнении с зарядом Qb (под затвором). Другими словами, когда длина канала уменьшается, затвор контролирует меньший заряд в подложке на величину (QX что приводит к снижению порогового напряжения. Из-за двумерной природы распределения заряда и электрического поля исследование короткоканального эффекта должно производиться путем решения двумерного уравнения Пуассона численным или аналитическим методами. В то же время для обеспечения простоты моделирования приборов зависимость порогового напряжения от длины канала выражают приближенными аналитическими выражениями, используя схему разделения зарядов [1], представленную на рис. 1.1. В трапецеидальной области под затвором заряд Qb полностью контролируется напряжением на затворе. Заряды в заштрихованных областях контролируются напряжением на стоке и истоке. Принимая допущение о равенстве ширины области обеднения Wd стока, истока и канала, получим выражение для заряда обеднения в подложке Qb, контролируемого напряжением затвора: Рис. 1.1. Модель разделения заряда [1] для случая короткоканального МОПТ при достижении порога (начала) инверсии. Заряд в заштрихованных областях контролируется истоком и стоком Q\=qNbWA\-(Xj/LeffyQ\ + 2Wd/Xj-\)]=Qb-F^ (1.1) где X. — глубина залегания сток-истоковых /?-я-переходов, Leff — эффективная (электрическая) длина канала. Коэффициент Fx называют коэффициентом разделения зарядов. Он определяет ту часть общего заряда обеднения, которая контролируется затвором. В длинноканальных приборах Fx равен 1, так что Qb = Qb. Глубина залегания /?-я-переходов Х} играет важную роль в определении степени короткоканальности транзистора, так как Fx зависит не столько от величины Lejp сколько от отношения LeJfK Xr Поэтому 5-микронный транзистор с большим значением Xj может оказаться более короткоканальным, чем 3-микронный прибор с меньшей глубиной Ху Однако для заданной технологии с определенной физической структурой величина Xj фиксирована и тогда соотношение (1.1) определяет зависимость Qb от Lejr При этом часто используется другое приближенное выражение для A Qb : A<2; = (2(pf + ^)-Y,/4# , (1.2) где у, — подгоночный параметр, Vsb — напряжение исток-подложка, (pF- ал Ферми. потенци-
Глава 1. Особенности работы субмикронных МОП-транзисторов При малом напряжении на стоке уменьшение порогового напряжения, связанное с короткоканальным эффектом, составит: AVt=-AQ'JC0, (1.3) 1.2.2. Узкоканальный эффект При расчете величины порогового напряжения всегда необходимо оценивать влияние узкоканального эффекта. Этот эффект связан, с одной стороны, с изменением концентрации в подложке, вызываемом смыканием области охраны и канала, а с другой, — с изменением напряженности электрического поля затвора вдоль канала, где толщина подзатворного окисла увеличивается до толщины изолирующего окисла. На рис. 1.2 эффективная ширина канала JVefr меньше, чем топологическая ширина Wtop на величину A W из-за бокового ухода изолирующего окисла и факторов фотолитографического процесса. Периферийное поле затвора индуцирует на границе с изолирующим окислом дополнительный заряд AQW До тех пор, пока Weff; значительно больше ширины области обеднения Wd = /2ел. -^- , где поверх- ностный потенциал (величина изгиба энергетических зон), Nh— концентрация в однородно легирован- Электрод затвора "(поликремний) п имплантац. области ной подложке, можно считать, что заряд A(?w много меньше общего заряда обеднения Qb. Однако, когда WQfr становится сравнимой с Wd, заряд AQW оказывается значительной компонентой заряда Qb. Так как дополнительный заряд A(?w требует дополнительного напряжения на затворе, чтобы обеспечить условие инверсии в канале, то это вызывает увеличение порогового напряжения на величину 2AQw/Cox. Таким образом, уменьшение ширины канала МОПТ вызывает увеличение порогового напряжения. Для не слишком малых значений JVeff и в предположении, что концентрация примеси в охранной области Nguard много меньше поверхностной концентрации в канале Ns, выражение для AQW имеет вид [2]: где Vsb — напряжение исток-подложка, а фёиагс1 — поверхностный потенциал в охранной области, равный: Рис. 1.2. Поперечное сечение приборной структуры МОПТ с узким каналом, иллюстрирующее влияние краевой составляющей электрического поля на заряд области обеднения [2]
1.2. Короткоканальные эффекты в МОПТ 15 Ф^ = 2КГШ^] Кг-^\ (1.5) где yw — коэффициент, зависящий от технологии, yw< 1. В практических расчетах часто используют допущение NguaTd = Nb, тогда фЕиаг£1 = 2cpF, а выражение для A(?w принимает вид [3]: AQw = (2<pF+Vsb)-yw/W<ff . (1.6) При малом напряжении на стоке соответствующее увеличение порогового напряжения AVt, вызываемое узкоканальным эффектом, будет равно: AyT = ^J2^ + V*}-y» . (1.7) Г W ^ох VVeff 1.2.3. DIBL-эффект При анализе влияния короткоканального и узкоканального эффектов на пороговое напряжение предполагалось, что напряжение на стоке Vd мало (< 0,1 В). Однако с ростом Vd в субмикронных приборах область обеднения стока распространяется в направлении к истоку, и вследствие проникновения поля стока потенциальный барьер на истоке снижается. В результате происходит увеличение инжекции электронов из истока в канал и рост подпорогового тока. Описанное явление называют эффектом снижения потенциального барьера на истоке, индуцированного напряжением на стоке, или DIBL-эффектом (drain induced barrier lowering) [4]. Степень проникновения поля стока в латеральном направлении зависит от Zeff, толщины подзатворного окисла Тох, Хр распределения концентрации примеси в канале и напряжения Vd. В короткоканальных приборах уменьшение порогового напряжения, вызываемое DIBL-эффектом, описывается простым эмпирическим соотношением, связывающим линейной зависимостью VtnVd: .V, = V,0-bVds, (1.8) где Ую — пороговое напряжение при малых Vd (< 0,1 В) с учетом влияния короткоканального и узкоканального эффектов (1.3), (1.7), а параметр 8, называемый коэффициентом DIBL-эффекта, определяется следующим образом: Параметр 50 является подгоночным коэффициентом, позволяющим учесть влияние геометрии прибора при заданных Х} и Nb. Показатель степени при £efrM3~ меняется в диапазоне 1-кЗ. В соотношении (1.9) зависимость 5 от напряжения смещения подложки относительно истока Vsb не учтена. Так как эта зависимость может иметь существенное значение, то используют выражение для 8, учитывающее ее [5]: 8 = b0eSi/Leff{Cox + CD(Vsb)) ^ (110) где CD — емкость области обеднения. Следует отметить, что в связи с тем, что напряжение на стоке модулирует потенциальный барьер в области канала, то иногда сток называют вторым затвором,
Ci Глава 1. Особенности работы субмикронных МОП-транзисторов а параметр 5 — статическим коэффициентом обратной связи [6]. Значение учета DIBL-эффекта становится очевидным в случае, когда рабочее состояние транзистора определяется при V = 0. Это состояние соответствует уровню логического нуля интегральной схемы. При напряжении на стоке Vd = 0,05 В ток стока имеет порядок 1011 А, но при Vd = 3 В ток выключенного состояния увеличивается на два порядка. Следовательно, статическая мощность рассеяния ИС в случае пренебрежения DIBL-эффектом будет занижена относительно реальной на те же два порядка величины. Для приборов, работающих в режиме насыщения, DIBL-эффект определяет выходное сопротивление. 1.3. Ток стока одномерного длинноканального МОПТ В настоящей главе представлены модели МОПТ с субмикронными размерами до 0,25 мкм и менее. В качестве отправной точки кратко описывается одномерная модель длинноканального транзистора [6]. 1.3.1. Случай сильной инверсии Для иллюстрации используемой системы координат на рис. 1.3 изображено поперечное сечение МОПТ. В случае сильной инверсии диффузионным током в канале можно пренебречь, поэтому уравнение перено- Рис. 1.3. Поперечное сечение МОП-транзистора с при- са носителей содержит лишь нятой привязкой системы координат дрейфовую составляющую: 1„=Ц0Лу)-Ф)), (lid где QJy) — плотность заряда носителей в инверсионном слое; v(y) — дрейфовая скорость носителей. Если дрейфовая скорость носителей линейно зависит от напряженности ускоряющего продольного поля Е(у), тогда (1.11) примет вид: Io = wQ^yy^^, (1.12) где \in — подвижность носителей, V(y) — напряжение в точке у канала. Для одномерной модели длинноканального транзистора принимаются следующие приближения: 1) подвижность носителей не зависит от напряженности поперечного и продольного полей в канале, и вследствие этого игнорируется деградация подвижности из-за насыщения скорости носителей и из-за наличия вертикального поля; 2) выполняется приближение плавного канала: дУ(х,у) „ дУ(х,у) ду дх ' Затвор 1 Меток \ 1 о , „• ^ v 1 Сток L 1 ^^ X
1.3. Ток стока одномерного длинноканалъного МОПТ т.е. плотность зарядов инверсионного слоя и слоя обеднения определяется поперечным полем. Поэтому, используя приближение полного обеднения (когда концентрация носителей незначительна по сравнению с концентрацией примеси в большей части области обеднения), для плотности заряда носителей в области инверсии Qn(y) принимается соотношение: Оп(У)= Сох[Уе-У„ -2vF-V(y)\ + j7zaqNa[24P + Щу)- Vg] , (1.13) где Сох — емкость подзатворного окисла, Vg — напряжение на затворе, Уъ — напряжение плоских зон, фр — потенциал уровня Ферми, £Si — диэлектрическая постоянная кремния, Vb — напряжение смещения подложки. Используя (1.13) в (1.12) и интегрируя (1.12) от у = О до у = L, получим известное выражение для тока длинноканального транзистора: ш 1 о j r„=»n-[-{CJVg-V/b-2<?F--Vd)Vd--J(2eslqNa)x (1.14) х [(2<р, + Vd - Vb f2 - (2q>, + V,-V„ f'2 ]}. Если подложка и область истока заземлены (Vb= Vs. = 0), то уравнение (1.14) примет вид: Ia-^~{C0X{Vg-Vfb-2^F-^Vd)Vd--^(2zSiqNa)x (U5) xl(2(?F + Vdf2-(2<?Ff2]}. Если сделать дополнительное предположение о малости объемного заряда в сравнении с зарядом носителей в инверсионном слое, то соотношение (1.15) приведет к простому квадратичному соотношению: ^ = ^соЛК-у,-\к)К. (1.16) В момент входа транзистора в режим насыщения плотность носителей в точке L можно принять равной нулю: Qn(L) = 0. Используя это условие для уравнения (1.13), получим: = К -V* -2q>, --±-J[2eSiqNa(2<?F + Vs-Vb)]. (1.17) <2„(/) = 0 v d,sat Выражение для Idsat можно получить из (1.14) подстановкой Vd = VdfSSLt. При использовании допущения о малости объемного заряда выражение (1.16) для /d примет вид квадратичной зависимости: 4 = yf C0X(Vg-Vtf . (1.18) При увеличении напряжения на стоке Vd > Vu>sat наблюдается эффект модуляции длины канала. Так как ток /d sat обратно пропорционален длине канала Ьл то в соответствии с указанным эффектом ток стока будет связан с /dsat следующим образом:
Глава 1. Особенности работы субмикронных МОП-транзисторов *d\Vd> *d,sat) — *djsat ' г _ д т\ • (1.19) где AL — длина области отсечки, которая распространяется в направлении к истоку при увеличении Vd. Наиболее общим методом определения AL является решение уравнения Пуассона в области отсечки: д2У(х,у) д2У(х,у) = -р(х,у) Эх2 . (1.20) В наиболее простом случае одномерного одностороннего резкого/ья-перехода заряд области обеднения р(у) = —qNa. Также принимается допущение о равенстве нулю Е(у) в точке у = L — AL. С учетом этих допущений и предположения отсутствия вертикальной компоненты поля в работе [7] получено выражение AL: Г 9 п1/2 YqK к d Теперь следует учесть некоторые физические несоответствия одномерной длинноканальной модели. Прежде всего они касаются распределения напряженности электрического поля в канале. Напряженность электрического поля в канале Е(у) при y<L — AL может быть получена подстановкой (1.16) в уравнение (1.12). Используя допущения, принятые при выводе соотношения (1.2), можно получить: У т d,sat (1.21) Е(у) = V-V, 1 2(L-AL) j У_ для у< L — AL, (L-AL) Е(у)-. «К [у- (L- АЦ] для у > L - AL. (1.22) (1.23) Рис. 1.4. Типичная картина изменения продольной составляющей напряженности электрического поля Е(у) вдоль канала для МОПТ в режиме насыщения в соответствии с длинноканальной моделью На рис. 1.4 представлена зависимость Е(у). При у -> L — AL выражение для Е(у) стремится к бесконечности. Это является следствием принятого допущения о равенстве нулю заряда носителей в точке отсечки канала, т.е. Qn(L - AL) = 0. Тогда для сохранения непрерывности тока значение E(L — AL) слева от точки (L — AL) должно стремиться к бесконеч-
1.3. Ток стока одномерного длинноканального МОПТ 19 ю-3 декада/дел. < 1013 vbs = ob VH =3.1 В у// //Vds=l.6B - ' °-° 0.2000/дел. 2'° V(B) ности. Справа от точки (L — AL) значение Е равно нулю вследствие допущения о резком /ья-переходе, принятого при выводе (1.21). Эти допущения привели не только к бесконечному значению E(L — AL), но и к значительной переоценке величины AL, которая даже в длин- ноканальных транзисторах может достигать значения L. Основным допущением, которое привело к такому результату, является условие Qn — О в точке отсечки канала. Рис. 1.5. Измеренные подпороговые IJ,Vg) характеристи- Это условие никогда не вы- ™МОПТ(Тм = 88A; Weff=2,0mkm;Z,^=0,2мкм).Обратная величина наклона прямолинейных участков получила наименование подпорогового 5-фактора и измеряется в мВ/декаду (изменения тока стока) полняется даже для длинно- канальных транзисторов,так как в действительности скорость носителей ограничивается конечным значением, равным скорости насыщения. 1.3.2. Режим слабой инверсии Когда напряжение на затворе Vg МОПТ уменьшается ниже порогового напряжения Vr прибор входит в подпороговый режим и ток стока резко падает. При Vt« 0,2 В и ниже спад становится экспоненциальным, и зависимость Id(V) становится похожей на зависимость коллекторного тока биполярного транзистора от напряжения на эмиттербазовом переходе (рис. 1.5). Подпороговый ток на этом участке ВАХ имеет вид: 4) ехР \пкТ ) (1.24) где п > 1. МОП-транзистор в режиме слабой инверсии действительно работает подобно биполярному транзистору. Неосновные носители инжектируются из истока («эмиттер») в приповерхностную область /?-типа («база»). Большая часть этих носителей собирается на стоке («коллектор»), причем перенос имеет диффузионный характер, как в биполярном транзисторе. Однако существуют большие отличия от биполярного транзистора. Во-первых, инжекция носителей имеет локализованный характер (у поверхности). Во-вторых, напряжение «VEB» (представляемое поверхностным потенциалом cps в МОПТ) подается на «базу» через емкость затвора. В связи с этим эффективность передачи напряжения низка, так как часть напряжения падает на подзатворном окисле. Коэффициент ц^ определяющий эффективность передачи напряжения, определяется следующим образом:
Глава 1. Особенности работы субмикронных МОП-транзисторов _d(P l 1 где CD — емкость слоя обеднения. Как следует из этого соотношения, эффективность л выше для приборов с тонким подзатворным окислом, так как в этом случае падение напряжения на окисле меньше. Вместо r|v = \/п чаще используется величина S= nkT/q — «подпороговая крутизна» или подпороговый ^-фактор. Влияние параметров технологического процесса на величину подпорогового 5-фактора осуществляется через величину отношения CD/C0X. Длина канала и глубина залегания /ья-перехода оказывают слабое влияние на 5-фактор, если конструкция МОПТ не допускает объемного или поверхностного смыкания сток-истоковых областей. Необходимо отметить, что/ьМОПТ со встроенным каналом характеризуются высоким значением S, так как в режиме слабой инверсии скрытый проводящий канал увеличивает эффективную толщину подзатворного окисла. Паразитный МОП-транзистор на изолирующем окисле также характеризуется высокими значениями 5-фактора (от 0,5 до 1,5 В/дек.). В связи с этим у таких транзисторов в сильной степени проявляется эффект спада порогового напряжения Vt при уменьшении длины канала. Поэтому для обеспечения удовлетворительной изоляции активных приборов необходимо тщательно рассчитывать пороговое напряжение паразитного транзистора. I .4. Ток стока короткоканального транзистора Длинноканальная модель тока стока МОПТ не является адекватной для коротко- канальных приборов. Для обеспечения адекватности их описания необходимо учитывать следующие факторы: деградацию подвижности носителей при воздействии сильного вертикального поля; насыщение скорости носителей; влияние объемного заряда стока; неравномерное легирование канала; последовательное сопротивление истока и стока; емкость инверсионного слоя; эффекты горячих носителей. Наиболее сильное влияние на величину тока стока оказывают первые четыре фактора. Последовательное сопротивление сток-истоковых областей играет значимую роль в МОПТ с длиной канала < 0,25 мкм. Эффекты горячих носителей являются обязательным предметом исследований при масштабировании субмикронных приборов. 1.4.1. Универсальная зависимость подвижности носителей от напряженности эффективного электрического поля. Концепция эффективного поля Факт зависимости поверхностной подвижности носителей от напряженности поперечного поля известен давно [8]. Физические процессы, ответственные за эту зависимость, связаны с рассеянием носителей на фононах, кулоновских центрах и микрорельефе поверхности. При комнатной температуре МОПТ с хорошим качеством границы Si-Si02 в режиме сильной инверсии, в основном, носители испытывают влияние рассеяния на фононах. Широко используемая эмпирическая модель эффективной поверхностной подвижности, имеющая вид [9]:
/. 4. Ток стока короткоканального транзистора 21 Ц, Ш> ЙГ i+e(^-^)' (1.26) где ц0 — подвижность в объеме (вдали от поверхности), основана на экспериментальном наблюдении, что подвижность имеет максимальное значение при напряжении на затворе вблизи порогового напряжения Vt и монотонно снижается с увеличением (V— ^.Параметр 6, как обнаружено, сильно зависит от технологических факторов и от напряжения на подложке. Соотношение (1.26) дает хорошее совпадение результатов эксперимента и расчета характеристик транзисторов при не очень высоких электрических полях (Тох> 15 нм). Физическая интерпретация зависимости (1.26) стала возможной благодаря предложенной в работе [10] концепции эффективного поперечного электрического поля EeffiA ее использования в экспериментально наблюдаемой универсальной зависимости \ief£Eeff), справедливой как для электронов, так и для 550 450 350 о PQ Ъ, о w 250 zL 150 sn 1 1 : ^ ■ \ - - i \ : ■ - - ■ : "i i 0 11111111 ^i ij 111 и 111111111111111111 и 11111 j°4 i W/L= 100/10 мкм : 1 \x 1 n-МОП: 6х1016/см3 : j +NU р-МОП: Зх1016/см3 \ 6 53 k ' \^ \ j : t . X 89 A \ ! i - ox' + 158 A 4-х j : # 436 A . i-\ : ..- i ! ! 4 ^n : i i i 4 : : i i \ \ : : ' • #v - ' о ' ' ; о 50А 1 i : i t . X 89 A j ! : [ 0X' + 169 A i i : . j #. 438A 1 1 : -io.x,. : : : •°x-*°+-. . : : i ! 0Х*Ч-Хчо# : ■ j ^0+x-njx.+ o.#_ (ip : llLllllLllu LllhIllli I i i и 1 i m i Lu_lj-Lllll 0.2 0.4 0.6 0.8 EefT (MB/CM) Рис. 1.6. Универсальная экспериментальная зависимость эффективной подвижности jiieff от эффективной поперечной составляющей напряженности электри- дырок [11,12]. Выражение для веского поля Eeff для электронов и дырок в инверсион- напряженности эффективного номслое[12] электрического поля имеет вид: Eeff ~ йв+Ъ'Оп (1.27) где QB и Qn — плотности зарядов в слоях обеднения и инверсии. ^представляет собой среднюю напряженность электрического поля <Е>, воздействующего на носители в инверсионном слое: Ее//=<Е>: Jo n(x)Ex(x)dx j~n(x)dx Qb J qn(x)dx + — J n(x)i\ n(u)du\6x £o. \ x I /0,= Qb-Q. , i 1 f°° p Jo dQ„(x) 6x Q„(x)dx \/Qn = (1.28) = Qb+Q"/2 , где Qn(x) = q\~n(x')dx'. p J x
Глава 1. Особенности работы субмикронных МОП-транзисторов ю4 OQ J 103 ость ъ * S в 3 о с ю2 III II NA (см-1) £-2 о з.9 х 10'5 efT • 2.0 xlO16 ~ 11K \ a 7.2x10'" ^йв*»*****?^^ \ *3.0xl017 ^^o^-^\\ n77xl017 P^y 2.4x10- - A A ФЛ E °-3 A #> " -H - *% ^"х|'Д^Зщ^ц ^ ▲ ^эьг* ■ ••* ^ (100) o^W "■■ D ^*ta Для электронов .*"Чи iii ii 0.1 1.0 Напряженность эл. поля Fcff (МВ/см) Необходимо указать, что упомянутая универсальная зависимость имеет место только в том случае, если подвижность ограничена рассеянием носителей на фононах. Экспериментальные универсальные зависимости jneff в инверсионных слоях для электронов и дырок от Eeff представлены на рис. 1.6. Эти зависимости могут быть представлены эмпирическим соотношением [12]: Veff = HE«ltf ' (1.29) Рис. 1.7. Зависимости эффективной подвижности электронов (jieff) в инверсионном слое (при ЗООК и 77К) от эффективной поперечной составляющей напряженности электрического поля (ЕеЛ) для конкретных значений концентрации акцепторной примеси (NA) в подложке. Для вычисления £е#использовалось соотношение (1.27) при л = 0,5 т? ю3 PQ о is Л 1 ю2 Подвиг 20 i i i 77 К Eeff 300 К ^~"^ (100) Для дырок ■ i i 0.1 Напряженность эл. i i ■ i 1.0 поля Feff (Mb/cm) Рис. 1.8. Зависимости эффективной подвижности дырок jaeffB инверсионном слое (при ЗООК и 77К) от эффективной поперечной составляющей напряженности электрического поля (Eeff). В качестве параметра выступает концентрация донорной примеси в подложке. Для вычисления Eeff использовалось соотношение (1.27) при г| = 1/3 Дальнейшие исследования [13] были направлены на изучение области применимости и физического обоснования универсальности закономерностей, представленных на рис. 1.7 и 1.8. Как видно, подвижность электронов при комнатной температуре описывается универсальной зависимостью (не зависящей от концентрации примеси в подложке) в диапазоне значений Eeff от 0,05 до 1,5 МВ/см, если параметр ц = 1/2. Подвижность дырок подчиняется универсальной зависимости в диапазоне Ее/= 0,05 до 1 МВ/см при значении параметра rj = 1/3. Универсальность указанных зависимостей сохраняется для диапазона концентраций примеси в подложке до 51017 см3. При более высоких концентрациях подвижность отклоняется от универсальной закономерности при значении Еф приблизительно соответствующем пороговому напряжению. Рассмотрение зависимости подвижности электронов от Eeff (рис. 1.7) показывает, что при
1.4. Ток стока короткоканального транзистора п комнатной температуре в диапазоне Eeff = 0,05н-0,5 МВ/см подвижность пропорциональна Eeff-°'\ а при Eeff> 0,5 МВ/см зависимость становится более крутой; при температуре 77К подвижность приблизительно пропорциональна EeJf~2. Для зависимости дырочной подвижности от Д,#(рис. 1.8) характерны следующие особенности. При температуре 300К она не может быть охарактеризована постоянным показателем степени во всем диапазоне Еф можно только сказать, что эта зависимость немного сильнее, чем Eeff ~0'3. Кроме того, изменения в наклоне зависимости при высоких Eeff менее значительны, чем для случая электронной подвижности. При Е = 77 К дырочная подвижность почти пропорциональна Eeff ~~7, т. е. слабее зависит от Eeff9 чем подвижность электронов. На рис. 1.9 схематично изображены рассматриваемые закономерности с использованием общих представлений о механизме рассеяния носителей. Как видно, универсальная зависимость может быть разделена на область влияния механизма рассеяния носителей на фононах и на область влияния рассеяния на микрорельефе поверхности. Отсюда различие в зависимости подвижности электронов и дырок от Eeff может быть связано с действием механизма рассеяния на микрорельефе поверхности, так как это различие увеличивается в области высоких Eeff и/или низких температур. Исследования, выполненные в работе [13] в широком температурном диапазоне до 77К, позволили подтвердить этот вывод, а кроме того, экспериментально определить соотношения между подвижностью, ограниченной упомянутыми механизмами рассеяния, температурой и Eeff для электронов и дырок. Так, соотношение для подвижности носителей, ограниченной рассеянием на фононах, имеет вид: ц^Л-J^.r1'75, (1.30) где А - постоянная, равная 2-Ю5 для электронов и 6,1*104 для дырок. Соответствующее выражение для подвижности электронов, ограниченной рассеянием на микрорельефе поверхности, не содержит параметра, зависящего от температуры: H«r = *'^7, (1.31) где В = 4,5 -1019, а у = 2,6. Механизм рассеяния дырок на микрорельефе поверхности влияет на значения подвижности в широком диапазоне Eeff вплоть до 300К. В связи с этим затруднительно было представить выражение для \хтг одним степенным множителем E'L, н о о Кулоновское рассеяние Рассеяние [а поверхностном рельефе ' Рассеяние оиРЛ1,аа ^ на фотонах высокая температура . Результирующая подвижность Напряженность эл. поля F Рис. 1.9. Схема наложения отдельных участков зависимости эффективной подвижности (|uetT) от Eeff или ns в инверсионном слое, соответствующих доминирующим механизмам рассеяния
Глава 1. Особенности работы субмикронных МОП-транзисторов поэтому в качестве \хтг для дырок принимается экспериментальное значение при 300К. Результирующее значение подвижности носителей определяется правилом Маттисена [14]: ^=Mi+lC. (1.32) Приведенные соотношения дают хорошее совпадение с экспериментом в области средних и высоких значений Eefp где роль механизма рассеяния носителей на кулоновских центрах незначительна. 1.4.2. Отклонение от универсальной зависимости Как видно из рис. 1.7 и 1.8, при малых значениях напряженности (приблизительно соответствующей пороговому напряжению) наблюдается отклонение \х(Е) для дырок и электронов от универсальной зависимости. Это отклонение тем больше, чем выше концентрация примеси в подложке. Эти факты указывают на преобладающее влияние в этих условиях механизма рассеяния носителей на кулоновских центрах. Известно, что существуют три вида центров кулоновского инвер- на 104 | w ъ и -о ulom _£ 102 1П1 " - " 1VJ 1 1 1 л>^' -J - ,'* ^ ' ■•</ V zsfp " ~и ^ > * ^ ,*' 1 1 1 10" ns (см2) —i 1 V <у- ■ _jfP^ Na(cm-3) Д 7.2 xlO16 а 3.0xlO17 - п 7.7xlO17 ■ 2.4 xlO18 _j i [ 1012 Рис. 1.10. Зависимости обусловленной кулоновским рассеянием подвижности электронов (Г= 300К) от Рассеяния носителей в сионных слоях, влияющих их поверхностной концентрации в инверсионном слое (п) при различной концентрации акцепторной подвижность: ионы легирующих примеси NA [9] примесей, заряженные поверхностные состояния и заряженные ловушки в объеме подзатворного окисла. Значение подвижности носителей, связанной с кулоновским рассеянием цсои1, определяется плотностью носителей в инверсионном слое Qn. В основе указанной взаимосвязи лежит экранирующее влияние носителей. На рис. 1.10 представлены зависимости \хкуд от плотности носителей в инверсионном слое ns для различных значений концентрации примеси в подложке NA [13]. Как видно, независимо от NA величина |ucoul пропорциональна удельной поверхностной концентрации носителей ns, в то же время значение цсои1 уменьшается с ростом NA. Взаимосвязь jlicou1 с концентрацией примеси в подложке NA представлена на рис. 1.11. Можно видеть, что величина \хкул обратно пропорциональна Л^как раз в том диапазоне концентраций, в котором наблюдается отклонение \i{Eejf) от универсальных зависимостей (рис. 1.7 и 1.8). При концентрации примеси в подложке NAменьше, чем5-1016 см3, величина \хкул определяется другими кулоновскими центрами — заряженными поверхностными состояниями и ловушками в окисле, действие которых в обычных условиях проявляется слабо. Усилить их влияние на цсои1 возможно, например, производя
1.4. Ток стока короткоканалъного транзистора 104 ъ ю3 PQ о "1 J102 10' 1 > о о16 ■ 1 1 1 1 ■ ч \ О Электроны . \ N^ ° Дырки \> \NA-' \ -Р N -1 \ ^ 300 К Ч \ " п5 = 2х10псм-2 ■ it iii 1017 1018 Na,Nd(cm-3) Рис. 1.11. Зависимости \xcouhmb для электронов и дырок (Т = 300К) в инверсионном слое от концентрации в подложке акцепторной (NA) или донорной (ND) примесей, соответственно. Поверхностная концентрация носителей принималась равной п: = 2- 10псм-2 о Ю ю3 ю2 -I г—г-н 1 1 ■ ■ | Для электронов 7тг41сходная зависимость] N =3.9 хЮ15 см- ОЛ 1 Напряженность эл. поля Е (МВ/см) Рис. 1.12. Взаимосвязь между эффективной подвижностью электронов и эффективной поперечной составляющей напряженности электрического поля при 300К после туннельной инжекции электронов по Фаулеру-Нордгейму. В качестве параметра фигурирует количество инжектированных электронов, приходящееся на единицу площади (Nin). Концентрация акцепторной примеси в подложке составляла NA = 3,9 • 1015см3 инжекцию электронов в подзатворный окисел. На рис. 1.12 представлены зависимости подвижности электронов от Eeff после туннельной инжекции электронов в окисел по Фаулеру-Нордгейму. Отклонение зависимости \iejf{Eeff) увеличивается с ростом плотности инжектированных в окисел электронов ninJ. Созданные в результате инжекции электронов заряженные состояния на границе раздела Si-Si02 (плотность N) приводят к уменьшению компоненты подвижности, связанной с кулоновским рассеянием, даже при низких значениях концентрации примеси в подложке NA = 3,9* 1015 см-3 (рис. 1.13). Естественно, что одновременно с деградацией подвижности носителей наблюдается сдвиг порогового напряжения AVr Выражение (1.27) может быть представлено в более удобной форме, в которой Eeff связана только с такими хорошо известными параметрами, как Vds, Vt и Тох для электронов: (Уг-У)1г + У, гох УГ + У,_У„ + У, ■"ejf,e ■ 2гс; 6Т (1.33) Здесь предполагается, что Qn = Сох (Vg — V). Так как это допущение переоценивает величину Qn при низких (Vg — V) [15], то значения Eeff, полученные из (1.33), будут выше реальных значений, хотя ошибка незначительна при (Vg — V) > 1 В. Для дырок:
Глава 1. Особенности работы субмикронных МОП-транзисторов W ю2 1 =£ 101 nint (см2) 1010 10м ' ' \ N-1 300К \ int ' • Чч Для электронов 1-Хн Инжекцияпо ^ Фаулеру-Нордгейму V* N =2х10псм-2 S 1 . 1 10 100 AVu(mB) Рис. 1.13. Связь между компонентой подвижности, обуславливаемой кулоновским рассеянием (|ncoulomb), определяемой по отклонению от исходной универсальной кривой, и смещением величины порогового напряжения (AV) после инжекции туннелирующих электронов по Фаулеру-Нордгейму. Величина (AV) определялась из C(V) — зависимостей. Nint — поверхностная плотность заряженных центров на границе раздела, которая оценивалась по A Vt в предположении, что смещение порогового напряжения обусловлено только зарядами, расположенными на границе раздела 6,/2,5 + а Vgs+\,5Vt-a ^eff,h 7 5Г (1.34) где Сох — емкость подзатворного окисного слоя, приходящаяся на единицу площади, V — напряжение на стоке и Vt — пороговое напряжение, 80Хи cSi — относительные диэлектрические проницаемости Si02 и Si, соответственно. В случаер-МОПТ величины VgnVt берутся положительными; при этом в случае /ьМОПТ с поверхностным каналом и с /?+-поликремниевым затвором а = 0, а в случае /ьМОПТ с погруженным каналом и с п+- поликремниевым затвором а = 2,3. На рис. 1.14 для сравнения приводится корреляционная картина взаимосвязи между двумя (1.33) и (1.34) соотношениями для Eeff. При этом величины Qinv и Qb для (1.34) брались по результатам Соизмерений. Рис. 1.14 свидетельствует о том, что в случае л-МОПТ соотношение (1.33) является очень 1 П | 0.8- S I* 0.6- 4 0.4- S" и Ч 0.2- 0.0^ 0 ■ ■■■■■■■■> Тоу = 5.4 нм g . W/L= 100/28 jf N... =9xlO,6(cM"3)^f V, = 0.22 В Ж vgs = vth^T f о 0.0В 0.22 В - / т LOB 0.36 В / о 2.5 В 0.51В Г i 1 i 1 i 1 i 1 i 0 0.2 0.4 0.6 0.8 1 0 Eeff=(Vg + Vt)/6TOx(MB/CM) Рис. 1.14. Демонстрация хорошей корреляции хорошей заменой менее удобного между Eeff= (Kgs + Vq)/6T0X и £eff = (Qim/2+ Qb)/eSl для практического использования для л-МОПТ исходного соотношения:
1.4. Ток стока короткоканального транзистора а ^-(а„/2+а)/^- <L35> При использовании новых выражений (1.33) и (1.34) для ^#были получены новые эмпирические соотношения для подвижности носителей в МОПТ, аналогичные тем, которые представлены в работах [12,16]. Так, для «-МОПТ имеем: H„{Vgs,V,,Tox) = 540/[l + (Eeff A9)''85] = 540/ и, соответственно, для/ьМОПТ: \ip{Vgs,V„T0X) = \^/[\ + {E€ Д45)] = 185 где используются следующие размерности: ^[см^В^с1]; Eeff [МВ/см]; Vg [MB]; ^[МВ] и Тох [см]. В первом приближении для всех типовр-МОПТ использовалось одно и то же универсальное соотношение (1.37) для подвижности, при этом изменяемой величиной был только параметр а. Соотношения (1.36) и (1.37) прошли проверку с привлечением измерений многочисленных МОПТ, изготовленных в различных лабораториях, как показано на рис. 1.15 [17]. Соотношения (1.36) и (1.37) определяют подвижность электронов и дырок при небольшой величине латеральной составляющей напряженности электрического поля. Влияние напряжения смещения стока будет рассмотрено позднее в рамках моделирования тока стока. Экспериментальная величина подвижности получается на основании измерений заряда инверсионного канала (в результате интегрирования расщепленных ветвей Cg( ^-зависимости) и тока стока в линейной области. Таким образом, подвижность носителей как в случае «-МОПТ, так и в случае р-МОПТ всех типов может быть предсказана, если заданы такие физические параметры, как Vg, Vt и Тох. Впервые было показано, что дырки в /?-МОПТ как в случае поверхностного канала, так и в случае скрытого канала могут рассматриваться в рамках единой универсальной модели подвижности рис. 1.15. Демонстрация совпадения резуль- за исключением того обстоятельства, татов новой универсальной модели подвиж- что р-МОПТ со скрытым каналом име- ности носителей (сплошные кривые) с экс- ет такую же подвижность дырок, как и периментальными данными для электронов /?-МОПТ с поверхностным каналом при в «-МОПТ и дырок в р-МОПТ, изготовлен- Vg на 2 В меньше - в предположении ных по различным технологиям в шести раз- постоянства Vt и Тох [17]. Законченная ных лабораториях 5.4Г, 1,85 (1.36) 1 + Vgs+\,SV,-a 7,571 /0,45 , (1.37) E^=(Vg + Vt)/6T^(MB/CM) ( 500- 'о4 OQ ^400- э5 1 300- S о о Й 200- о | 100- Е2 3.0 0.5 1.0 1.5 2.0 2.5 i ■ i ■ i ■ i ■ i ■ - ▲ NPub Л | v 2х1018 . Д&Электроны д 5х10,7 *\ о 6х1016 \ Тох = 5.4 нм Iv^ = 0.-1.0 и -2.5В_ □ 69.ГА-0.39в8к Д 71.5 А-0.25В^Ь в 70.0 А-0.78В Жк О 171 А -0.87В ^Jj! 0.0 0.5 1.0 1.5 2.0 2.5 Eeff=(V+Vt-a)/6Tox(MB/cM)
Глава 1. Особенности работы субмикронных МОП-транзисторов форма соотношений для деградации подвижности носителей как функций от Vg, Vt и Тох является основой для разработки аналитической модели тока насыщения стока Л ,. 1.4.3. Зависимость подвижности электронов от напряженности продольного электрического поля По мере приближения скорости носителей к участку насыщения зависимости v(E) происходит снижение подвижности носителей. В процессе движения носителей вдоль канала их скорость приближается к скорости насыщения и, соответственно, происходит снижение их подвижности. Определение зависимости подвижности от продольного поля представляет значительно большие трудности по сравнению с подобной зависимостью от поперечного поля. В работе [18] описана методика независимого определения зависимости подвижности как от продольного, так и от поперечного поля для субмикронных транзисторов с длиной канала вплоть до 0,25 мкм. Методика основана на экстракции параметров р и osat в выражении для зависимости скорости носителей от напряженности продольного Еп и поперечного эффективного Eeff полей [19]: \i0(EJ'Eii я>(Д ,,£,) = 1 + Ш>(Я]>Яц 1/Р: (1.38) где ц0 — низкополевая подвижность электронов при значении напряженности эффективного поля Е±; Ejj — напряженность продольного электрического поля; usat, и [3-параметры. Экстракция указанных параметров осуществлялась путем сравнения экспериментальных и смоделированных с учетом выходных характеристик транзистора с параметрами: Leff= 0,6 мкм, Weff = 20 мкм, Тох = 157 A, NA = 1,2-1017 см-3. По ре- 500 °400 PQ ъ ^.300 н | 200 к |100 ■ i i i i т = зоок -■ \ ^ \\ч ■ %^\ - '* *^7^f 1 1 1 1 1 а) ° 3 6 ' Напряженность эл. поля (хЮ4 В/см) 10 ^-v PQ s ^ S 5 о си о ■ ^ " Л0^ Js б) 0° 3 6 ' Напряженность эл. поля (хЮ4 В/см) Рис. 1.16. Зависимости подвижности электронов (а) и их скорости насыщения (б) от продольной составляющей напряженности электрического поля в канале при комнатной температуре. Экспериментальные данные представлены зачерненными квадратами; теоретические кривые вычислялись по формуле (1.38) при р = 1 и osat = 1,1 • 107 см/с (сплошная кривая), usat = 0,8 • 107 см/с (кривая из удлиненных пунктиров) и о^ = 0,6 • 107 см/с (кривая из коротких пунктиров)
1.4. Ток стока короткоканалъного транзистора Рис. 1.17. Экспериментальные значения подвижности электронов как функции эффективной напряженности электрического поля, а также продольной его составляющей при комнатной температуре. Зависимость от эффективного поля была получена с помощью метода, рассмотренного в работе Bangueri J.et al. в SSE, 1996, v.39, p.875. Влияние продольной составляющей напряженности электрического поля учитывалось с помощью предложенного нового метода зультатам процесса экстракции получено: р = 1, usat = 1Д-107 см/с. При этом значения указанных параметров не зависели от напряженности эффективного поля, что согласуется с результатами работы [20]. На рис. 1.16 представлены расчетные зависимости подвижности и дрейфовой скорости носителей от напряженности продольного электрического поля, полученные на основе соотношения (1.38) при различных значениях параметра usat для транзистора с относительно большой длиной канала Leff= 0,6 мкм. Также представлен ряд экспериментальных значений, которые совпали с кривой для usat — 1,1 • 107 см/с. С использованием описанной методики в работе [18] были получены зависимости подвижности электронов от напряженности продольного и поперечного полей для транзистора с длиной канала 0,25 мкм (описанного в работе [21]) (рис. 1.17). Как и для случая Leff= 0,6 мкм значение насыщенной скорости носителей osat= 1,1 • 107 см/с, однако значение параметра р стало выше (Р = 1,5). Необходимо отметить, что результаты, полученные в работе [18], основаны на измерении характеристик стандартного транзистора, а не тестовых приборных структур. Эта особенность использованной методики позволяет предполагать более высокую достоверность полученных результатов. 1.4.4. Насыщение скорости носителей Как известно, при высоких значениях напряженности электрического поля дрейфовая скорость носителей в инверсионных слоях насыщается. Исследования показали, что насыщенная скорость usat электронов составляет (б-ьЮ)-Ю6 см/с, а дырок (4-8)-106 см/с. Для расчета дрейфовой скорости обычно применяют кусочно-гладкую модель, представляющую собой модификацию универсального соотношения (см. (1.20) в [22]): х> = ^{E/Esat) , при £<£„,, (1.39л)
Глава 1. Особенности работы субмикронных МОП-транзисторов Табл. 1.1. Параметры моделей эффективной подвижности для электронов и дырок Мсм2/В(с) Е0 (МВ/см) о0 Для электронов (в поверхностном канале) 670 0,67 1,6 Для дырок (в поверхностном канале) 160 0,7 1 Для дырок (в погруженном канале) 290 0,35 1 u = vsatnVHE>EsaV (1.396) В соответствии с этой моделью насыщение скорости носителей происходит при Esat. Esat может быть выражено через usat и jiefT подстановкой usat при Е = Esat в соотношение (1.39а): »"'ттктткЕ-"^- (1-40> Сравнение указанных моделей на рис. 1.18 показывает, что кусочно-гладкая модель приводит к лучшему соответствию с экспериментальными результатами в широком диапазоне напряженности электрического поля при незначительном завышении значений скорости носителей о вблизи Esa{. Необходимо отметить, что выражение (1.40) для Esat используется для того, чтобы обеспечить наилучшее соответствие экспериментальным результатам в области средних значений напряженности электрического поля. Это означает также, что произведение Esat • |ие#нельзя интерпретировать как физическое значение насыщенной скорости. На рис. 1.19 представлены зависимости v(E), рассчитанные с использованием соот- Рис. 1.18. Сравнение моделей зависимости ско- ношений (1.39) и (1.40) для трех зна- рости носителей от напряженности электриче- чений толщины подзатворного окисла ского поля: модели А и В задаются соотношени- [6]. В расчете использованы следую- ями (20) и (21) в [22], соответственно. Кусоч- щие параметры: Vt = 0J В; V- Vt= 2 В; но-гладквя модель соответствует соотношению MQ7 Qu/ а также 8 UQ ш (1.39). ц0 = 7- ЮсмУВ-сио^ 1 • 10'см/с ^ u [12? ^ 23] Как видно, насыщение скорости носителей происходит интенсивнее при слабом поперечном поле и, соответственно, — при высокой подвижности. 1.4.5. Ток стока в линейной области Получив выражение (1.39) для зависимости скорости носителей о от напряженности ускоряющего поля Е, из уравнения (1.11) можно получить соотношение для тока стока в линейной области. Пренебрегая в (1.13) объемным зарядом, получим [6]: 1081—i «—|—i 1—г" Кусочно-гладкая модель jS Мод ель-А. 104 II iiiinl i i 1 I I I I L 102 103 104 105 106 Напряженность эл. поля (В/см)
1.4. Ток стока короткоканального транзистора 10 'о4 о о > 5 Скорость 0 AYy .г / / / ' //20/ '- /А ' ///Тох(нм) " А/ -и/ 7 . 1 . 1 . 1 . 1 . 1 . 1 . 1 . 1 . 1 . 0123456789 Напряженность эл. поля Е (хЮ4 В/см) Рис. 1.19. Теоретические зависимости скорости приповерхностных электронов от напряженности электрического поля для трех значений толщины подзатворного окис- ного слоя (Тох) - в соответствии с соотношениями (1.39), (1.40) и табл. 1.1. V, = 0,7 В и К -К=2В IV Ду) / = ЮС \У- V(v)~\ *eJf УУ' ' oxlg WJi+[iwU' mev;=vg-vr Распределение поля Е(у) тогда будет иметь вид: Е(у) = {w^C0X[rg-V{y)]-Id}lEsal • Интегрируя (1.42) от у = 0 до у = L и от V(0) = 0 до V(L) = Vd, получим (1.41) (1.42) (1.43) Здесь предполагалось, что jieff не зависит от продольной координаты у. На самом деле |neff зависит от поперечного поля (см. (1.29)), которое изменяется вдоль канала. Однако на практике предположение о неизменности це{Т вдоль канала оказалось приемлемым. Основанием для этого, в частности, является то, что это допущение компенсирует ошибку, допускаемую при расчете скорости носителей при средних значениях Е с использованием двухдиапазонной кусочно-гладкой модели. В выражение (1.43) для Id параметры Esai и L входят в виде сомножителей, поэтому МОПТ будет характеризоваться длинноканальным поведением, если или Z, или Сбудут достаточно большими. В соответствии с данной моделью ток стока в режиме насыщения равен произведению плотности носителей на значение дрейфовой скорости. В приближении плавного канала WQn = Cor(Vg* — Vdsat). Тогда с учетом (1.43) -v«mm(K-v*«)= = —„ г .{у-Y^u\y - 1{ЕШ-Ь)- (1.44а) (1.446)
Глава 1. Особенности работы субмикронных МОП-транзисторов Используя далее соотношение (1.40) можно получить соотношение V = *»' L V* л 45) Ш EsarL+K' (L45) Подставляя (1.45) в (1.44я), получим для тока стока в режиме насыщения: (К)' dsat sat 0XV + Et-L' (1.46) Соотношение для максимальной крутизны в режиме насыщения получается путем дифференцирования выражения (1.44я): ->m,sat rr wsat ^ox i dVdM (1.47) ■ "■—I—'—I , LJXJ\ а) Структура В я-МОПТ, 2 мкм, 30 нм | г- эксперимент теория 200 Н ■ттт7П"Т"Г'ггТ 100 I б) Структура Е/ьМОПТ; 2,3 мкм, 30 нм Г эксперимент 801— теория Т Т^Т Т" Т иг Рис.1.20. Экспериментальные и теоретические выходные Id( ^-характеристики для (а) я-МОПТ (NB) и (б) /ьМОПТ (NE). Приборы типа «В» имели: Lejr= 2 мкм; Тох = 30 нм; Vt = 0,65 Ви^ = 0,3 мкм. Приборы типа «Е» имели: Leff= 2,3 мкм; Тох = 30 нм; Vt = -0,7 В и Xj = 0,5 мкм (взято из работы [23]) Снова стоит упомянуть, что в выражения для Idsat параметры L и Esat входят в виде сомножителей, так что увеличение L или ^создает одинаковый эффект. Адекватные результаты при расчете параметров приборов могут быть получены при использовании значений ом/, равных 8-106 см/с и 6106 см/с для электронов и дырок, соответственно, и значений [х0, о и Е0, приведенных в табл. 1.1. На рис. 1.20 представлены выходные характеристики п- и /^-канальных МОПТ, рассчитанные с использованием рассмотренной модели. Результаты имеют удовлетворительное согласие с экспериментом. Благодаря простоте соотношений они получили широкое распространение несмотря на их приближенный характер. Более того, результаты расчетов близки к экспериментальным даже для приборов глубоко субмикронного диапазона. На рис. 1.21 я, б [24] представлены расчетные зависимости Idsat и gsat для приборов с Leff вплоть до 0,15 мкм вместе с экспериментальными данными [24],
1.4. Ток стока короткоканалъного транзистора которые были скорректированы с учетом последовательного сопротивления сток-истоковых областей. Параметры jli0, Е0и я^ взяты из табл. 1.1, a usat = 8-106 см/с. Рассмотрим некоторые выводы, касающиеся характеристик масштабируемых приборов, используя полученные соотношения. 1. О напряжении насыщения VdsSiV С уменьшением длины канала напряженность электрического поля вблизи стока будет достигать значения Esat при более низком напряжении на стоке. Поэтому Vdsat уменьшается при масштабировании. При уменьшении толщины подзатворного окисла происходит деградация подвижности носителей из-за роста напряженности поперечного электрического поля. В результате Esat, а также и Vdsa{, будут увеличиваться. 2. О токе стока Idsat. Анализируя выражение (1.46) для /Л 1.5 8 0.5k [а) L3,6hm [5,6iX [8,6шКлЧ D L15,5hm ^"ч*~~--^!^^4 ■ ■■■lllllllll ■1|11|||...1...|||||||1 lllllllll □ lllllll.lllllllllnull lllllllll 0.2 0.4 0.6 0.8 1 1.2 Эффективная длина канала (мкм) 1.4 0.8 0.7 9 0.6 >0.5 и ^0.4 X 3 о.з 0.1 о \б) Е-3,6нм р,6нм \ F8,6hm ^^Q^^t hi5,5 нм °Тох = 36А ОТОХ = 56А ДТох = 86А ♦ Т0Х=155А * ^ 0.2 0.4 0.6 0.8 1 1.2 Эффективная длина канала (мкм) 1.4 Рис. 1.21. Экспериментальные и теоретические зависимости от эффективной длины канала (Lef/): (а) тока насыщения стока Idsat и (б) максимальной можно видеть, что при EsatL » Vg крутизны в области насыщения gmsat — для набора токIdsatпропорционален (Vg*)2, что субмикронных МОПТ с четырьмя различными характерно для длинноканально- значениями толщины подзатворного окисного го случая при EsatL}« Vg Idsat ~ Vg\ слоя (TJ. Weff= 10 мкм nVg-Vt = 2B. Данные взяты что характерно для короткока- из работы [24] нального поведения. Подобно выводам об изменении Vdsat при масштабировании, в данном случае прибор проявляет больше длинноканальных свойств при увеличении L или уменьшении Тох. Однако прибор даже с очень малой длиной канала при достаточно низких Vg может проявлять отчасти длинноканальные свойства. В этой ситуации с учетом того, что Esat = 2usat/u^ ток стока равен: La,=^f-~C0X\v;)\ если EmtL»V;. (1.48) Область действия условия длинноканальности зависит от величины Еш /L. При заданной величине Т максимально возможное значение тока стока ■ W- Спг ■ V' (1.49) достигается при очень малой длине канала, такой, что Vdsat стремится к нулю (см. (1.44а)). При этих условиях скорость носителей насыщается уже вблизи истока. 2—1235
34 Глава 1. Особенности работы субмикронных МОП-транзисторов Ток стока реальных приборов всегда ниже этого максимального значения. Полезно ввести коэффициент идеальности по току К} для оценки качества масштабируемого прибора: Lat = Krvsal-W-Cm-rg, где К,<\. (1.50) Из сравнения (1.50) и (1.44) получаем K,={K-vdsal)lK ■ (1-5D Коэффициент Kj очень полезен для сравнения параметров масштабируемых приборов. Так например, я-МОПТ с толщиной окисла Тох = 60 нм и L = 1 мкм насыщается при Vd = 1,3 В и Vg* = 4,3 В. Значение коэффициента идеальности составит 0,72. Это означает, что уменьшение дайны канала при заданной толщине подзатворного окисла позволит улучшить ток стока не более, чем на 30%. На рис. 1.22 представлены зависимости коэффициента ^7от длины канала L для двух значений толщины окисла Тох. Из представленной зависимости следует, что уменьшение длины канала L приборов с толстым подзатворным окислом не позволяет значительно увеличить ток стока. Однако при малых L значение коэффициента Kj стремится к единице независимо от Тох. В этом случае Jdsat изменяется пропорционально Ст. Таким образом, очень выгодно использовать по возможности более тонкий подзатворный окисел в приборах с длиной канала менее 0,25 мкм. Приведенные на рис. 1.21, а,б результаты расчета и эксперимента подтверждают и иллюстрируют этот вывод. 3. О максимальной крутизне транзистора в режиме насыщения gm sat. Из соотношения (1.47) следует, что максимальное значение крутизны в режиме насыщения равно Рис. 1.22. Теоретические зависимости коэффициентов идеальности А, и К от эффективной длины канала Leff при двух различных толщинах подзатворного окисно- го слоя Тох = 25 нм (сплошные кривые) и Тох = 10 нм (точечные кривые) [23]. Здесь Kgm — коэффициент идеальности для gmsat, задаваемый соотношением (1.54) a =W\) С &m,sat rr ^sat^ox (1.52) Однако в реальных приборах gmsat всегда ниже этого максимального значения. Тогда, вводя коэффициент идеальности Kgm bm,sat ~ ^gmW^st Q, при Kgm<\. (1.53) Из сравнения с (1.47) Kgm определяется следующим образом: Kgm=\-dVd^ldV$ . (1.54) Величина gmsal короткоканальных приборов принимает максимальное значение в области средних напряжений смещения на затворе, выше которых она будет
1.4. Ток стока короткоканального транзистора оставаться неизменной либо незначительно уменьшается. Учет коэффициента Kgm очень важен при экстракции vsat: использование выражения для gmsat = W* vsat-Cox вместо Kgm - W • u5fl/- Cox может привести к ошибочным результатам при определении имг 4. Влияние последовательного сопротивления сток-истоковых областей. Сопротивление сток-истоковых областей имеет три основные компоненты: сопротивление контактов, поверхностное сопротивление диффузионных областей и зависящее от напряжения на затворе сопротивление диффузионного слоя сток- истоковых областей на участке спада концентрации. Величина удельного сопротивления контакта алюминия к диффузионному слою не превышает 100 Оммкм2. Типовое поверхностное сопротивление сток-истоковых областей в микронной технологии составляет 25 Ом/П (я+-слои) и 60 Ом/П (р+-слои); для 0,5-микронной технологии указанные значения примерно вдвое выше. Использование технологии самосовмещенного формирования силицида на сток-истоковых областях позволяет снизить их поверхностное сопротивление до 4 Ом/П, а удельное сопротивление контактов до 20 Ом- мкм2. Без использования силицидной технологии крутизна транзисторов, изготовленных по 0,5-микронной технологии, снижается более чем на 10%. Введение последовательного сопротивления Rs стока и истока в аналитическую модель тока стока представляет сложную задачу. Приближенное выражение для Idsat получают заменой V* и Vd в исходном соотношении (1.43) на (VgQX — IgRs) на (V — 2IdRs), соответственно, и последующим решением уравнения относительно Idsar Последовательное сопротивление сток-истоковых областей увеличивает напряжение насыщения на величину 21 d Rs. Кроме того, оно вызывает уменьшение тока стока и крутизны. 1.4.6. Распределение напряженности продольного электрического поля в канале При приложении к стоку транзистора напряжения, превышающего напряжение насыщения VdsaP в канале появляется область, в которой носители имеют насыщенную скорость. Будем называть ее областью насыщенной скорости — ОНС. При повышении напряжения на стоке Vd протяженность этой области увеличивается. Соответственно длина части канала, в которой выполняется приближение плавного канала, уменьшается с ростом Vd, а ток стока увеличивается. Для описания этого явления модуляции длины канала необходимо одновременное решение уравнения Пуассона и уравнения переноса носителей (уравнения непрерывности) в пределах ОНС. Уравнение Пуассона в общем виде представлено выражением (1.20). Заменяя р(х,у) суммой объемных плотностей зарядов в области обеднения qNa и заряда носителей qNm, получим: д2У(х,у) d2V{x,y)_qNa(x,y) + qNm(x,y) дх2 ду2 ~ 8, * (L55) Точное решение этого уравнения возможно лишь численным методом с использованием двух- или трехмерного моделирования. Для приближенного аналитического решения с целью определения распределения V(x,y) или, что более важно, распределения напряжения V(y) и Е(у) вдоль канала, уравнение (1.55)
Глава /. Особенности работы субмикронных МОП-транзисторов упрощается допущением отсутствия градиента напряженности электрического поля по вертикальной координате. Тогда уравнение (1.55) принимает вид: dE=qNa+qNm Объемная плотность заряда носителей выражается следующим образом: г <lNm=A (1.56) XpJV* (1.57) где А — подгоночный параметр. Кроме того, предполагается, что ток стока Id создается носителями, имеющими скорость usat и равномерно распределенными в объеме на глубину залегания /?-я-перехода Хр тогда уравнение (1.56) примет вид: &У гя + А- zSiXjVsatWef/ (1.58) Распределение поля Е(у) в области ОНС может быть получено при использовании граничного условия Е(у) = Esat на границе ОНС. В результате распределение поля в канале будет характеризоваться постоянным градиентом, так как правая часть уравнения (1.58) не зависит от координаты у. В противоположность этому распределение поля в канале, полученное численным двухмерным моделированием, характеризуется почти экспоненциальным ростом напряженности в направлении к стоку (рис. 1.23), поэтому использование соотношения (1.58) приводит к значительной ошибке в оценке пикового значения напряженности электрического поля. Следовательно эта модель не может быть использована для исследования проблем, связанных с горячими носителями, которые очень чувствительны к пиковым значениям напряженности. Причиной неадекватности модели (1.58), приводящей к постоянному градиенту электрического поля, является пренебрежение вертикальным полем, создаваемым затвором. Указанные проблемы расчета распределения поля в канале могут быть устранены при использовании псевдо-двумерного подхода [22, 25]. Рис. 1.23. Зависимости расчетной величины напряженности электрического поля вдоль канала Еу для трех теоретических моделей (Тох = 35,8 нм; NA = 6,6 • 1015 см3 ; Xj = 300 нм; Leff= 1,15 мкм; К,= 8В;^-^=1,14В). о о о — псевдо-двумерная модель; — модель постоянного градиента напряженности электрического поля в направлении оси Y; численное двумерное моделирование
1.4. Ток стока короткоканалъного транзистора ЗАТВОР III i ox(y) -<- ■AL «гауссовскии -► бокс» В соответствии с этим подходом применяется закон Гаусса- Остроградского для поверхности, окаймляющей область ОНС (контур ABCD на рис. 1.24). Внутри объема, охватываемого этой поверхностью, размещен заряд подвижных носителей и большая часть заряда ^ 7Т7~7^ ' к ^ Рис.1.24. Схема, использованная при анализе картины рас- ооласти обеднения. Ъ пределения напряженности электрического поля в области последующем анализе насыщения скорости носителей принят ряд допущений: носители в области ОНС имеют скорость usat; область стока сильно легирована и имеет крутой фронт; нижняя граница потока носителей совпадает с нижней границей стокового /?-я-перехода. Второе допущение означает, что анализируемая структура не имеет LDD-областей — слаболегированных областей стока (lightly doped drain). Анализ с учетом LDD-областей выполнен в [26, 27]). Система координат на рис. 1.24 выбрана таким образом, что у = 0 в точке А и у = AL в точке D. В соответствии с законом Гаусса-Остроградского для контура ABCD: e",r'^(o,fc)d/-^ — qN- г, -EaXt + Е(у'Щ +Ь±^Е0Хф,к)й1с=^Х;(у') + ^Х;(у'). (1.59) При написании этого уравнения предполагается, что линии поля, пересекающие границу ВС, дают малый вклад по сравнению с другими поверхностными интегралами. Это предположение вполне допустимо, так как линии поля, исходящие из области стока вблизи нижнего угла, в большей части горизонтальны. Кроме того, предполагается, что напряженность поля Ене зависит от координаты X. Дифференцируя уравнение (1.59) поу\ получим: dE(v') г qNX, qNX ф/ eSi eSi ESi (1.60) После подстановки Еох= [V— V^ — 2cpF — V(y)]/Tox уравнение (1.60) примет вид: Х,х- <1/ Так как [Vg- V^-2%- ние (1.61) упрощается: У*«)] /То, = Еох (У = 0) = {qNJCj + qNJ() / гох, то уравне- ^^P = cox[v(/)-vd,sal] (1.62а) или йЕ(у') = [У(У)-К,5а1] d/ /2 где I2 ~T0XXj. (1.626)
Глава 1. Особенности работы субмикронных МОП-транзисторов Физический смысл уравнения (1.62а) состоит в следующем. В точке А канала (где К(У = 0) = VdtSat) напряженность вертикального поля в окисле определяется как зарядом инверсионного слоя, так и зарядом обедненного слоя. Заряд инверсионного слоя остается неизменным по всей области ОНС, так как скорость носителей постоянна (равна usat), а ток не изменяется в соответствии с принципом непрерывности. Однако так как напряжение в канале растет в направлении к стоку, то напряженность вертикального поля будет уменьшаться и поэтому только часть заряда в области ОНС будет связана с ним. Другая часть заряда в соответствии с уравнением Пуассона приведет к образованию градиента напряженности вдоль канала. В соответствии со сказанным правая часть уравнения (1.62) представляет собой заряд, не связанный с вертикальным полем, а левая — соответствующее увеличение градиента напряженности вдоль канала. Решение уравнения (1.62) производится при граничных условиях ДО) = Д.а1и К(0) = Vdsat и имеет вид : E(y')=Esatch(y'/l) 9 (1.63) У(/)=У^ + 1-Еш.5к(//1). (1.64) На стоковом конце канала пиковое значение напряженности электрического поля равно: Esatch{M/l\ (1.65) y'=AL а значение напряжения в этой точке Vd = VdtSal+l-Esal-sh(AL/l). (1.66) Из уравнений (1.65) и (1.66) следует *..,.№-уу\ (,67) \2 (К К,sat) , „2 ? + Е- у2 (1.68) Уравнения (1.63—1.68) содержат важную информацию. Из уравнения (1.63) следует, что напряженность электрического поля в канале растет почти экспоненциально в направлении к стоку Зависимость £(У), рассчитанная с использованием уравнения (1.63), представлена на рис. 1.23 вместе с результатами численного двумерного расчета. Пик напряженности Ем определяется падением напряжения на области ОНС. Действительно, Еы зависит от длины канала косвенно через VdsaV Если Vd превышает Vdsat хотя бы на 2 В, то (Vd — Vdsat)/l» Esat и тогда Ем будет пропорционально (Vd — VdtSat). Этот вывод важен для понимания поведения тока подложки. Возвращаясь к уравнению (1.62), следует сказать, что путем численного двумерного моделирования выражение для /уточнено следующим образом: I = 0,22-Xf-PJ, (1.69)
1.4. Ток стока короткоканалъного транзистора где /, Xj и Тох должны быть представлены в сантиметрах. Исследования показали, что это выражение, в котором показатель степени при Тох равен 1/3, а не 1/2, справедливо в широком диапазоне значений Хр Тох и Na. Для количественной оценки значений Ем в «-МОП транзисторе с длиной канала 1 мкм подставим в (1.68) значения Тох = 250 A, Xj = 0,2 мкм, 1/1 = 7,5-104 см1. При низком напряжении на затворе (Vg— V) напряжение Vd, соответствующее насыщению, также приближается к нулю (V^at« 0). При значениях Esat =5-104 В/см и Vd = 5 В пиковая напряженность электрического поля будет равна Ем = 3,85-105 В/см. Такой высокий уровень напряженности приводит к эффектам горячих носителей. При этом в связи с тем, что Ем пропорциональна величине 1/(7^1/2), где 1/3 < m < 1/2, то при масштабировании приборов проблемы, связанные с горячими носителями, будут возрастать. Снижение напряжения питания снимает остроту этих проблем. Теперь, имея соотношение (1.67) для AL, можно получить выражение для тока стока в режиме насыщения для Vd > Vds.dt: /,(Д£)=/« d,sat L-AD V^ + E^L-AL) (1.70) Выходное сопротивление прибора Roui в режиме насыщения может быть получено дифференцированием уравнения (1.70) относительно Vd. Приближенное выражение для Rout имеет вид: R _ 1 \Vd Vd,sat) L | Vdsat I (1.71) Из этого выражения следует, что ROXit пропорционально l//d. Такая зависимость действительно выполняется в реальных приборах, если в них отсутствует эффект смыкания или утечки. 1.4.7. Длина области насыщения скорости носителей Длина области насыщения скорости носителей вблизи стока МОПТ является важнейшим параметром, определяющим характеристики транзистора. При по- Затвор Исток р- подложка Рис. 1.25. Схематичное сечение приборной структуры с указанием системы координат и области насыщения скорости носителей. Обозначены также координаты, соответствующие граничным условиям. Заштрихованная область соответствует участку насыщения скорости носителей
'40 Глава L Особенности работы субмикронных МОП-транзисторов 0 32 ~ 0.30 % 0.28 К 1 0.26 о сх § 0.24 ени. 1 0.22 § | 0.20 о I 0-«8 0 16 - - ■^ - 1.0 1 1 1 \S 1 • MIN1MOS 9f pS [28] / S^ L = 1.5 мкм/О / / / L = 0.5mkm / /® / VG=1.0B 1 1 1 1 1 1.5 2.0 2.5 3.0 3.5 vd-vdsat(B) * 4.0 вышении напряжения на стоке (Vd) до величины напряжения насыщения (Vdsai) напряженность электрического поля вблизи стока достигает критического значения (-4-104 В/см), при котором скорость носителей насыщается. Сильное поле в этой области также вызывает ударную ионизацию и генерацию тока подложки, а горячие носители, инжектированные в подзатворный диэлектрик, изменяют характеристики транзистора. В МОПТ с коротким каналом область с высокой напряженностью поля становится соизмеримой с длиной канала и вследствие этого определяет величину тока стока. Показано, что длина области Рис Л.26. Графики зависимости протяженности области насыщения скорости носителей ld от (Vd — VdsJ. Отдельные точки (•) соответствуют результатам, смоделированным с помощью программы насыщения скорости носителей MINIMOS, а сплошные кривые получены с помо- определяется глубиной залегания щью аналитических соотношений стокового р-п-перехода и толщиной подзатворного диэлектрика [28], напряжением на стоке [29] и длиной канала. В работе [30] получено более точное аналитическое выражение для вычисления длины области насыщения /d (рис. 1.25), определяемое как область вблизи стока, в которой напряженность электрического поля выше 4-104 В/см: / /„«* A + u + J(u2 +2au + \) а + \ (1.72) где Ь = №*/*т)-Т0-Ъ]9 a = X/(L-2X), w = ^ch^-lj+sh^. При малых значениях а (для длинноканальных приборов) выражение (1.72) совпадает с полученным соотношением (1.67): Id = \\n(u+ju2 + l). (1.73) На рис. 1.26 представлены зависимости длины области насыщения скорости носителей от (Vd — VdtSSit) Для значений длины канала L = 0,5 мкм и 1,5 мкм (Xj = 0,43 мкм; Тох = 15 нм ; NB = 5,2-1016 см3). Точками указаны результаты двумерного моделирования. Как видно, результаты расчетов с использованием выражения (1.69) и двумерного моделирования совпадают. Зависимость области насыщения от длины канала представлена на рис. 1.27. Как и ожидалось, в длинноканальных приборах эта зависимость выражена слабее.
1.4. Ток стока короткоканального транзистора 41 1.4.8. Ток насыщения стока Среди всех параметров МОПТ ток насыщения стока (Idsat) оказывает наибольшее влияние на быстродействие схем на основе МОПТ, и, следовательно, Idsat является одним из наиболее важных приборных параметров. Однако до сих пор во многих случаях использовалось при анализе или даже для предсказания зависимости Idsat от Тох, Leffvi VDD известное выражение, поскольку отсутствовала аналитическая модель для /dsat в глубокой субмикронной области: Ok . L4f ) И, off lox J (К-*) см., например (1.48), где lVetl — эффективная ширина канала, LefT — эффективная длина канала, Тох — толщина подзатворного окисного слоя, сох — диэлектрическая проницаемость Si02, Vt — пороговое напряжение, Vg — напряжение смещения затвора и \хе{Г — эффективная подвижность носителей в канале. Это соотношение, взятое из учебных пособий, оказывается весьма неадекватным современным МОПТ, так как в исходной модели не были учтены эффекты насыщения скорости носителей, короткоканальные эффекты (например, спад порогового напряжения при уменьшении длины канала), деградация подвижности при увеличении вертикальной рис.1.27. Зависимости протяженности области составляющей напряженности элек- насыщения скорости носителей {Q от длины трического поля в канале, влияние канала (Lc) последовательного сопротивления LDD-структур истока и стока (Rd = Rs). Уточненная модель Idsat для МОПТ была разработана в [33]. В этой модели деградация подвижности учитывалась путем использования соотношений (1.33)—(1.37), обсуждаемых в подразделе 1.4.1 [33]. Для учета короткоканального эффекта спада Vt в работе [33] использовались значения Vt, измеренные при соответствующих величинах Lejr Далее насыщение скорости носителей учитывалось с помощью следующего соотношения [22]: Jy + E L - (L74) rgs Vt^£jsat4ff где скорость насыщения usat = 8-106 см/с; Vdsat— напряжение насыщения тока стока; £sat = 2usat/^ieff — напряженность электрического поля, соответствующая насыщению скорости носителей. Последовательное сопротивление истока обычно находится в диапазоне 300—500 Оммкм. Эффективная величина напряжения смеще- 4* = ^-,c„.(Kg,-K-Kto) = »^-,c„
т^42 Глава 1. Особенности работы субмикронных МОП-транзисторов ния затвора (V— V) таким образом должна быть уменьшена на величину Idsat Rs ~ 0,2-0,3 В, так что получаем {Vg—Vt— IdsatRs). Следовательно, влияние Rs становится более сильным при более низких величинах напряжения питания VDD. Для того чтобы учесть влияние Rs на Idsa0 соотношение (1.71) следует привести к виду: (K,-v,-bM*f 1dsat VV ил K-L t^+EsatLeff Решая это квадратное уравнение относительно /dsat, получаем [31]: (1.75) (1-76) где V, = (Vg-V) + E^L^h V2 = 2(Vg- V) R, WueC„. Ограничиваясь первым членом в разложении в ряд Тейлора (1.76), получаем следующее выражение для Idsat(R): 4ю/(Д)-" 1- ^*dsatO*\ Л (1.77) у,- к ' К + ESat hff где Idsa{0= Idsat(Rs = 0) задается соотношением (1.74). На рис. 1.28 [336] и рис. 1.29 [33а] показано, что использованная модель весьма хорошо отвечает экспериментальным зависимостям Jdsat(Le^) при различных VDD = 1,5 В; 2 В и 2,5 В, т.е. в достаточно широком диапазоне VDD и Lejr Более подробное подтверждение модели Idsat путем сравнения с экспериментальными данными можно найти в работах [17] и [40]. Необходимо отметить, что для сравнения модели Idsat с экспериментальны- 1 Q 10 16- 14- >" II 12- >° s 10- си 1- WB 6- S ~ 4- 2- V* 0.1 Символы: эксперимент ■ линии: моделирование \ W =20мкм А Т"=29А О \ ехе \ \ AL = 0,085 мкм Ъ \ R = R = 360 Ом-мкм" \ % s - у> л \ V\ vdd=2B - у \\ X \Ч VhH = 2.5 В - = i.5bX^vV> ^^^ 1 10 Leff(MKM) 0.6 0.7 0.8 0.9 Lefr(MKM) Рис. 1.28. Предсказываемые новой моделью [336] зависимости тока стока насыщения (Idsat) от эффективной длины канала (Le#) хорошо совпадают с измеренными данными для я-МОПТ в широком диапазоне Leff Рис.1.29. Предсказываемые новой моделью ПРИ Тох = 2>5 нм и ПРИ тРех различных зна- [33а] зависимости тока стока насыщения чениях VDD = 1,5В {Idsa) 0T эффективной длины канала (LeJ) 15 Н =4 I > I моделирование измерения (Vgs - Vt) = 5 В W = 20 мкм
1.4. Ток стока короткоканалъного транзистора ми данными для МОПТ с тонкими подзатворными окисными слоями следует использовать величины Тох, измеренные электрическими методами (C(V)-методом), а не получаемые с помощью оптических измерений. Более подробное обсуждение всех аспектов этой проблемы можно найти в работе [336] или же в [40,33]. Величина Leff определяется обычным методом. Используемое здесь значение порогового напряжения Vt определяется путем линейной экс- 1.0 0.9 0.8 0.7 0.6 0.5 i v„ =0.3 В kV =1.8 В 1—■—I—■—I—■—I—|—г V,h = 0.4 В R> 200 Ом-мкм < = 2.5 В Ч„ - °-5. В < = 300 Ом-мкм 4d = 336 R = 400 Ом-мкм] 0.10 0.15 0.20 0.25 0.30 0.35 L (мкм) 0,60- 0,564 0,52- 0,484 10,444 х о,збН го ' х 0,32- н I 0,28- Dm 0,244 0,20- 1 ' I ■ I тп VUI = 3,3B ,\To« = 80A Vdd = 5B Tnv= 140A V Ra - ■ ■ - 0,5B, 400 Ommkm 0,5B, 800 Ommkm 0,7B, 400 Ommkm 0,7B, 800 Ом-мкм Vkl = 5B T" = 170A Рис. 1.30. Предсказываемые новой моделью [336] зависимости тока стока насыщения /dsat траполяции зависимости Id от Vg при от эффективной длины канала (Z,efr) небольших величинах V в случае относительно длинноканальных МОПТ. В случае короткоканаль- ных МОПТ для определения Vt рекомендуется метод постоянного тока для того, чтобы учесть эффект снижения барьера, индуцируемый стоком (DIBL- эффект), а также учитывать другие короткоканальные эффекты, оказывающие влияние на Vt. Чтобы получить представление относительно общей тенденции изменения нагрузочной способности МОПТ по току, обеспечиваемой будущими технологиями, на рис. 1.30 и 1.31 приводятся зависимости Idsat от Leff при фиксированных значе- ■ \ ' I ■ 1 ' I ■ I ' \ ■ I ' I 0,1 0,2 0,3 0,4 0,5 0,6 0,7 0,8 0,9 1,0 1,1 1,2 Lefr(MKM) ниях V, и Rs, но при различных рис.1.31. Предсказываемые новой моделью [33] ве- величинах Тох и напряжении пи- личины Idsat (LJ для современных и будущих техно- тания (VDD). При малых величи- логий при различных напряжениях питания (VDD) и нах Leff< ОД мкм может начать толщинах подзатворного окисного слоя (TJ сказываться эффект «перегрева» носителей, проявляющийся в увеличении скорости носителей относительно ее равновесного значения, что может потребовать усовершенствования данной модели с целью повышения ее точности. Данные, представленные на рис. 1.30 и 1.31, демонстрируют, что вследствие деградации подвижности и масштабирования напряжения питания Idsat не должен превышать 0,8—0,85 мА/мкм. С целью получения удобного в использовании соотношения Idsat (аналогичного (1.48)) для оценки влияния масштабирования параметров МОПТ и напряжения питания на /dsat были осуществлены процедуры моделирования влияния разнообразных условий с использованием при этом новой точной модели /dsat. Было
Глава L Особенности работы субмикронных МОП-транзисторов установлено, что достаточно хорошую аппроксимацию Idsat для предсказания работы МОПТ в глубокой субмикронной области обеспечивает следующее эмпирическое соотношение: 1^, = кщуцрХГ{К-У,Г ■ (i-78) Сравнение (1.48) и (1.78) для короткоканальных МОПТ (в глубокой субмикронной области) и МОПТ с длинным каналом показало, что масштабирование Leff или увеличение V и/или VDD в случае МОПТ с Leff в глубокой субмикронной области будет давать меньший выигрыш по сравнению со случаем длинноканаль- ных МОПТ из-за эффекта насыщения скорости носителей. Аналогичный вывод может быть сделан и при масштабировании (уменьшении) Тох из-за деградации подвижности. Соотношение (1.78) также указывает на то, что если Ьф Тохи (V— V) масштабируются одновременно и с одним коэффициентом, то в этом случае /dsat будет оставаться примерно неизменным по величине, поскольку масштабирование этих трех важнейших параметров должно взаимно погашать их влияние на /dsat, как это видно в первом приближении из рис. 1.30. Таким образом, характеристики МОПТ при масштабировании размеров и напряжений могут быть предсказаны с помощью новой модели /dsat. Подходящей формой для соответствующей оценки влияния масштабирования Ьф Тох, Vgs и Vt на IdsaJ является соотношение (1.78). Обсуждение этого обстоятельства было ограничено случаем я-канальных МОПТ. Аналогичные выводы могут быть сделаны и для /ьканальных МОПТ 1.5. Модель порогового напряжения МОПТ в глубоком субмикронном диапазоне Рассмотренное выше квазидвумерное аналитическое решение уравнения Пуассона в области обеднения позволяет получить выражение для величины сдвига порогового напряжения AVt(Le^), экспоненциально зависящее от эффективной длины канала, что лучше согласуется с экспериментальными данными по сравнению с моделью разделения зарядов [34], которая предсказывает l/Leff— зависимость для AVr И хотя квазидвумерный подход позволяет учитывать изменение поверхностного потенциала вдоль канала, описать DIBL-эффект и эффект влияния подложки, все же рассмотренная в 1.4.6 модель не позволяет описать спад Vt в случае малой длины канала (< 0,25 мкм). Развитие вышеуказанного подхода для разработки модели порогового напряжения МОПТ с глубокосубмикронными размерами, а также с учетом LDD-областей, представлено в работе [35]. Аналогично тому, как это сделано в 1.4.6 [22], на основе закона Гаусса- Остроградского применительно к двумерному прямоугольному элементу объема («гауссовскому боксу») высотой Xdep и протяженностью Ду в области обеднения канала (рис. 1.32 [35]) и без учета заряда подвижных носителей, можно вывести следующее уравнение [36]: Xdep dEs(y) Vm-Vfi-V,(y)__N у Si' ~п—Ф^~ т " "* "*' (1 -79) где Es(y) — напряженность продольного электрического поля в точке «у», Vs(y) — потенциал канала у границы раздела Si/Si02, V^ — напряжение между затвором
1.5. Модель порогового напряжения МОПТ в глубоком субмикронном диапазоне 4$ [ ( Исток >S х\ •<- Затвор ) |xH I dep Ay а) E(Y) k ' dep dVs(x,y) ■ dx 'Xdep б) Lsg ...1 = 0 »Y Сток -► GO Q > и истоком, Уф — напряжение плоских зон, Nsub — уровень легирования в области канала, Тох — толщина подзатворного окисного слоя, и вох и cSi — диэлектрическая проницаемость Si02 и Si, соответственно. Толщина (глубина) слоя обеднения Zd,p = = [2eSi (ф, - Vk)/(q N5tlhW\ где Vk - напряжение смещения подложки, а cps = 2фь — потенциал поверхности при наступлении инверсии; г\ — подгоночный параметр. Уравнение (1.79) аналогично уравнению (1.60), за исключением того, что высота «гауссовского» элемента объема принята равной не Хр а толщине слоя обеднения Xdep, и, кроме того, непостоянство потока электри- Рис.1.32. Схематичное представление сече- ческой индукции через правую стенку ния приборной структуры вдоль канала (а) учитывается коэффициентом г|. Решение уравнения (1.79) при пограничных условиях Vs(0) = Vbi и VS(L) = Vds + Vbi (потенциал подложки принят равным нулю) имеет вид: и «гауссова бокса» с граничными условиями (б), используемых в квазидвумерном анализе с целью решения уравнения (1.79) ТО=К+<г* + К* shQ;//) ( , Kt) sh(L/l)+ iV» Kt) ML-У/О sh(L//) (1.80) В соотношении (1.80) Vsl = Vg — Vt0 + фх представляет поверхностный потенциал для случая МОПТ с длинным каналом, Vt0 = V^ + qNsubXdep (T0Je0X)+ cps соответствует пороговому напряжению МОПТ с длинным каналом; Vbi — встроенный потенциал /ья-переходов исток/подложка и сток/подложка, они принимаются идентичными; параметр /является характеристической длиной, определяемой как j _ | £57 ' *ох ' ^dep | VI J (1.81) Поверхностный потенциал канала, выражаемый соотношением (1.80), может рассматриваться как поверхностный потенциал для случая длинного канала, измененного краевым полем областей истока и стока. Заметим, что при решении уравнения (1.79) предполагалось, что Xdep является постоянной величиной. Фактически же Xdcp является функцией напряжения на стоке и длины канала [37, 38]. Принимая во внимание, что эффекты изменения боковой составляющей напряженности электрического поля в слое обеднения под каналом учитываются с помощью параметра г| [39,40], можно интерпретировать член Xdep/r\ в соотношении (1.81) как усредненную толщину слоя обеднения вдоль канала. Хотя ц (а следовательно и I) могут также являться функциями напряжения на стоке, тем не менее допущение об усреднении толщины слоя обеднения не является слишком грубым, что будет видно из последующего изложения, и при этом оно упрощает
^6 Глава!. Особенности работы субмикронных МОП-транзисторов Рис. 1.33. (а) Полученные расчетным путем распределения поверхностного потенциала вдоль канала при различной его длине. Параметры приборной структуры: 7^х =10 нм; Nsub = 1016 см3; N+ = 1020 см3; л = 1 (т. е. / = 0,1 мкм). Смещение подложки было равно 0 В. Пунктирные линии соответствуют данным для Vd = 0,05, а сплошные линии Vd = 1,5 В. (б) Полученные расчетным путем зависимости координаты у0 (соответствующей минимуму потенциала Vs от эффективной длины канала (LefJ). (в) Зависимости координаты у0 от напряжения на стоке (Vd) при различных Leff решения уравнения (1.79). Поэтому параметр г| рассматривается в качестве постоянной величины для заданной технологии при дальнейшем обсуждении, если не будет оговорено особо. При заданных величинах V, Vbs и Vd распределение потенциала канала, полученное с помощью новой модели, приводится на рис. \.33а для приборных структур с различной длиной канала. В отличие от модели с постоянным потенциалом канала (что характерно для длинноканальных моделей), новая модель предсказывает значительные изменения потенциала вдоль канала для приборов с коротким каналом, даже когда напряжение на стоке мало. Этот результат был подтвержден двумерным численным моделированием [37]. Потенциал канала имеет минимум при у0; величина у0 может быть получена из решения dV/y)/dy = 0. Минимальная величина потенциала канала увеличивается, т. е. потенциальный барьер для электронов, перемещающихся от истока к стоку, должен снижаться при уменьшении длины канала и увеличении напряжения на стоке. Величины у0 и минимума потенциала (Vsmin) могут быть получены путем численного решения при условии:
7.5. Модель порогового напряжения МОПТв глубоком субмикронном диапазоне 4 К(Уо), (1.82а) dV 'У=Л _0. (1.826) Рассмотрим решение (1.82а) для двух случаев. В случае Vsi« Vbi— Vsl величина сможет быть аппроксимирована как L/2 (см. рис. 1.33а). Следовательно, величина Vsmin может быть получена аналитически из (1.82а): Ушп = У*+[2(К-К)+У*1^щщ • (1.83а) Напомним, что Vsl является функцией V. Для нахождения порогового напряжения будем считать, что УЮЙа\у_у=Ць = 2 — -l3*- , (1.836) yg у, q nt что верно с точностью до kT/q [41]. Таким образом, определив Vt как напряжение на затворе, при котором Ksmin становится равным 2срь, для Vt можно записать: ^■i-Mi-K.-y^, (U4„, При 1 << L с учетом того, что l/[ch(Z/2/)-l]-2e-'/2/-(l + 2e-I/2/). (1.846) Для величины сдвига порогового напряжения Vt в зависимости от X можно получить соотношение: AVt =[2(Vbi-tys)+Vds]-(e-L/2l+2e-L/l). (1.85) Следует отметить, что экспоненциальный член в соотношении (1.85) сводится к простой экспоненте, аналогично случаям простых «экспоненциальных» моделей, предложенных в работе [38], когда L > 51. Для большинства технологий значения /находятся в диапазоне 0,1-Й), 15 мкм, следовательно, простые модели справедливы, когда длина канала превышает 0,5-^-0,8 мкм. При уменьшении L соотношение (1.85) предсказывает ускорение уменьшения Vt в результате наличия второго экспоненциального члена. Также необходимо упомянуть, что более точное соотношение (1.84) предсказывает физическое явление, согласно которому при L -> ОД Кг-> оо, т. е. МОПТ не может быть выключен, когда L достаточно мала. В соответствии с соотношением (1.85), можно утверждать, что более высокий уровень легирования области канала, меньший уровень легирования областей истока и стока и/или более тонкий подзатворный окисный слой — все эти факторы должны препятствовать спаду Vt при уменьшении длины канала. В случае, когда Vd недостаточно мало, у0 становится заметно отличным от L/2, как это можно видеть из рис. 1.336 и 1.33#. Следовательно, соотношения (1.83)—(1.85) перестают быть справедливыми при достаточно больших Vd. Когда L» /, соотношение может быть аппроксимировано и приведено к виду:
Глава 1. Особенности работы субмикронных МОП-транзисторов К(у)= К.Фы + г* - КУУ'1)"+(К - v~)*-ylL+(K + Ks - КУ ■ 0-86) Тогда, приравнивая производную dVJdy = 0 (см.(1.86)), можно получить: L I Л Vbi-Vsl + Vds у0 = --In ы * ds . (1.87) Z Vbi Vsl На рис. 1.335 и 1.33<? приводятся расчетные результаты, полученные с использованием (1.87), а также приведены результаты численных расчетов с помощью (1.82). Соотношение (1.87) является хорошей аппроксимацией^, особенно в наиболее важном случае малых значений L. Хотя при больших L ошибка возрастает, это обстоятельство серьезно не влияет на точность определения Vsmin, поскольку Vs является достаточно слабой функцией от j; вблизи у0 (рис. 1.34а). Используя (1.87), на основании (1.80) и (1.82л), для Vsmin можно записать: Km), = Vs,-{Vb, + Vds-Vsl)t^l + 2[(Vb/-V!l + Vds)(Ki-K,yWT . (1.88) Так же, как было получено соотношение AVt для случая малых величин Vd, на основании (1.88) можно вывести более общее соотношение для А К,: 11/2 А A^l^-ll-e^O + ^f}^-1) 2sh21/2/ (1.89а) где^кы-ф,; Я^Кл+(Кы-ф,). Для случая L » 1 можно записать: Д^[зЛ + КА + 2Л-(1 + Кл/Л)'/2}е^' = (1.896) = (3^ + КА).е-'" + 2.>/(АВ).е^'. Соотношение (1.896) принимает форму (1.85) для больших величин L/1 и малых величин Vd, как и следовало ожидать. Однако соотношения (1.89) предсказывают более слабую зависимость AVt от Vd по сравнению с (1.85), когда Vd велико. При этом AVt не оказывается пропорциональным Vd, а принимает функциональную зависимость вида aVd + b^Vd. В первом приближении величины а и Ъ зависят только от параметров приборной структуры. Вышеприведенный анализ игнорировал возможное падение напряжения на диффузионном участке области стока. Это допустимо как в случае обычных структур МОПТ, так и в случае наличия LDD-области до тех пор, пока Vd остается достаточно малым. В случае МОПТ с LDD-областью Vbi является встроенным потенциалом /г-/?-перехода. Когда Vdстановится большим (Vd> IB), то падение напряжения в области стока необходимо вычитать из члена Vd + VbiB соотношениях (1.85) и (1.89) в случае наличия LDD-области. Это обстоятельство будет подробнее обсуждено в последующем разделе. На рис. 1.34 приводятся расчетные результаты, полученные с помощью соотношений (1.85) и (1.89). Для сравнения также приведено численное решение с использованием уравнения (1.79). Когда L » I и Vd « Vbi — cps соотношение (1.85) дает достаточно достоверную оценку сдвига Vt (см. рис. 1.34а). Отметим, что когда L > 5/, полученные данные могут аппроксимироваться прямой линией
1.5. Модель порогового напряжения МОПТв глубоком субмикронном диапазоне 10' 10° ю-1 PQ >- ю-2 < ю-3 ю-4 0 IV г 0 = 50 мВ — численное решение ^ — уравление (1.89) *V уравление (1.85) %^наклон: -1/(21 Ln 10) Lff=51 \Ч 1 .Tl . 1 . 1 . 0.7 0.6 g0.5 0.4 0.3 0.6 0.9 1.2 1.5 0.0 Leff(MKM) а) L = 0.3 мкм ^ eff численное \. — решение — уравление (1.89)4. уравление (1.85) i.i. 1.0 2.0 vDS(B) б) 3.0 Рис. 1.34. (а) Зависимости сдвига величины порогового напряжения (V) от эффективной длины канала (LefJ) при Vd = 0,05 В. Использование простых аналитических соотношений дает хорошее согласие с результатами численных вычислений. Параметры приборной структуры были те же самые, что и на рис. 1.33. При L > 5/ все кривые имеют одинаковый наклон, равный 1/(2/In 10). (б) Сравнение между зависимостями V,(Vd), полученными с помощью простых аналитических соотношений (1.82) и (1.86), и численным решением. Решение, основанное на допущении у0 = L/2 (т.е. соотношение (1.82)), дает значительно искаженные значения КДсм. [35]) с наклоном, равным 1/(2/ • 1п10). Очевидно, что соотношение (1.85) дает завышенные значения Vt при высоких величинах Vd, поскольку допущение у0 = L/2 перестает быть справедливым. Однако соотношение (1.89) все еще достаточно точно предсказывает величины Vt (рис. 1.346). Для оценки точности представленной модели на рис. 1.35 приводятся кривые зависимостей Vt (LeJ), полученные с помощью длинноканальной модели разделения зарядов [42], численного двумерного моделирования [43] и с помощью новой модели [35]. Из рис. 1.35 можно ясно видеть, что в то время как длинноканаль- ная модель недооценивает спад Vt при уменьшении Ьф предложенная в [35] квазидвумерная модель дает результаты, совпадающие с двумерным численным моделированием. Кроме того, новая модель приводит к простым аналитическим соотношениям. 0.7 0.6 0.5 >~ 0.4 0.3 0.2 {- h 1 U \- ^т^Щ^зг^глш^Гт^У"" — v LJ V pffi" I У1в / 1 1 1 ы Iй ■ MIN1MOS(2D),Vds = 50mB II □ MINIMOS(2D),VDS = 3B yp.(ll),Vd = 50MB --yp.(ll),Vd = 3B -ф- разделение заряда, Vd = 50 мВ 11 1 . 1 , 1 , 1 0.0 0.5 1.0 Leff(MKM) 1.5 Рис. 1.35. Сравнение расчетных зависимостей порогового напряжения (V) от эффективной длины канала (LJ), полученных с помощью модели разделения заряда; двумерного численного моделирования (MINIMOS); аналитической модели [35]. Использованные параметры приборной структуры аналогичны параметрам, соответствующим результатам, представленным на рис. 1.33 и 1.34
Глава 1. Особенности работы субмикронных МОП-транзисторов При экспериментальной проверке полученных соотношений эффективная длина канала (Le^ определялась с помощью C{V)-метода [44] как в случае обычных, так и в случае МОПТ с LDD-областью. Для оценки порогового напряжения использовались два метода. Один метод заключался в нахождении напряжения на затворе, при котором Id = 107 • W/L [А] при Vd = 0,05 В. Другой метод состоял в экстраполировании зависимости Id(Vg), начиная от точки максимума dId/dVgдо точки, соответствующей Id = 0. При этом точка пересечения с осью ^принималась в качестве порогового напряжения. Оба эти метода давали почти идентичные величины AVr Однако первый метод давал более воспроизводимые результаты, когда Добыло мало. Сдвиг Vt при больших значениях Vd измерялся путем оценьси параллельного смещения кривых зависимости lg/d от V в подпороговой области. Обычно, когда Vd > 1 В, величина сдвига порогового напряжения (А К,) определялась как смещение кривых зависимости lg /d от Vg при значениях /d, меньших на три порядка величины тока стока, при котором определялось Vt в режиме малых величин Vd. 1.5.1. МОПТ без LDD-области На рис. 1.36 приводятся экспериментальные данные и теоретические зависимости Vt от Lep полученные в работе [35], которые наглядно демонстрируют наличие характерного спада Vt при достаточно малых величинах Leff Теоретические кривые были получены на основании модели, предложенной в работе[35], и рассчиты- вались при различных параметрах структуры соответствующих МОПТ. Можно видеть, 2.0 2.5 l.o h 0.5 h о.о Маркеры: эксперимент Линии: моделирование Прибор В, VBS = -3 В -£..$■* ♦ ♦ ♦ Прибор A, VBS: ..& А А А А А— -зв Прибор С, VBS = 0 В ^-Е-а eeei Светлые маркеры: Vd = 0.05 В / Зачерненные маркеры: Vd = 3 В -I L I I I I I I I I I I I I 0.0 0.5 1.0 1.5 что предложенная модель хорошо предсказывает влияние Тох и Nsub на величину Vr Кроме того, с помощью этой модели может быть оценено влияние на Vt напряжения смещения. В следующем разделе будут подробно рассмотрены эффекты влияния Vd. Как уже упоминалось ранее, простое одночленное экспоненциальное представление соотношения между A Vt и Leff дает заниженные величины А К, при уменьшении Leff. Двучленные экспоненциальные выражения дают более крутой спад Vt с уменьшением Zeff, что хорошо иллюстрирует рис. 1.37, где приводятся зависимости IgA Vt от Leff. В Рис. 1.36. Экспериментальные и расчетные зависимости порогового напряжения (V) от эффективной длины канала (Le#) для МОПТ без соответствии с новой моделью [35] LDD-областей, изготовленных по различным теоретические зависимости хорошо технологиям. Прибор А: Тох = 5,5 нм; NSUB = ложатся на экспериментальные точ- 3,6-1017см-3;^=0,25мкм;/=0,04мкм. Прибор ки? причем эти зависимости IgA К, от Zeff хорошо аппроксимируются прямыми линиями (при достаточно больших Zeff), наклон которых воз- В: Г0Х=8,6нм;А^^=1,5- 1017см-3; Xj = 0,2 мкм; / = 0,05 мкм. Прибор С: Тох = 15,6 нм; NSUB = 4 • 1016 см-3; Xj = 0,2 мкм; / = 0,09 мкм
1.5. Модель порогового напряжения МОПТв глубоком субмикронном диапазоне Маркеры: эксперимент Линии: моделирование « ю-1 % 0.5 1.0 Leff(MKM) 1.5 2.0 1.5 ~ 1.0 >~ 0.5 0.0 0 т тмл дтпт Маркеры: экспер. LDD-MUU1 Линии: моделир. VBS = -3B jtjdy^ - /f - /<? _ v»=ob ^ jggorooo _ 0 Светлые маркеры: Vd = 3B Зачерненные маркеры: V = 0.05 В . I.I.I. 0 0.5 1.0 1.5 2.0 Ье{Г(мкм) Рис. 1.37. Зависимости сдвига порогового Рис. 1.38. Типичные зависимости порогово- напряжения (К,) от эффективной длины ка- го напряжения (V) от эффективной длины нала (LeJ) при VDS = 0,05 В и при различных канала (LeJj) для МОПТ с LDD-областями напряжениях смещения подложки (VJ для случая МОПТ без LDD-областей. Сплош- растает с уменьшением Уы. При умень- ные кривые соответствуют расчетным ре- шении Zeff зависимости IgAK, от Zeff все зультатам, а пунктирные линии (асимпто- больше отклоняются от прямых линий, тически) совпадают с экспериментальными что предсказывается также и более проданными в диапазоне L „ > 51. Следует отме- д D^iaiiajunvij# л.^А^1ши. стыми экспоненциальными моделями в тить, все пунктирные линии пересекают ось /т . с Л ч случае очень коротких каналов (Leff < 51). Когда Lefr » I зависимости lgA^ от Leff хорошо аппроксимируются прямыми линиями при различных напряжениях смещения подложки (Уы), причем эти прямые пересекаются в точке, примерно соответствующей 2(Vbl — cps) в соответствии с (1.85). Наклон этих прямых линий равен 1/2/ТпЮ и, следовательно, является функцией напряжения смещения подложки (V^), поскольку /пропорциональна квадратному корню из Xdep, что видно из соотношения (1.81). 1.5.2. МОПТ с LDD- областью ординат в одной точке, соответствующей величине 2 (Vbi — <ps) + Vd На рис. 1.38 приводятся типичные зависимости Vt от Leff для МОПТ с LDD- областью, работающих при различных напряжениях смещения. Можно видеть, что квазидвумерная модель достаточно правильно предсказывает поведение Vt если сделаны надлежащие уточнения. Так, например, величина Vbi должна представлять встроенный потенциал перехода «/подложка, а не перехода я+/подлож- ка. Падением напряжения на «-области (LDD-области) при больших величинах Vd нельзя уже пренебрегать. И кроме того, напряжение смещения при оценке Zeff должно тщательно выбираться, так чтобы не было обеднения «-области при измерении емкости затвор-канал [44]. Для сравнения чувствительности Vt к Leff в случае различного типа приборных структур (с LDD-областью и без нее) на рис. 1.39 приводятся зависимости lg AVt от L^-ддя МОПТ с LDD-областью и без нее, которые были изготовлены по одинаковой технологии с идентичными величинами Тох, X. и Nsub. На рис. 1.39
Глава 1. Особенности работы субмикронных МОП-транзисторов W 10° в ю-1 ю-2 103 Г. VI Хкд ♦ Без-LDD, VBS = 0 0 Без-LDD, VBS = -3 ■ LDD,VBS = 0 □ LDD,VBS = -3 г >iv '■ Маркеры: эксперим.вфч " Линии: моделиров. иЖ. . . I . . I . ТЧДч . . 0.0 0.3 0.6 0.9 1.2 Lcjt(mkm) можно видеть две различные точки пересечения аппрок- симационных прямых линий, что обусловлено различием в величинах Vbi для приборных структур с LDD-областью и без нее, как уже было объяснено выше. Как вытекает из (1.85), приборные структуры с LDD-областью характеризуются меньшим спадом Vt по сравнению с обычными МОПТ без LDD-области, поскольку величины Уы для Рис. 1.39. Сравнение зависимостей величин изменения первых меньше, чем в случае порогового напряжения (V) от эффективной длины ка- обычных МОПТ, хотя для обо- нала (LeJJ) для МОПТ с LDD-областями и без них при их типов приборных струк- Vd = 0,05 В. Сплошные кривые соответствуют расчет- тур величины / оказываются ным результатам, а пунктирные линии соответствуют вполне сопоставимыми. Сле- асимптотам, наилучшим образом сопрягающимся с довательно ппи заданном до- экспериментальными зависимостями в районе Leff > 51. мом с' у минималь. Величины А К для МОПТ с LDD-областями оказыва- ' , , „ rTZ /е. г т^т^, но допустимая длина канала в ются меньше на коэффициент, равный [К.(без LDD) — ^ ."„' ^ q>J/[ Kw(LDD)-q>J МОПТ с LDD-областью будет меньше, чем в случае приборной структуры без LDD-области на коэффициент, равный 2/-ln [(Vhi- <ps)/ (^ildd- Ф8)1- Необходимо также отметить, что на рис. 1.39 также можно видеть эффект ускорения спада Vt при уменьшении Leff. Путем приложения соответствующих значений обратно- 1.0 0.8 о.б h 0.4 0.2 0.0 LDD,VS = 0.13B, VD = 0.18B —■ ■ в LDD, Vs = 0B, VD = 0.05B Без LDD, V, = 0B, Vn = 0.05B нПисЛ X- Zrjzr -1 ст. I In Маркеры: экспер. Линии: моделиров. i i i i I i i i i I i i i i I i i i i 0.0 1.0 2.0 L-(mkm) 3.0 4.0 го смещения и р-п- Рис.1.40. Сравнение зависимостей порогового напряжения переходов истока и (V) от эффективной длины канала (LJ) для обычных МОПТ и стока в случае МОПТ МОПТ с LDD-областями. В результате подачи на/?-п-переходы с LDD-областью истока и стока напряжения обратного смещения, равного раз- диффузионный по- нице между встроенным потенциалом п+-р-перехода в обычном тенциал ^-«-перехода МОПТ и я-/ьперехода в LDD-МОПТ (0,13 В), эти две прибор- можно сделать равные структуры продемонстрировали одинаковую чувствитель- ным у д™ обычной ность к длине канала. Вставка иллюстрирует схему подачи на- <~ h - к KJ J приборной структуры без LDD-области. пряжения смещения на исток и сток, так чтобы Ve = 0,05 В
1.5. Модель порогового напряжения МОПТв глубоком субмикронном диапазоне St Величина Vt МОПТ с LDD-областью с таким специальным смещением обнаруживает тенденцию к спаду Vp сопоставимую с той, которая характерна для нормально смещенной обычной структуры МОГТТ без LDD-области. Эта ситуация демонстрируется рис. 1.40, где обратное смещение, равное 0,13 В, приложено к /ья-переходам исток/подложка и сток/подложка в случае МОГТТ с LDD- областью. 1.5.3. Влияние напряжения на стоке 0.7 Маркеры: эксперим. Линии: моделиров. L _= 1.5 мкм 0.0 0.5 1.0 1.5 2.0 2.5 3.0 Vd(B) 0.7 Рассмотренная модель позволяет оценить величину DIBL-эффекта, характеризую- рисЛ.41. Зависимости порогового напря щего значение сдвига AV„ вызываемого женИя (V) от напряжения на стоке (Vd) при большим напряжением на стоке. Ки=0Ви при различных величинах эф- На рис. 1.41 представлены зависи- фективной длины канала, демонстрирую- мости Vt от Vd^ для обычных МОПТ (без щие влияние DIBL-эффекта на Vr Пара- LDD-области). В соответствии с пред- метры приборной структуры были такими шествующими исследованиями Vt умень- же' как и на рис* 137 шается при увеличении Vd. Чем меньше длина канала, тем более сильно уменьшается Vr Как точно предсказывает предложенная в [35] модель, при уменьшении /^нарушается линейная зависимость Vt от Vd, характерная для ранних моделей DIBL-эффекта. Эта нелинейная зависимость от Vd в случае очень коротких каналов предсказывается соотношением (1.89а). В соответствии с (1.89я), зависимость Vt от (Vd) приближается к линейной при больших значениях Vd. Однако при низких значениях Vd зависимость Vt{ Vd) в случае короткоканаль- ных приборных структур приближается к зависимости типа (1.85). На рис. 1.42 для сравнения приводятся зависимости Vt(Vd) как для случая МОПТ с LDD-областями, так и для обычных МОПТ, изготовленных по одной и той же технологии. Как можно видеть, МОПТ с LDD-областями характеризуются ослабленным проявлением DIBL-эффекта, на что указывает меньший наклон зависимостей Vt{Vd). Это обстоятельство, вероятнее всего, связано с падением напряжения на п~ о.бЬ 0.5 Ь 0.4 h 0.3 0.2 A LDD, Leff= 0.25 мкм a LDD, Leff=0.4MKM ♦ Без LDD, Leff = 0.25 мкм ♦ Без LDD, Leff= 0.4 мкм |_ Маркеры: эксперим. Линии: моделиров. 0.0 0.5 1.0 1.5 2.0 2.5 3.0 Vd(B) Рис. 1.42. Сравнение влияния DIBL-эффекта на Vt в случаях обычных МОПТ и LDD- МОПТ при VBS = 0 В. Параметры приборных области. Для корректного предсказания структур были такими же, как и на рис. 1.39
Глава 1. Особенности работы субмикронных МОП-транзисторов vs(y). vD + vbl V + V Deff bl к sm : L n •S ■ =Ф m Ts ► DIBL-эффекта в приборных структурах с LDD-областью необходимо принимать во внимание это падение напряжения. На рис. 1.43 показаны эпюры распределения напряженности латерального электрического поля Es и поверхностного потенциала Vs вдоль канала в приборных структурах с LDD-областью, где Ej — «усредненная» напряженность электрического поля в LDD-области, которая принята равной реальной величине Е на границе канала с LDD-областью, а Ln- — длина LDD ^-области. Если через Vn- обозначить падение напряжения на слаболегированной «-области и ввести обозначение Vde{f= Vd - К-> то тогда для Ej можно записать следующее приближенное соотношение: Рис.1.43. Диаграмма распределения вдоль канала напряженности электрического поля у поверхности Es(y) и потенциала Vs(y) в случае МОПТ с LDD-областями ej=- + V -V ^ УЫ Vsm I (1.90) измерениях Vt величины Vbi можно записать: т. е. площадь под экспоненциальной кривой Es(y) можно приближенно считать равной Ej • /. Поскольку при Kmin (~ 0,1 В) оказываются намного меньше Уш, то Vd = Vdeff + Vn^Ej{l+Ln_)^-f\ULn_) (1.91) Основываясь на соотношении (91) для J^eff, можно получить: Vdeff l + Ljr (L92) Заметим, что для реальных LDD-структур Es не является постоянной в LDD- области, как это показано на рис. 1.43, и падение напряжения на LDD-области не равно EjLn-, а пропорционально величине aEjLn-, где а — подгоночный параметр, величина которого находится в диапазоне между 0 и 1. Тогда вместо (1.92) можно записать: rdeff l + aL_/l п I (1.93) При этом соотношения (1.84)—(1.89) в случае их использования для приборных структур с LDD-областью необходимо модифицировать, заменив в них Vd на Vdeff. Результаты такой коррекции представлены на рис. 1.42. Для заданной технологии параметр а определяется главным образом концентрационным профилем распределения легирующей примеси в LDD-области [36] и, следовательно, не зависит
1.5. Модель порогового напряжения МОПТв глубоком субмикронном диапазоне от Lefr Для большинства технологий эмпирическая величина а находится в диапазоне между 0,3 и 0,7. Поскольку величины /обычно составляют 0,1—0,15 мкм, a Ln- = 0,1—0,3 мкм, то эффективное напряжение Vdeff может быть уменьшено в 1—3 раза. Следовательно, DIBL-эффект может быть значительно подавлен. Таким образом, можно констатировать, что помимо стойкости по отношению к воздействию горячих носителей, МОПТ с LDD-областями характеризуются уменьшенной чувствительностью Vt к Leffn Vd. 1.5.4. Оценки характеристической длины I В подразделе 1.4.6 [6] было получено соотношение (1.626) для характеристической длины /, примерно равной длине участка канала, на котором происходит значительное изменение Vs(y) и Es(y) вблизи стока. В данном подразделе будет рассмотрен вопрос определения характеристической длины /, поскольку она влияет на точность результатов, получаемых с помощью предложенной квазидвумерной модели. Хотя величины /, рассчитываемые с помощью соотношения (1.81), имеют правильный порядок величины, из-за неопределенности, вносимой параметром г|, вытекает необходимость определения точных величин / для конкретных приборных структур. Достаточная оценка величины / может быть выполнена в результате подгонки теоретических зависимостей lg (AV) от LeffK экспериментальным данным в области Leff > 51. При этом наклон подгоночной прямой линии равен 1/(2/-1п 10) — см. соотношение (1.85) и рис. 1.34а. На рис. 1.44 приводятся результаты оценки величины параметра / в зависимости от толщины слоя обеднения Xdep для приборных структур, изготовленных по различным технологиям. Разные значения Xdep на этом рисунке для каждой заданной технологии соответствуют различным напряжениям смещения подложки. Экспериментальные данные хорошо ложатся на прямые линии с одинаковым наклоном, равным 2/3, что свидетельствует о том, что / пропорциональна Х££. Отметим, что / не пропорциональна Х^ (как это должно быть на основании (1.81)), и кроме того увеличение VDS приводит к некоторому уменьшению наклона зависимости / от Xdep. Это может быть интерпретировано как наличие зависимости подгоночного параметра г| от Xdep и Vds. Как можно видеть из рис. 1.44, влияние VDS на / или г| носит характер эффектов второго порядка. Таким образом, экспериментальные данные показывают, что 10-° , >—"V g 3- аЗ К К скаядл о (D & 5 <-> К Оч <D Н « ез Хар ю-21 10 -1 ■ Точ - 41.8нм, Xj = 0.35, NbUb = 2.4х10|6/см3 1 '. Uo т"' = 15.6нм, Xj = 0.2, N ubb"= 7.3x107см-' - а T™ = 8.6нм, Xj = 0.2, N J= 5.5х1016/см3 -|а t"4 = 8.6hm,Xj = 0.3, NS"h = 2.3x107см3 | .' а Л-1 мкм _W шш Ш S * / ▲ / A / / / V X *\ х \ ' \ \ «Х2/3 dep ■ ■ i ■ 11111 i i i i 1111 -2 101 100 Xdep (МКМ> Рис. 1.44. Зависимости измеренных величин характеристической длины /от толщины слоя обеднения, изменяющегося при различных напряжениях смещения подложки, для приборных структур, изготовленных по разным технологиям. Зачерненные ромбические маркеры относятся к данным, полученным для Vd = 3 В; остальные результаты были получены при К, = 0,05 В
Глава 1. Особенности работы субмикронных МОП-транзисторов ю-1 Ю° г I I Для каждой заданной техноло- "™'" "" гии в достаточно широком диапазоне величин LeJf= 0,2ч-5 мкм и VDS = 0,05^3,5 В можно использовать одно единственное значение /(или rj). В соответствии с (1.85), при L = 5/величина смещения порогового напряжения AVt оказывается примерно равной 0,03 В. В предположении типового значения подпорогового ^-фактора (обратная величина наклона Id{ ^-характеристик), равного S = 100 мВ/декаду, величина AVt « 0,03 В долж- Рис. 1.45. Зависимости опубликованных значений Lmin На приводить к увеличению (минимальной длины канала, при которой допустимо подпорогового тока в 2 раза, минимален короткоканальный эффект спада Г, [45]), Следовательно, минимальная а также измеренных величин характеристической 7 , v%n v , допустимая длина канала L. длины / от X,. ТХ.2, свидетельствующие о пропор- г._, ^ циональной корреляции между ними f45l должна быть Равна ПРИ" мерно 5/. В соответствии с работой [45] для Lminможно записать следующее эмпирическое соотношение: Г1 = . =0.41 (XT X2H )"3У 11 « v j ox dop' S x ,-••• X ♦♦•'A АУ -7 / 0.1 (XT X2H У'3 v J ox dep7 1 II Mill 1 1 II Hill L A VBS = 0B II a VBS = -1.2B ♦vBS--3B | i mini i i мин io-2 ю-2 io1 io° io1 io2 X.,T X2H (мкм3 A) j' ox dep v / = 0,4l(XyToxXi7) 1/3 (1.94) где Lmin, Xjи Xdep измерены в микронах, аГ^в ангстремах. Принимая Lmin = 5/, на основании (1.94) можно утверждать, что /также должна быть пропорциональна (XjT0XXdep2)]/3. Используя одинаковые приборные структуры и вычисляя Lmin с помощью (1.94), а также определяя /из рис. 1.44, на рис. 1.45 авторами [45] были нанесены величины Lmin и / в зависимости от XjT0XXdep2, на основании которых методом подгонки можно получить следующую формулу для /: i=o,i(XjToxxlf\ (L95) Соотношение (1.95) также подтверждает сделанную ранее оценку, согласно которой /« Lmin /5. Кроме того, соотношение (1.95) позволяет учесть влияние Х} на Vt. В случае «-канальных МОПТ с я+-поликремниевым затвором было показано, что для того, чтобы установить Vt = 0,7 В, т.е. чтобы выполнялось равенство Vt = 0,7 = Vp + cps + 2£SiTox%/&XdeP> необходимо условие: Т V — о* Л-,,ап — testis _. 2 ея j е„ (^-^-Ф.) е<* °х (1.96) В результате подстановки (1.96) в соотношение (1.95) для /можно получить: /« 0,0007 -Ху-Тох, (1.97) где Xj и I в микронах, а Тох в ангстремах. С другой стороны, в случае я-канальных
У. 6. Аналитическая модель тока стока, учитывающая эффект превышения равновесной скорости носителей (overshoot) МОПТ с /?+-поликремниевым затвором в предположении, что Vt= 1,2 В, следует записать Xdep-^-Tox. (1.98) Следовательно, / = 0,0011 JTf Гм (199) Это позволяет объяснить, почему приборные структуры со скрытым каналом как «-МОПТ, так ир-МОПТ обычно характеризуются более сильным проявлением короткоканальных эффектов. Таким образом, предложенная модель объясняет влияние Vds, Lefp Tox, Nsub, Xj и напряжения на подложке на величину порогового напряжения (V) для приборов с длиной канала в диапазоне от 0,8 до 0,1 мкм. Ранее опубликованная модель простой экспоненциальной зависимости AVt от Leff перестает быть справедливой при Leff< 0,8 мкм, тогда как предложенная в работе [35] модель дает вполне удовлетворительные результаты вплоть до Leff& 0,1 мкм. Эта модель также предсказывает, что Vt не является линейной функцией от Vds, а в случае короткоканальных МОПТ лучше всего описывает нелинейное поведение Vt( Vd) зависимостью типа Vt ooVj^. Новая модель позволяет обосновать простое правило масштабирования, согласно которому эффективная длина канала (Lej^ должна быть, по крайней мере, не меньше пятикратной величины характеристической длины /, которая в свою очередь пропорциональна Х.1/3Т0Х или (Xj T0XXdep2)]/\ МОПТ с LDD-областью, как было установлено, характеризуются малой чувствительностью V( к DIBL-эффекту. Это обстоятельство дает основание полагать, что в дополнение к своей способности подавлять эффекты, связанные с горячими носителями, МОПТ с LDD-областью также оказываются перспективными приборными структурами с точки зрения стабильности Vtno отношению к короткока- нальным эффектам. Предложенная новая модель также хорошо работает в случае МОПТ с LDD-областью при надлежащей коррекции, учитывающей характерные для нее меньшие величины Vb[ и падение напряжения на LDD-области. 1.6. Аналитическая модель тока стока, учитывающая эффект превышения равновесной скорости носителей (overshoot) При проектировании ИС наибольшее распространение благодаря своей простоте получила диффузионно-дрейфовая модель МОПТ. В условиях, когда длина канала значительно превосходит характеристическую длину свободного пробега и длину релаксации энергии и импульса носителей, диффузионно-дрейфовая модель позволяет рассчитывать основные характеристики транзистора с достаточно высокой точностью. Однако применимость диффузионно-дрейфовой модели для описания процессов переноса заряда ограничена условием незначительного изменения электрического поля на расстоянии длины свободного пробега носителей, что эквивалентно требованию малого времени релаксации энергии и импульса по сравнению с временем пролета активной области прибора. В приборах с длиной канала в области глубокосубмикронного диапазона на-
Глава 1. Особенности работы субмикронных МОП-транзисторов пряженность и градиент напряженности продольного электрического поля настолько велики, что указанные условия, как правило, нарушаются. В результате этого процесс переноса тока становится неравновесным, а энергия электронов в заданной точке канала может не соответствовать напряженности электрического поля в той же точке, т.е. зависимость энергии электронов от поля становится нелокальной. В результате температура электронов может существенно превысить температуру решетки — происходит «перегрев» электронов. Поэтому учет переноса энергии является важным фактором при расчете тока в канале глубо- косубмикронных транзисторов [46]. Наиболее адекватным методом моделирования МОПТ с учетом процесса переноса энергии является метод Монте-Карло [47]. Однако этот метод требует больших вычислительных ресурсов и в связи с этим для целей конструирования приборов его использование неэкономично. Более экономичным методом является моделирование, основанное на так называемых гидродинамических уравнениях^]. В гидродинамической модели уравнение непрерывности решается одновременно с уравнением переноса энергии. Гидродинамическая модель является полезным инженерным компромиссом между более простой статической диффузионно-дрейфовой моделью и методом Монте-Карло [48, 49]. Гидродинамическая модель стала популярным методом моделирования приборов, однако она все же требует значительных, хотя и меньших, чем в методе Монте-Карло, вычислительных ресурсов. Поэтому широкое распространение получил подход по разработке аналитических моделей ВАХ, учитывающих основные физические явления и процессы в приборах и обеспечивающих удовлетворительную точность определения подгоночных коэффициентов. Указанный подход был использован в работе [50], в которой на основе приближенного решения уравнения переноса энергии и двумерного уравнения Пуассона получены аналитические соотношения для тока стока и напряжения насыщения с учетом «перегрева» носителей. Пренебрегая диффузионной составляющей, получим плотность тока 1п [51]: In=-g\i„n^ + qS„^ = -gnvD, (1.100) оу оу где Sn= \xnk n/q (коэффициент Сорета — Soret's coefficient), n — плотность, к — постоянная Больцмана, Тп — температура, vD — дрейфовая скорость, цп — подвижность электронов, ф - потенциал. Допуская, что кинетическая энергия электронов Е определяется, главным образом, термической компонентой, т.е. Е = 3/2 пкТп, и вводя понятие термического потенциала носителей VTn= kTJq, получаем уравнение переноса энергии в соответствии с [52]: Эф УЭ^Эср + 5feY _3_( } 2 ду ду 2{ду ) 2т„,ц/ т" lh где VT= kTJq — термический потенциал носителей, Vt — равновесный термический потенциал электронов, xret — время релаксации энергии электронов. При решении этого уравнения вводится понятие нелокальной подвижности:
1.6. Аналитическая модель тока стока, учитывающая эффект превышения г<^ равновесной скорости носителей (overshoot) ^^Jy) Vn~Veff-^-Veff-77- 9 (1.102) 1n vTn где, напомним, ^представляет собой эффективную поверхностную подвижность: ^=TT^rijj. (1Л03) Использовано также допущение: ЭК, ду ^^ , (1.104) что приемлемо для малых значений у. С учетом (1.102) и (1.104) решение уравнения (1.101) имеет вид: 4-^/(42-М4) Ут„(у)=К+ ~2 -у, 5 3 ' - 7 з ™"*"Гй^-л t-l***^-* Л>-Е'- <U05> Еу — напряженность продольного поля в точке у. Полученное решение (1.105) используется для определения подвижности \хп «перегретых» носителей. Для диапазона больших значений у » 7xKlm\xeff-E^3 подвижность равна: V, 2 ^^<#7Г = ^# и „ ч • (1.Ю6) Тп Это выражение может быть представлено в виде феноменологического приближенного соотношения: *-т»*ШЁ;> <1Л07> где а — подгоночный параметр. Для малых значений у < 7тк1-\хг1Г-Еу/3 i л- Vi M-«W-^ 2E -у . (1.108) Для полного диапазона значений у используется феноменологическое приближенное выражение: ^-|^#/[l + P^e^ (!•!<») 2 &Г где L. — подгоночный коэффициент, а Р = — —~ • 5 q
Глава 1. Особенности работы субмикронных МОП-транзисторов 8.0 6.0 f J 4.0 2.0 о о 1 1 1 L = 0.67 мкм С 9?^*^ ™ т/^ ,,|Г 0 12 3 VRS(B) iu^ 4B " ЗВ . 2В ^ • и • • " " 4 5 Уравнение (1.109) является ключевым соотношением рассмотренной модели. При движении носителей вдоль канала они получают энергию от электрического поля. Температура и скорость электронов начинает возрастать, увеличивается интенсивность рассеяния на решетке. При прохождении определенного расстояния избыточная относительно равновесной скорость носителей снижается и со временем достигает равновесной. При уменьшении длины канала напряженность электрического поля вблизи истока растет, и носители, Рис.1.46. Экспериментальные и смодели- приобретя высокую энергию в начале рованные выходные /(V)-характеристики канала, не успевают отдать ее решетке. В ^-канального МОПТ с W = 19,5 мкм; L = этом случае эффект превышения равно- 0,67 мкм и tox - 22 нм [50]. Черные кружки весной скорости носителей (overshoot) соответствуют экспериментальным данным, g вдиять на параметры Приборов, а сплошные кривые — смоделированным за- , , так как эффективная средняя скорость висимостям, полученным в результате под- ^^ ^ г гонки параметров носителей в канале будет увеличиваться с уменьшением длины канала. Аналитические выражения для ВАХ МОПТ, полученные в работе [50], из-за сложности здесь не приводим. Проверка модели производилась на приборах с длиной канала L = 0,67 и 0,2 мкм. Получено удовлетворительное согласование экспериментальных и расчетных результатов как для субмикронных приборов, так и для суб-0,25-микронных приборов (рис. 1.46 и 1.47). На рис. 1.48 представлены выходные характеристики п-МОПТ с длиной канала 0,09 мкм. Как видим, для приборов с суб-0,1- микронным каналом имеется совпаде- Рис.1.47. Экспериментальные и смодели- ние результатов эксперимента и расче- рованные выходные /(^-характеристики та. Кроме того, на рис. 1.48 пунктиром я-канального МОПТ с W = 20 мкм L — 0,20 мкм w Тох = 3,5 нм [50]. Черные кружки соответствуют экспериментальным данным, а сплошные кривые — смоделированным зависимостям, полученным в результате подгонки параметров 14 12 10 < 6 4 2 0 L = 0 i = 0.2 мкм , 0.5 1 ,•'''1.75 ^ 1.50 1.25 1.00 0.75 i 1.0 vDS(B) • i 1 1.5 f-^—"""i f- "1 2 > » > 0 представлены результаты моделирования без учета эффекта превышения равновесной скорости носителей. Следует отметить, что превышение носителями равновесной скорости (равной насыщенной скорости носителей) наблюдается и в приборах в режиме насыщения, когда напряженность и градиент напряженности электрического поля в канале велики, а расстояния, преодолеваемые носителями, малы. Однако этот эффект превышения равновес-
1.6. Аналитическая модель тока стока, учитывающая эффект превышения равновесной скорости носителей (overshoot) ной скорости на стоковом конце канала не приводит к существенному росту тока стока, так как он вызывает лишь изменение распределения носителей по скоростям. (При этом следует отметить, что рассматриваемый эффект, конечно, может влиять на величину тока подложки и на генерацию поверхностных состояний.) По этой причине диффузионно- дрейфовая модель позволяет успешно делать достаточно достоверные оценки тока стока в приборах с длиной канала вплоть до 0,25 мкм, используя понятие локальной подвижности. Поэтому в диффузионно-дрейфовой Рис.1.48. Экспериментальные и смоделирован- модели нет необходимости учиты- ные выходные /(^-характеристики л-каналь- вать эффект превышения носителями ного МОПТ с L = 0,09 мкм и Тох= 3,5 нм [50]. равновесной скорости. Когда же дли- Зачерненные кружки соответствуют экспери- на канала уменьшается до < 0,25 мкм, ментальным данным, а сплошные кривые - смоделированным зависимостям, полученным то в зависимости от напряжения на н ' J .. в результате подгонки параметров. Пунктирные стоке точка, в которой средняя ло- ' F F M кривые представляют результаты, полученные кальная скорость носителей превы- без учета эффекта перегрева носителей шает равновесную насыщенную скорость, приближается к истоку. В тот PQ О § х ■ vd с учетом перегрева носителей w __ , ? г» ■ vd без учета перегрева носит.у - Ev с учетом перегрева носителей,^' - Е без учета перегрева носит. // V =1.0 В е 4 н о о X X X 5 ^ О о< о U момент, когда эта точка достигнет истока, ток стока и крутизна МОПТ превысят значения, вычисляемые по диффузионно-дрейфовой модели. Увеличение тока стока произойдет даже в том случае, если при этом мгновенная скорость носителей не достигнет уровня насыщенной скорости. При уменьшении длины канала при фиксированном напряжении на стоке напряженность электрического поля в канале увеличивается и соответственно растет напряженность поля вблизи истока. При значительном повышении напряженности поля в канале вблизи истока будет Рис.1.49. Полученные расчетным путем распре проявляться нелокальный эффект деления напряженности электрического поля переноса носителей и увеличение и дрейфовой скорости носителей электронов их скорости. С ростом напряжения вдоль канала в случае я-канального МОПТ с пана стоке этот эффект будет выражен раметрами, соответствующими данным, пред- сильнее. На рис.1.49 представлены ставленным на рис. 1.48 V =0.25 В 0.00 0.02 0.04 0.06 0.08 Расстояние вдоль канала (мкм)
Глава 1. Особенности работы субмикронных МОП-транзисторов 0.3 § 0.2 о с расчетные зависимости напряженности электрического поля и скорости носителей в канале с учетом рассматриваемого эффекта и без него для двух значений напряжения на стоке. При Vd = 0,25В канал по всей длине находится в состоянии сильной инверсии, напряженность электрического поля вблизи истока мала, поэтому эффект превышения носителями равновесной скорости незначителен. При высоком напряжении на стоке (Vd = 1,0) В область канала разделяется на два участка с границей между ними в точке отсечки канала. Из рис. 1.49 видно, что в этом Рис.1.50. Распределение напряженности случае действие рассматриваемого эф- электрического поля вдоль канала для FOLD фекта нелокального механизма перено- 0.1 X 0.0 0.3 V =4В g L LH"*l_l _L_ 1— ' 4= —i 6В 73 в\ 1В \ —i 1 ■j h4u»»vJ 0.4 0.5 0.6 0.7 0.8 Расстояние вдоль канала (мкм) 0.9 са носителей начинается на расстоянии у = 0,01 мкм от истока. На этом участке я-МОПТ (с полностью перекрытыми затвором LDD-областями) для Ly — L02 — 0,70 мкм и Ьт = 0,25 мкм. Точечные кривые соответ- гслл канала скорость носителей уменьшает- ствуют аналитической модели [54]; сплошные * J кривые получены моделированием, которое ся с увеличением у, так как релаксация осуществлялось с помощью двумерной про- энергии носителей из-за рассеяния на граммы MEDICI при различных значениях^, решетке доминирует над эффектом W= 1 мкм, Тох = 20нми Nn_ =2,5 • 1017см3 ускорения носителей при воздействии электрического поля. Когда напряжение на стоке повышается или уменьшается длина канала, влияние эффекта превышения равновесного значения скорости носителей на ток стока будет увеличиваться. Следует отметить, что недостатком описанной аналитической модели при всей ее физической наглядности является ее плохая «непрерывность» в режиме насыщения и в линейном режиме работы транзистора, поэтому используются два различных соотношения для тока стока. В работе [53] представлена аналитическая модель ВАХ МОПТ субмикронного и глубокосубмикронного диапазона, справедливая для всех режимов работы. Гладкий переход из подпорогового в линейный режим и из линейного режима в режим насыщения обеспечивается благодаря введению сглаживающих функций, зависящих от Vg, VtmVd,a также от подгоночных коэффициентов. 1.7. Распределение электрического поля вблизи стока МОПТ со структурой LDD При масштабировании МОПТ рабочее напряжение снижают до 3,3—2,5В и ниже для того, чтобы уменьшить потребляемую мощность и повысить надежность приборов. Однако деградация МОПТ, вызываемая горячими носителями, остается серьезной проблемой, несмотря на снижение рабочего напряжения. Горячие носители вызывают постепенное изменение тока стока вследствие образования за- ряженых состояний на границе Si-Si02 и в объеме подзатворного окисла. Как известно, для снижения эффекта горячих носителей в физическую структуру МОПТ вводят дополнительные слаболегированные области стока, что позволяет снизить
1.7. Распределение электрического поля вблизи стока МОПТ со структурой LDD 0.3 §0.2 о К 0.1 0.0 Ьи*я I V g gOOOO, т = go ouu 4B iffia UUUB T 1 —i г -™т 6B / 3B V4^\ J°°° \ Vd=lB \ oo.otootoY%n..L^; n \\ - MO»j 0.3 0.4 0.5 0.6 0.7 0.8 0.S Расстояние вдоль канала (мкм) Рис. 1.51. Распределение напряженности электрического поля вдоль канала для POLD я-МОПТ (с частично перекрытым затвором LDD-областями) для Ly—L02 = 0,70 мкм, Ь2—Ь03 = 0,2 мкм и 103 = 0,15 мкм. Моделирование осуществлялось с помощью двумерной программы MEDICI при различных значениях Vd и W— 1 мкм. Сплошные линии соответствуют смоделированным результатам максимальную напряженность элек- Исток Затвор Окисел п 1 — и— Ly , Lo2 . \-* ->■ —к±м Сток S' о _|_ а) Исток Ly - Lx Спейсер Затвор Lo3 ' Сток Ш-\ Ly S' 0 _|_ Ly-Lx ->~У б) Рис. 1.52. Схематичное представление сечения приборной структуры МОПТ с LDD- областями: а — полное перекрытие затвором трического поля вблизи стока. Однако LDD-областей; б - частичное перекрытие введение слаболегированных областей затв°Р°м LDD-областей снижает нагрузочную способность приборов из-за увеличения последовательного сопротивления. Анализ и оптимизация субмикронных МОПТ со слаболегированными областями стока (LDD) должны производиться с использованием двумерного подхода. В работе [54] представлена аналитическая модель, основанная на решении квазидвумерного уравнения Пуассона и учитывающая изменение концентрации примеси в продольном направлении. Модель также дает возможность учитывать изменение состояния поверхности LDD-областей от обогащения до обеднения с ростом напряжения на стоке Vd, что важно для расчета распределения напряженности продольного электрического поля. Это позволяет, изменяя параметры физической структуры транзистора, осуществлять оптимизацию распределения поля с целью уменьшения эффектов горячих носителей. На рис. 1.50 и 1.51 представлены распределения напряженности электрического поля Е(у) для МОПТ с полным (FOLD) или частичным (POLD) перекрытием затвором LDD-областей, полученные с использованием аналитической модели [54]. В структуре этих транзисторов (рис. 1.52) вблизи стока можно выделить три участка: участок собственно канала, «-область и находящуюся между ними пред- диффузионную область (Lm — LQ2). В режиме насыщения точки у = Leff (точка G) и у = Ly — Lx (точка Н) отделяют омические участки отсечки от области. Положение точки Я в режиме насыщения может находиться в преддиффузионной области, в перекрытой затвором или неперекрытой части LDD в зависимости от параметров
Ъ4 Глава 1. Особенности работы субмикронных МОП-транзисторов Рис. 1.53. (а) Смоделированные результаты распределения напряженности электрического поля вдоль канала при различных значениях V. (б) Смоделированные результаты распределения напряженности электрического поля вдоль канала при различных величинах параметра Xjn-. Использованные приборные структуры характеризовались следующими данными: Ly — L02 = 0,75 мкм; L02 — L0] = 0,05 мкм; W— 19 мкм и Тох = 16,6 нм физической структуры транзистора и напряжения Vd. Соответственно каждый из указанных случаев будет характеризоваться специфическим распределением напряженности электрического поля Е(у). Для МОПТ со структурой FOLD распределение Е(у) при Vd = 3 В имеет максимум, расположенный вблизи перехода канал-ЬОО-область. Появление этого максимума связано со снижением проводимости на этом участке (с меньшей степенью инверсии). При Vd = 6 В появляется еще один локальный максимум на границе LDD и я+-областей. Для МОПТ со структурой POLD распределение Е(у) представлено на рис. 1.51. Здесь наблюдаются два локальных максимума Е(у) при Vd = 6В, причем второй максимум расположен на границе перекрытого и неперекрытого участков LDD- области. Появление этого максимума связано с резким изменением толщины под- затворного окисла и соответствующим изменением поверхностного потенциала. На рис. 1.53 представлено распределение Е(у) для МОПТ со структурой POLD, в котором расстояние между границей канала с «"-областью и границей перекрытым и неперекрытым участком LDD-области (L02 - L03) уменьшено до 0,05 мкм. Как видно, с повышением ^происходит смещение максимума напряженности поля из точки А в точку D. Наблюдаемый факт смещения максимума Е(у) при повышении напряжения на стоке Vd имеет прямое отношение к методу оценки срока службы приборов, когда они подвергаются электрическому стрессу при повышенных значениях Vd. Из результатов, представленных на рис. 1.535, следует, что положение и величина максимума напряженности электрического поля при высоком и низком напряжениях Vd могут различаться. Без знания распределения Е(у) в канале и его зависимости от Vd предсказание срока службы может быть ошибочным. 1.8. Короткоканальный эффект в субмикронных р-МОПТ со скрытым каналом В стандартной КМОП-технологии с одним типом затвора как правило используют /7-МОПТ с поверхностным каналом и/?-МОПТ со скрытым каналом.
1.8. Короткоканальный эффект в субмикронных р-МОПТ со скрытым каналом 3 При масштабировании приборов в область ниже 0,5 мкм появилась тенденция более широкого использования /ьМОПТ с поверхностным каналом и поликремниевым затвором /?+-типа, наряду с затвором п+- типа для я-МОПТ. Эта тенденция возникла из-за повышенной чувствительности /?-МОПТ со скрытым каналом к короткоканальным эффектам, так как управление проводимостью канала затвором ослабляется из-за того, что канал формируется на большем расстоянии от границы Si-Si02, чем в МОПТ с поверхностным каналом. Кроме того, по этой же причине /ьМОПТ со скрытым каналом имеют более высокое пороговое напряжение, чем/ьМОПТ с поверхностным каналом. Это является критичным фактором при снижении напряжения питания при масштабировании СБИС. Однако использование в СБИСр-МОПТ с поверхностным каналом связано с существенным усложнением технологии и необходимостью решения таких проблем, как проникновение бора через подзатворный диэлектрик, уменьшение подвижности носителей из-за рассеяния на поверхности, обеднение затвора носителями у границы с подзатворным окислом. Последний фактор приводит к снижению тока стока и проявляется сильнее при уменьшении толщины подзатворного окисла. В связи с возрастающей сложностью технологии изготовления масштабируемых /ьМОПТ с поверхностным каналом продолжаются поиски путей создания приборов со скрытым каналом и я+-затвором, имеющих достаточно низкое пороговое напряжение и удовлетворительные короткоканальные характеристики. Как правило, для решения этих задач уменьшают толщину встроенного /г-слоя, в котором формируется скрытый канал, толщину подзатворного окисла и увеличивают концентрацию примеси в подложке. Однако указанные приемы, вообще говоря, могут привести к деградации характеристик приборов. Так, при уменьшении толщины скомпенсированного слоя снижается крутизна, а при увеличении концентрации в подложке растет емкость /?-л-переходов и коэффициент влияния подложки, что отрицательно сказывается на быстродействии прибора. Для формирования тонких скомпенсированных слоев используют имплантацию ионов BF2 или индия, а в качестве дополнительной меры для уменьшения короткока- нальных эффектов вводят области с повышенной концентрацией доноров вблизи сток-истоковых областей — мелкие гало-области для предотвращения смыкания по поверхности и глубокие, получаемые наклонной ионной имплантацией (pocket-области), — для предотвращения смыкания в объеме. В работе [55] сообщается о КМОП-технологии, использующей указанные процессы, со следующими параметрами транзистора со скрытым каналом: Lg = 0,25 мкм, Vt = -0,73 В, Idsat = 0,23 мА/мкм, Iojr= 1-10"12 А/мкм, S= 132 мВ/дек. При этом контрольный прибор с поверхностным каналом имел параметры: Vt = -0,42 В, /rfw/= 0,19 мА/мкм, Ioff= НО"12 А/мкм, 5= 78 мВ/дек. Возможность улучшения короткоканальных характеристик /ьМОПТ со скрытым каналом связана, главным образом, с ограничениями технологических процессов при формировании ультратонких скомпенсированных слоев. С внедрением в производство низкотемпературных процессов эпитаксии, легирования затвора и сток- истоковых областей и формирования подзатворного окисла появится перспектива создания/?-МОПТ со скрытым встроенным каналом в глубокосубмикронном диапазоне. В работе [56] с использованием двумерного моделирования исследованы зависимости характеристик/7-МОПТ со скрытым каналом с длиной затвора /^=0,15 мкм (Leff= 0,1 мкм) от параметров физической структуры. Предполагалось, что физиче- 3—1235
Глава 1. Особенности работы субмикронных МОЛ-транзисторов п-поликремнии Подзатворный оксид \ г— Затвор Встроенный ^р-слой Р+ т р+ Слой, препятствующий смыканию Подложка 0.6 0.4 0.2 о -0.2 -0.4 -0.6 -0.8 -1 -1.2 -1.4 Уровень легирования первого слоя (см3) НВ- 1.00Е+18 екая структура транзистора формировалась с использованием перспективных технологических процессов и конструктивных решений. Так, сток-истоковые области имели приподнятые электроды, а глубина ^-«-перехода не превышала 50 нм. Толщина под- затворного окисла составляла 5 нм. Ввиду использования низкого напряжения питания 1,2 В в структуре транзистора отсутствовали LDD-области. Пред- Рис. 1.54. Схематичная структура МОПТ со скрытым полагалось также, что конструк- каналом ция транзистора обеспечивала малое влияние последовательного сопротивления сток-истоковых областей на его характеристики. Исследуемый /7-МОПТ изображен на рис. 1.54. Под подзатворным окислом находится слой /r-типа, в котором формируется скрытый канал. Пороговое напряжение транзистора зависит от концентрации примеси и толщины этого слоя. Второй PTS-слой имеет проводимость «-типа, и его назначение — предотвращать смыкание областей пространственного заряда стока и истока (PTS — punch-through stop). Толщина и концентрация примеси в этом слое определяет крутизну транзистора и его устойчивость к короткоканальным эффектам. Концентрация примеси в подложке имела фиксированное значение 1Т017 см3. Расчеты показали, что оптимальной с точки зрения минимальной чувствительности характеристик транзистора к изменению параметров слоев I и II является следующая структура: первый слой: толщина — 10 нм; концентрация - 3T018 см3; второй слой: 50 нм; концентрация - 5 10 20 30 Толщина первого слоя (нм) а) Легирование первого слоя: Зе18см 3 -1.2 5 10 20 Толщина первого слоя (нм) б) Рис. 1.55 (а) Зависимости порогового напряжения (V) от толщины первого слоя при различных значениях уровня его легирования толщина (толщина второго слоя = 20 нм, а его уровень 1 * 1018 см3. легирования— 1 • 1018см~3). (б) Зависимости по- /7-МОПТ с указанными значе- рогового напряжения (V) от толщины слоя при ниями параметров структуры имеет различных значениях толщины второго слоя следующие характеристики: поро-
1.9. Ток утечки стока, индуцируемый напряжением на затворе (GIDL-эффект) говое напряжение Vt= -0,5 В; под- пороговый наклон 5—90 мВ/дек; крутизна gm = 0,18 мСм/мкм (при (Vg— V) = 0J В); ток в выключенном состоянии I0ff= Ы0"12А. Зависимости указанных характеристик от параметров физической структуры представлены на рис 1.55-1.58. Таким образом, расчеты показывают, что при использовании перспективных методов легирования и низкотемпературных процессов изготовления приборов возможно получение удовлетворительных характеристик р- МОПТ со скрытым каналом. Уровни легирования второго слоя: НИ- 5.00Е+17 8.00Е+17 . 2.00Е+18 3.00Е+18 ■ Обычная структура 1-й слой: Зе18 см3 10 нм 10 20 30 40 50 Толщина второго слоя (нм) Рис. 1.56. Зависимости порогового напряжения (V) от толщины второго слоя при различных уровнях легирования этого слоя. (Концентрация легирующей примеси в первом слое составляла 3 • 1018 см-3 при толщине, равной 10 нм) 120 г 115 л § ПО У, -^ 105 CQ 3- 100 а g 95 t 90 СЛ 85 80 Уровень легирования первого слоя: (см3) -И- 1.00Е+18 - Щ **%— 2.00Е+18 / / -^_ 4.00Е+18 р / / / / / Л/ у* ж </т у^ Второй слой: 1е 18 см3 ^^^<Г_/^^ 20 нм ^^^^и i i i i 5 10 20 30 Толщина первого слоя (нм) Уровень легирования второго слоя (см3) -»- 8.00Е+17 >-»#•-> 3.00Е+18 А Обычный Первый слой: Зе18см_3 Л i 10 нм 10 20 30 40 50 Толщина второго слоя (нм) Рис. 1.57. Зависимости подпорогового ^-фактора от толщины первого слоя при различных значениях его уровня легирования, выступающего в качестве параметра (толщина второго слоя = 20 нм, а его уровень легирования = 1018 см 3) Рис. 1.58. Зависимости подпорогового ^-фактора от толщины второго слоя при различных значениях его уровня легирования (толщина первого слоя = 10 нм; уровень легирования первого слоя = 1018 см3) 1.9. Ток утечки стока, индуцируемый напряжением на затворе (GIDL-эффект) Рост напряженности электрического поля в кремнии приводит к обеднению носителями приповерхностного участка сильно легированной области стока, обуславливая при этом интенсификацию термической генерации носителей, ограничиваемой их переносом. Возникающий в этом случае ток утечки экспоненциально зависит от напряжения на затворе относительно стока — в отличие от обычного теплового тока утечки через /ья-переход [57]. При еще большей величине напряженности электрического поля изгиб энергетических зон может увеличиться настолько, что возникнет междузонный туннельный ток на поверхности сильно обедненной об-
68 Глава 1. Особенности работы субмикронных МОП-транзисторов ?vr. <?vn р-подложка ласти стока, перекрытой затвором (рис. 1.59 [58]). Указанный механизм генерации тока утечки, индуцированного напряжением на затворе, называют GIDL- эффектом (Gate-induced Drain Leakage) [59]. При еще больших электрических полях ток утечки между затвором и стоком обеспечивается комбинацией двух процессов: туннелированием электронов по Фаулеру-Нордгейму из затвора в сток и инжекцией горячих дырок, генерируемых GIDL-эффектом, в подзатворный диэлектрик [60, 61]. Этот ток, протекающий между затвором и стоком транзистора в закрытом состоянии, приводит к значительному захвату дырок в подзатворном окисном слое, что вызывает аномальное поведение тока стока и деградацию под- затворного окисного слоя [62, 63]. Упомянутые выше токи утечки очень Рис. 1.59. Образование электрон-дырочных пар в результате междузонного туннели- рования в области стока. Дырки движутся вдоль границы раздела Si-Si02 по направ- лению к краю />-л-перехода. После упругого чувствительны к толщине подзатворного столкновения они инжектируются в окисел окисного слоя, концентрации легирующей примеси в области стока, а также к латеральному градиенту легирующей примеси в области стока и прикладываемому напряжению между стоком и затвором. С целью решения проблемы тока утечки стока были изучены транзисторы с различными LDD-структурами [64,65]. При этом было продемонстрировано почти полное подавление GIDL-тока в случае использования LDD-структуры. Однако применение этих структур приводит к ухудшению надежности приборов в результате воздействия горячих носителей из-за снижения контроля со стороны затвора над плотностью носителей в непере- крытом затвором участке LDD-области [66]. Для решения проблемы надежности была предложена приборная структура с полным перекрытием затвором LDD- области. Эти приборные структуры были исследованы с точки зрения поведения их GIDL-TOKOB [67]. В модели, объясняющей возникновение междузонного туннельного тока в области перекрытия затвором участка стока, первоначально было использовано одномерное решение для определения вертикальной составляющей электрического поля [59, 68]. Однако электрическое поле в интересующем нас районе по своей природе является сугубо двумерным. Поэтому в дальнейших работах [64, 69] для оценки GIDL- тока в приборных структурах с LDD-областью были использованы двумерные и трехмерные численные методы компьютерного моделирования картины электрического поля. В связи с тем, что эти методы моделирования не дают физических представлений о необходимых соотношениях между параметрами физической структуры прибора, в работе [70] были выполнены экспериментальные исследования и разработана простая аналитическая квазидвумерная модель GIDL-эффекта. На рис. 1.60 приводятся типичные подпороговые //J^)-характеристики для трех типов приборных структур (рис. 1.61) — без LDD (структура SD), с LDD
/. 9. Ток утечки стока, индуцируемый напряжением на затворе (GIDL-эффект) 10 3 io-4i _ io-5 = < ю6: S ю-7] g io-8j М Ю-9 : £ Ю-10] ю-и ! ш-,21 ю-'М fefcSD \лid ps LDD J з-2-io 1 : Напряжение на затворе (В) -o-SD25 -Q-TOPS06 ^-LDD12 Vd = 5B Tox = 8,5 нм W = 50 mkm L = 10 mkm Рис. 1.60. Подпороговые Id( ^-характеристики для приборных структур типа SD, TOPS и LDD при Vd = 5 В и Тох = 8,5 нм. GIDL-токи наблюдались при Vg < Vt. Приборные структуры с LDD-областями характеризовались существенно меньшим уровнем GIDL-тока по сравнению с приборными структурами типа SD или TOPS SD Eve и с полным перекрытием LDD-области поликремниевым спейсером (структура TOPS) для случая Vd = 5,0 В и Тох = 8,5 нм. Поскольку ток утечки стока не является функцией длины канала, то все измерения были проведены на я-МОПТ с L = 10 мкм и И^ = 50 мкм. Для отрицательных значений Vg наблюдались большие GIDL-токи, тогда как в случае положительных значений Vg видим обычное поведение подпороговых IJ,V)- зависимостей. Наибольший интерес представляют токи при VR = 0. Приборная структура с неполным перекрытием LDD-области характеризуется очень низким GIDL-током, тогда как МОПТ с SD-структурой имеет ток утечки на три порядка больше. МОПТ со структурой TOPS имеет промежуточное значение тока утечки. На рис. 1.62 приведены зависимости тока стока (Id) от напряжения на стоке (Vd) для V = 0 для всех трех вариантов приборных структур. Затвор и подложка при этом заземлялись, тогда как исток оставался под плавающим потенциалом, чтобы гарантировать, что в цепи стока измеряется только GIDL-ток. На рис. 1.62 сплошные кривые соответствуют аналитическим зависимостям, полученным на основе новой двумерной модели, разработанной в работе [70]. рис.1.61. Экспериментальные структуры (SD, В большей части диапазона при- TOPS и LDD), использованные в работе [70]. кладываемых напряжений Vd было Стрелками указаны местоположения и направле- получено очень хорошее совпаде- ния компонент напряженности электрического ние экспериментальных данных с поля> обуславливающих появление междузонного теоретическими зависимостями, туннельноготока При низких напряжениях на стоке ток утечки определяется главным образом процессом термической генерации носителей, ограниченным их переносом [57]. Ток по величине оказывается близким или даже ниже измеряемого уровня шумов для * Asn+ Поликремниевый "спенсер TOPS ^ Asn+ „Оксидный спейсер LDD ^р ч Asn+
Глава 1. Особенности работы субмикронных МОП-транзисторов 10* l0'-i *,0'81 й ю-' j. < 1010 л 1 1l0" i £ 1 ю-13 - 1 1014 j 3 4 SD ...^ 5 Han j TOPS 6 )яжен LDD 7 иена 0,1 к А/мкм 8 стоке 9 (В) tf£ 1 -e-SD25 -O-TOPS06 -♦-LDD12 Model Tox = 8.5 нм Vg = 0B Критический уровень 0 приборных структур с W— 50 мкм при комнатной температуре. При высоких напряжениях на стоке захват горячих дырок в подзатворном окисном слое приводит к уменьшению напряженности электрического поля в кремнии у границы Si02/ Si, что обуславливает несколько меньший GIDL-ток по сравнению с моделью. При еще более высоком уровне напряжения на стоке (на рис. 1.62 не отображено) лавинное Л „ ^ ^ ^хг_ размножение носителей, относя- Рис.1.62. Зависимости GIDL-тока от напряжения ^ щихся к термически инициирован - на стоке для приборных структур, представленных ^ _ т _ * на рис. 1.60. Новая квазидвумерная модель хоро- Н0МУ Т0КУ У**4™ и к GIDL-току, шо согласуется с экспериментальными данными приводит к резкому увеличению вплоть до напряжений, когда захват горячих ды- Л> которое в итоге ограничивается рок на ловушки в подзатворном окисле приводит последовательным сопротивлени- к уменьшению темпа нарастания GIDL-тока при ем приборной структуры в цепи увеличении напряжения на стоке. Критический стока. уровень тока, соответствующий допустимой мак- Максимальное значение до- симально^^^ пустимого напряжения (Fmax), при котором ток утечки (GIDL) достигает критической величины, равной Ю13 А/мкм, было выбрано в качестве критерия для сравнения различных приборных структур. Заметим, что этот критерий может быть выбран при необходимости даже меньшим в случае 256-Мбит СБИС ДОЗУ или же приборов, для которых важно малое потребление мощности. Как можно видеть из рис. 1.62, при толщине подзатворного окисла 8,5 нм МОПТ с SD- структурой стока характери- делен равным 0,1 пА/мкм — Nd-2el8 — Nd-4el8 — Nd-Nerit — G=1.0e6 — G-I.5c6 — G=2.0e6 — G=2.5e6 ф SD25,20I ■ LDD 11,23 □ LDD12.24 ▲ TOPS05J7 A TOPS06,18 1 ■ I ■ I ■ I ' I ■ I 2 4 6 8 10 12 14 Толщина подзатворного окисла (нм) Рис. 1.63. Зависимости допустимой максимальной величины напряжения на стоке (Vmax) от толщины подзатворного окисного слоя (7^х). Предшествующая одномерная модель предсказывала Ecrit = 1,9 МВ/см, тогда как квазидвумерная модель дает Ecrit — 5,6 МВ/см при нулевом зуется Vmax = 3,6 В, тогда как градиенте латеральной составляющей напряженности приборы с TOPS- и LDD- электрического поля. По мере возрастания градиента л а- структурами имеют значе- теральной составляющей электрического поля (GE) вели- ния Vmax, соответственно чина Vmaxуменьшается и становится нелинейной функци- равные 5,1 и 6,2 В. На рис. ейотГ0Х. При достаточно малой величине Гох значения Vmax j 63 приводятся зависимо- приближаются к Еп + VFR = 1,2 В и V„Mr становится менее _„ „л„ п ~ „_ л И G FB max сти допустимой максималь- чувствительным к латеральному электрическому полю „ хг ной величины Vmax напряже-
1.9. Ток утечки стока, индуцируемый напряжением на затворе (GJDL-эффект) е: __ 0 - 5 - п — Ограничение \ из-за лавинногоХ размножения ■ ■ 1 ^ ■ А#. •А.. ^=UBV^ ч / / / V ^Sw/ JoyJ= 15нм \» /Sb/' Лох = 11нм A STs,.'' Tox = 8.5нм 1 1 О SD25 ♦ SD201 -■ LDD1U2 ■ LDD23.24 ■ LDD202,03 А TOPS05,06 ^TOPS17,18 ■ TOPS206,08,10 1017 10,s 1019 1020 Концентрация примеси в области стока Рис. 1.64. Величина Vmax как функция концентрации легирующей примеси в области стока (Nd), предсказываемая квазидвумерной моделью при Ecrit — ния на стоке (соответствующей выбранному критерию по GIDL- току, равному 10~13 А/мкм) от толщины подзатворного окис- ного слоя. В одномерной модели учитывается только вертикальная составляющая напряженности электрического поля, поэтому для заданной концентрации легирующей примеси в области стока величина Vmax изменяется линейно с Тох. Величина Ктах уменьшается с увеличением концентрации легирующей примеси в области стока (Nd), поскольку вертикальная составляющая на- 56 мв/см Данные ЦДЯ LDD-МОПТ согласуются пряженности электрического с зависимостью л/Л^. Однако в случае приборных поля пропорциональна ^Nd. Эта структур типа SD и TOPS наблюдается заметный одномерная модель распре- разброс результатов, и величины Vmax оказываются деления электрического поля ниже предсказываемых моделью, что указывает на предсказывает что критическое существенное влияние боковой составляющей назначение напряженности элек- пряженности электрического поля. Образцы МОПТ ™Т,1Т^,^™ т™™ ™~™ ™ с LDD-областями обнаруживали наличие тока, обу- трического поля в окисле долж- ^J 'n; л г, а*^ / словленного лавинным размножением, при 12 В — но составлять всего 1,9 МВ/см „ л „_„ ™__тт„_ г/ ' ' т. е. перед достижением V (что соответствует току утечки 0,1 рА/мкм [71]), тогда как квазидвумерная модель [70] предсказывает, что это поле должно быть значительно больше и может достигать 5,6 МВ/см. При достаточно большой концентрации легирующей примеси в области стока изгиб зонной диаграммы у края затвора становится недостаточным для туннели- рования носителей (\j/s < 1,2 В). Это видно из рис. 1.64, где критическая напряженность электрического поля была принята равной Ecrit = 5,6 МВ/см. Критическая концентрация (NCIit) была найдена при этом равной 9-Ю18 см3. По мере увеличения Nd свыше Ecrit точка, соответствующая максимальному туннельному току (в которой vj/s = 1,2 В), смещается от края затвора по направлению к металлургическому центру /?-я-перехода, и Vmax становится нечувствительным к Nd, что хорошо видно на правой части рис. 1.64. Для приборных структур типа «SD» и «TOPS», имеющих перекрытые затвором области стока, в которых концентрация легирующей примеси (мышьяка) близка к максимальной (Nd = 1020 см3), квазидвумерная модель, не учитывающая латеральной компоненты поля, предсказывает, что значения Vmax, должны ложиться на прямую для Ecrit =5,6 МВ/см (см.рис. 1.63) и на прямолинейные участки зависимостей Утах(Ю> как на Рис- 1-^4. Однако экспериментальные точки ложатся ниже этих линий. В работе [70] также наблюдалось, что в случае МОПТ с TOPS-структурой стока величина Vmax фактически возрастала с увеличением дозы имплантируемого фосфора — в противоположность МОПТ с LDD-структурой. Кроме того, в приборах как с LDD-, так и с TOPS-структурой наблюдалось увеличение Ктах по мере увеличения толщины стенок спейсера. Модели, учитывающие только вертикаль-
2 Глава 1. Особенности работы субмикронных МОП-транзисторов ную составляющую напряженности электрического поля, не способны объяснить эти результаты. 1.9.1. Квазидвумерная модель Полученные экспериментальные результаты успешно объясняются квазидвумерной моделью, учитывающей латеральную компоненту напряженности электрического поля [70], которая в МОПТ с SD- и TOPS-структурой стока имеет значительную величину. Учет этого обстоятельства приводит к увеличению GIDL-тока и к уменьшению Vmax. В действительности в реальных приборах эта латеральная составляющая напряженности встроенного электрического поля может превысить 1 МВ/см в случае мелких/?-я~-переходов (Xj < 0,2 мкм), что делает ее сопоставимой с вертикальной составляющей электрического поля. В соответствии с квазидвумерной моделью плотность туннельного тока выражается соотношением: /, = А.4,г.ехР(-^); (1Л10) гд.еЕтаг = ^Е2ЮТ + Е2Т0Г; At = k- ,Ф/ " Т" >; Д1 = 45мв/см, Tv Етот, ЕъЛ, Еш и i|/s являются функциями координаты у. Напряженность вертикального поля EVert(y) задается соотношением е,Лу)=^-^-, (1.111) ь5/ 1ох где V'dg = K/s— Vp, у — текущая координата в горизонтальном направлении, отсчитываемая от края затвора. Новый, учитываемый в квазидвумерной модели член, соответствующий латеральной составляющей электрического поля, может быть просто определен как градиент поверхностного потенциала d\\rjy)/dy. Поскольку туннелирование носителей происходит, главным образом, вблизи поверхности кремния, то в модели принимается допущение о том, что концентрация легирующей примеси не является функцией глубины. Используя приближение полного обеднения, соотношение для поверхностного потенциала было представлено в работе [70] в следующем виде: V,CV)= К +¥о(^)-[2^ • Уо(У)+Щ(У)Т , (1.112) где i|/0(y) = qz,Nd(y) / С20Х. Применяя к (1.112) операцию дифференцирования по у, для боковой составляющей напряженности электрического поля можно получить: где относительный латеральный градиент распределения легирующей примеси определяется как
1.9. Ток утечки стока, индуцируемый напряжением на затворе (GIDL-эффект) 7$ Рис. 1.65. Демонстрация результатов, полученных с помощью квазидвумерной модели для МОПТ типа SD, LDD и TOPS [70]. Поперечное сечение областей стока, концентрационный профиль распределения легирующих примесей, поверхностный потенциал, напряженность электрического поля и плотность туннельного тока приводятся для каждого из указанных типов приборных структур как функции координаты вдоль канала, отсчитываемой от края затвора. МОПТ типа SD и TOPS демонстрируют наличие резких пиков латеральной составляющей напряженности электрического поля, обусловленных крутым характером распределения атомов мышьяка в областях п+-р- или я+/я-переходов, что приводит к повышенным значениям GIDL-tokob
Глава 1. Особенности работы субмикронных МОП-транзисторов г. . din УЗД 1 Щ G(y)=—61~ = 1Гё-ъ- (1Л14) Величина отношения (Vd — ys(y))/(V'dg + v|/s0>)), называемого «коэффициентом латерального электрического поля», при напряжениях, представляющих реальный интерес, не превышает 0,5. Поэтому при заданных значениях Vdg боковая составляющая напряженности электрического поля становится просто пропорциональна произведению относительного латерального градиента распределения легирующей примеси (G) и поверхностного потенциала (\j/s) в каждой конкретной точке у в области перекрытия стока затвором. Суммарная напряженность электрического поля аппроксимируется как векторная сумма вертикальной и латеральной компонент поля: ET07iy)=^E2Vert(y)+Eit(y) . (1.115) Эффект учета боковой составляющей довольно хорошо иллюстрируется рис. 1.63 для различных значений латерального градиента G . Приборные структуры с областью стока типа «SD» характеризуются эффективной величиной G = 2,5-106 см1, тогда как в случае TOPS-структуры величины G оказываются в диапазоне (1,0-2,0)- КРсм-1. Рис. 1.65 иллюстрирует применение аналитической квазидвумерной модели к МОПТ с областями стоковой области типа «SD», «LDD» и «TOPS». Большая боковая составляющая напряженности электрического поля наблюдается в SD- приборной структуре, тогда как в случае LDD-приборной структуры она оказывается пренебрежимо малой в области, перекрытой затвором. В случае SD- и TOPS-приборных структур точки, соответствующие максимумам напряженности электрического поля и плотности туннельного тока, располагаются в нескольких нанометрах от края затвора вблизи места, где Nd = Ncrir В случае LDD-приборной структуры максимум плотности туннельного тока располагается точно у края затвора, где вертикальная составляющая напряженности электрического поля достигает наибольшей величины. В случае TOPS- приборной структуры имеет место наложение концентрационных профилей мышьяка и фосфора, и это обстоятельство приводит к ослаблению вклада градиента распределения концентрации мышьяка в области Ncrit в горизонтальную составляющую напряженности электрического поля. Поэтому боковая составляющая напряженности электрического поля и GIDL-TOK уменьшаются, a Vmax возрастает по сравнению с SD-приборной структурой. 1.9.2. Зависимость GIDL-тока от параметров LDD-структуры Чувствительность GIDL-тока к толщине подзатворного окисного слоя (Тох) уже качественно рассматривалась на примере рис. 1.63. При уменьшении ^величина Vmax приближается к 1,2 В для всех типов приборных структур, а боковая составляющая напряженности электрического поля становится менее важным параметром. Необходимо отметить, что при этом имеется в виду фактическая толщина подзатворного окисла в точке стоковой области, соответствующей Ncrit; она может быть значительно больше, чем Тох в средней части канала, если имеет место заметный «птичий клюв», образующийся при окислении затвора.
1.9. Ток утечки стока, индуцируемый напряжением на затворе (GIDL-эффект) 5 Н 3 Т" "Г — TOPS-модел. LDD-модел. D TOPS01,02 О TOPS03,04 Д TOPS05,06 ■ TOPS13J4 ♦ TOPS 15,16 * TOPS17,18 ■ TOPS206,08,10 H SD25 » LDD11,12 ■ LDD23,24 1012 1013 1014 1015 Доза имплантации Р в /r-область (см2) На рис. 1.66 демонстрируется чувствительность GIDL-тока к дозе имплантации фосфора в LDD-области на примере зависимости Vmax от дозы имплантации фосфора. МОПТ с LDD-структурой характеризуются довольно резким спадом Vmax при увеличении дозы до 1*1014 см:2, что обусловлено увеличением вертикальной составля- Рисл 66 Величины Vmax как функции дозы имплантации ющей напряженности фосф0ра в п -область (LDD). По мере приближения дозы к электрического поля, i . ю14 см2 приборные структуры с LDD-областью обнару- Наоборот, TOPS- живают уменьшение Ктах в результате увеличения вертикаль- приборные структуры ной составляющей электрического поля. При низких дозах обнаруживают увели- приборные структуры типа TOPS ведут себя подобно SD- чение V в оезультате стРУктУРам и характеризуются низкими величинами Vimx. уменьшения бокового 0днако ПРИ Увеличении дозы Vmax у TOPS-структур возрастает в результате ослабления латерального поля в п+-области, градиента распределе- „ + г * легированной мышьяком, из-за наличия я+-области, леги- о це тр ц - р0ванной фосфором. Квазидвумерная модель хорошо согла- гирующих примесей суется с обоими случаями из-за наложения концентрационных профилей мышьяка и фосфора. В случае, когда Nd > Ncrit, TOPS- и LDD-приборные структуры ведут себя одинаковым образом. Как можно видеть на рис. 1.65, максимумы плотностей туннельных токов в случае МОПТ с SD- и TOPS-структурой оказываются очень резкими, что связано с большой величиной латерального градиента распределения результирующей концентрации легирующих примесей Gefr Очевидно, что изменение толщины спейсера при заданной физической структуре LDD-области может вызывать изменение латерального градиента примеси в стоке. До тех пор, пока толщина спейсера остается больше, чем боковая длина диффузии мышьяка, Vmax не зависит от толщины спейсера. Однако, когда толщина спейсера уменьшается, концентрационный профиль мышьяка перекрывает концентрационный профиль фосфора, что приводит к увеличению Geff Когда толщина стенок спейсера становится очень небольшой, поведение LDD- и TOPS- приборных структур становится идентичным. На рис. 1.67 показано влияние толщины стенок спейсера (L) на GIDL-ток для различных типов приборных структур. Величина Vmax (соответствующая GIDL- току, равному 10~13 А/мкм) остается независимой от Ls до тех пор, пока ^продолжает оставаться больше LM — диффузионной длины мышьяка в боковом направлении (LAs « 150 нм). По мере приближения Ls к нулю поведение как МОПТ с LDD-, так и TOPS-структурой становится все более похожим на поведение МОПТ с SD-структурой, у которых Vmax = 3,6 и 4,3В при Тох = 8,5 и 11 нм, соответственно.
76 Глава 1. Особенности работы субмикронных МОП-транзисторов 9 8 7 5 4 3 9 8 7 16 > 5 4 3 С н ( & в 1 /# / / / ,. ._, ■ ^/Z Ограничение Тох-Пнм SD Ограничение Тох=8.5нм SD ) 50 100 150 200 250 3( Толщина стенок спейсера (нм) а) /р-—-^ У/ Л и _^*£" ><,^в в ^-(^^>6граничение Тох=11нм SD Ограничение Тох=8,5нм SD ) 50 100 150 200 250 3 Толщина стенок спейсера (нм) б) В LDD09.11 В LDDKU2 ■ LDD21,23 О LDD22,24 — Nd-8e 18 модель N'd-4el8 модель 30 В LDD09J1 U LDDI0.12 ■ LDD21,23 Q LDD22,24 —Nd-8el8 модель Nd-4e 18 модель 30 В случае как LDD-, так и TOPS- приборных структур желательно иметь толщину стенок спейсера Ls > LM — длины диффузии мышьяка в боковом направлении для того, чтобы уменьшить GIDL-tok и увеличить Vmax. Таким образом, при оптимизации физической структуры субмикронных LDD-МОПТ такие характеристики, как надежность, обусловленная горячими носителями, нагрузочная способность по току, короткоканальные эффекты, а также паразитные компоненты (Rs и Cgd) должны рассматриваться совместно с проблемой GIDL-тока. Обычно для того чтобы минимизировать последовательное сопротивление LDD-области и минимизировать емкость области перекрытия стока затвором в случае TOPS- структуры, повышают концентр * ,-, ч „ jr , трацию легирующей примеси в Рис.1.67. (а) Величина Vmnr как функция толщины ^ т т^т^ стенок (Z,) спейсера для LDD-МОПТ. В случае, "-области в случае LDD-структур когда толщина стенок спейсера оказывается боль- и уменьшают концентрацию в п - ше латеральной диффузионной длины мышьяка областях TOPS-структуры. При (150 нм), величина ^достигает своего предель- концентрации в «-области менее ного значения и становится нечувствительной к 9* 1018 см3 «-LDD-МОПТ продол- Ls. Однако по мере утоныиения стенок спейсера жают характеризоваться мень- я+-область (А) перекрывает область, легированную шим GIDL-током по сравнению фосфором, что в итоге приводит к уменьшению Vm до величины, характерной для SD-структуры. (б) Величина Vmax как функция толщины стенок спейсера (L) для TOPS-МОПТ, демонстрирующая ха- с МОПТ с TOPS-структурами или обычными SD-областями. Однако при более высоких кон- рактер зависимости VmJLs), аналогичный случаю Дентрациях в «-области и/или в LDD-МОПТ случае более тонких подзатвор- ных окисных слоев различие между этими приборными структурами становится все меньше. Таким образом, описанная модель GIDL-тока [70] была применена к разнообразным концентрационным профилям в области стока и различным толщинам подзатворного окисного слоя. Она продемонстрировала, что напряженность встроенного латерального электрического поля играет важную роль в зависимости GIDL-тока от концентрационного профиля в области стока и толщины стенок спейсера.
1.10. Туннельный перенос носителей в стоковом переходе 1.10. Туннельный перенос носителей в стоковом переходе Для уменьшения короткоканального эффекта в приборах с суб-0,5-микронными размерами применяют методы ограничения проникновения электрического поля сток-истокового /?-я-переходов в область обеднения канала, так называемые методы «Pocket implantation» и «Halo implantation». Они заключаются в формировании окаймляющих сток и исток областей, достаточно сильно легированных примесью противоположного типа проводимости. В результате в стоковом /ья-переходе создается электрическое поле высокой напряженности: перепад потенциала в 1—2 В происходит на расстоянии 100—200 А [72]. При этих условиях возникает туннельная составляющая тока утечки. Приближенный расчет плотности туннельного тока осуществляется с использованием следующего выражения [73]: /.=■ sfldq'EVj 4я3А3^ ехр Ч2тЕ1/2 2qhE (1.116) где т*— эффективная масса электрона; ^—обратное напряжение на/?-я-переходе; EG — ширина запрещенной зоны; Е— напряженность электрического поля. На рис. 1.68 [73] представлена зависимость плотности туннельного тока от напряженности электрического поля для вариантов треугольного и параболического барьера. Чтобы оценить с точки зрения величины тока утечки допустимую напряженность электрического поля, можно предположить протяженность области высокой напряженности, перпендикулярной к поверхности, равную 100—200 А. Тогда плотность туннельного тока «10 А/см2 будет соответствовать току утечки стокового перехода 1 нА/мкм (на 1 мкм ширины канала). Такой уровень туннельной составляющей сравним или меньше допустимого тока утечки сток-истокового перехода при максимальной рабо — треугольный барьер -] - - параболический барьер 1.4 1.0 2.0 4.0 Напряженность эл. поля (МВ/см) Рис. 1.68. Теоретические зависимости плотности междузонного туннельного тока от напряженности чей температуре кристалла ИС электРического поля. Сплошная кривая соответству- (туннельный ток не зависит от ет треугольному потенциальному барьеру, а пунктир- ч ТЖ ная — параболическому барьеру. Точечная линия со- температуры). Из зависимо- „ ответствует предельному значению плотности тока стеи, представленных на рис. у^чки, равного 10 А/см2 1.68, следует, что предельная напряженность электрического поля в области обеднения стока не должна превышать 2-Ю6 В/см. Поэтому при выборе мер по снижению короткоканальных эффектов в субмикронных транзисторах необходимо учитывать ограничение по допустимой напряженности электрического поля вблизи стока.
Глава 1. Особенности работы субмикронных МОП-транзисторов I. I I. Обратный короткоканальный эффект Обратный короткоканальный эффект (Reverse Short-Channel Effect = RSCE) является одной из основных проблем разработки субмикронной технологии изготовления КМОП-приборов. RSCE-эффект вызывается перераспределением примеси в области канала, причиной которого является нестационарная ускоренная диффузия (transient enhanced diffusion = TED). Ускоренная диффузия примеси вызывается нестационарным потоком межузельных атомов из LDD- или сток- истоковых областей, пересыщенная концентрация которых создается ионной имплантацией или окислением. Хотя межузельные атомы, имеющие высокий коэффициент диффузии, могут перемещаться на расстояние в несколько микрон даже при умеренных длительности и температуре процесса, характеристическая длина ускоренной диффузии примеси все же по порядку величины самое большее составляет 0,1 мкм. Диффундируя из сток-истоковых областей, поток неравновесных межузельных атомов вызывает увеличение коэффициента диффузии и перераспределение примеси в поперечном направлении в области канала вблизи сток-истоковых областей. Поэтому влияние перераспределения примеси на пороговое напряжение зависит от длины затвора. В зависимости от используемой технологии причиной избыточной концентрации межузельных атомов могут быть различные процессы: 1. Перенасыщение межузельными атомами происходит при имплантации легирующих или аморфизирующих ионов и последующем постимплантацион- ном отжиге LDD- или сток-истоковых областей. При этом происходит не только перераспределение примеси в области канала, но и диффузия атомов примеси в сток-истоковых областях, в том числе и увеличение концентрации на границе Si-Si02. Обстоятельством, приводящим к преобладающему перераспределению примеси в направлении к границе Si-Si02, является рекомбинация межузельных атомов на границе раздела с подзатворным окислом. „ . ™ „ , На основе двумерного Рис. 1.69. Концентрационные профили распределения , . бора в горизонтальном направлении на расстоянии 1 нм м д л ^ д ФФУ от границы раздела Si-Si02. Моделирование выполнялось онных процессов, учитыва- с помощью программы DIOS. В качестве параметра ис- ющего влияние потока ме- пользовались различные величины длины затвора в на- жузельных атомов, в работе нометрах. Концентрационные профили распределения [74] получено латеральное фосфора для областей истока/стока (S/D) и мышьяка для распределение концентра- LDD-областей были почти идентичными при всех вари- ции примеси в канале вбли- антах моделирования зи сток-истоковых областей 1021 f -200 0 200 Расстояние (нм)
1.11. Обратный короткоканальный эффект 10,! 200 нм 400 нм 800 нм 50000 нм я х а н X <D X X 101 101( 200 400 Глубина (нм) 800 для сечения, находящегося на 1нм ниже границы Si- Si02 (рис. 1.69). Средняя поверхностная концентрация примеси бора в канале растет с уменьшением длины канала. В области субмикронных размеров поверхностная концентрация бора увеличивается даже в центре канала (рис. 1.70). Увеличение поверхностной концентрации примеси непосредственно на границе рис#170. Концентрационные профили распределения Si-Si02 может и не привести бора по вертикальному сечению, проходящему через к значительному измене- центр канала. Моделирование выполнялось с помощью нию порогового напряже- программы DIOS. В качестве параметра использовались ния. Однако в результате различные величины длины затвора в нанометрах. Пико- перераспределения приме- вое значение концентрации бора оказывается наиболь- си из-за TED-3(b(beKTa уве- шей ддя б°лее короткого затвора. На вставке приводятся ™™ .„лт„,„„ также зависимости приведенной поверхностной концен- личивается приведенная ^ « трации атомов бора в случае интегрирования от самой поверхностная концентра- границы ра3дела Si-Si02 ция примеси в приповерхностной области канала (см. вставку на рис. 1.70), а зависимость изменения плотности примеси от длины канала вызывает RSCE-эффект. Экспериментальное исследование RSCE-эффекта выполнено в ряде работ [75, 76, 77]. На рис. 1.71 [78] представлена зависимость порогового напряжения Vt от длины канала Lc для я-МОПТ с параметрами физической структуры, соответствующей 0,25-мкм технологии. Как видно, степень проявления RSCE-эффекта РисЛ-71- Зависимости порогового напряжения (V) от длины затвора (L) для я-МОПТ с различными доза- растет с увеличением дозы * f' ^ _ , А+. ми имплантации LDD-областеи ионами мышьяка (As). имплантации ионов мышья- Величины ^ определялись путем экстраполяции Id(V)- ка в LDD-области. На рис. характеристик в линейной области при Vd = 50 мВ 1.72 [77] представлены зависимости Vt (L) для технологии уровня 0,35 и 0,7 мкм. Дополнительное доказательство влияния избыточных межузельных атомов было получено в работе [79], в которой продемонстрирован RSCE-эффект при 0.65 a ™ 2-1С § 0.6 X <D cv с 0.55 СП X <D О Ю 2 0.5 О Он О С 0 45 i i i i 11 ii| i i i i 11 ■ ■ | Z Дозы имплантации LDD-областей (As 14 CM"2 f\ "J ^■С. 1,5-10м см-2 ■нвД_^\/ Щ. \/\ I ПО'ЧМ^^ХЧ 8Vt(RSCE) 7 ^^Ч mL^ >^ ^^W^Vw - ^Оч ^^^^\. " ^^^^\. ^^^w ) : |к: Чи 1 1 1 1 1 1111 1 1 i i 1 111Г 0.1 1 10 Длина затвора (мкм)
Глава 1. Особенности работы субмикронных МОП-транзисторов 2-0 1-5 §1-0| 0-5 а) 0 2-0 03 > 1-5 10 0-5 ь>о имплантации атомов кремния через окна в областях истока и стока. Чем ближе эти окна были расположены к области канала, тем сильнее проявлялся RSCE- эффект. Генерация межузельных атомов при окислении сток- истоковых областей при формировании окисла на затворе перед созданием спейсера. Инжекция образующихся межузельных атомов в область канала приводит к RSCE- эффекту. Исследование этого фактора выполнено в работе [80], в которой скорость окисления и структура границы Si- Si02 регулировались введением в окисляющую среду окислов азота. Инжекция межузельных Рис. 1.72. Экспериментальные (отдельные точки) и те- атомов из областей истока и оретические (сплошные кривые) значения порогового стока, сильно легированных напряжения (V) в зависимости от длины канала (Lc) имплантированными атомами при различных напряжениях смещения подложки (KJ мышьяказ которые дезактиви- для случаев 0,7-мкм и 0,35-мкм КМОП-структур, име Lii [ [ ]-*>!4 ! \\+\* 1 :::::: ft"?* ni-Kr 'ill ** r"~ ♦+-♦-+— a a i *—x-4— h—o—i-~ -4-fH-f^- —j--j—i-4-j-i- -♦—tflllj |(i): ■ Ы ?("f -::--4(ivjr w ■Щ 10° L (mkm) 101 ющих следующие параметры: Nb = 6-Ю16 и 4-Ю17 см3; Oblong = 91016 и 3-1017 см3; Хь = 0,21 и 0,10 мкм; Qss = -7.1010? и -3.10nq см2; а0 = 75° и 70°; п = 0,40 и 0,35, соответственно, для 0,7-мкм и 0,35-мкм технологий. а) 0,7 мкм б) 0,35 мкм (i) Vbs = -5,0 В (i) Vbs = -5,0 В (iv) Vhs = -0,3 В (ii)Kfa=-2,5B (iu)Kfa = 0B (ii)^ = -3,3B (v)Kfa = 0B (iii)^=-l,2B ровались во время продолжительных низкотемпературных операций [81]. Такая термообработка не характерна для начальных стадий технологического маршрута, но может привести к RSCE-эффекту в сочетании с достаточно продолжительными, хотя и низкотемпературными завершающими стадиями изготовления прибора. Другое объяснение RSCE-эффекта дается в работе [82]. В ней RSCE-эффект также связывается с точечными дефектами, но предполагается, что они в заряженном состоянии накапливаются на границе с подзатворным окислом. В результате этого происходит зависящее от длины затвора изменение порогового напряжения. Однако в работе [76] в результате исследования RSCE-эффекта в диапазоне температур 77—300 К было показано, что причиной RSCE-эффекта является латеральная неоднородность легирования канала. При изготовлении субмикронных /ьканальных МОПТ с поверхностным каналом также проявляется RSCE-эффект. Однако, вследствие того, что нестационарная ускоренная диффузия мышьяка с участием межузельных атомов не
1.12. Влияние квантования энергии носителей в инверсном слое является характерной, модель RSCE-эффекта, принятая для и-МОПТ, не отвечает результатам экспериментальных исследований /?-МОПТ. Наблюдаемый в /ьМОПТ RSCE-эффект связывают с проникновением бора через подзатворный окисный слой. Этот эффект приобретает все возрастающую важность с уменьшением толщины подзатворного окисного слоя. В работе [83] показано, что RSCE- эффект, наблюдаемый в /ьМОПТ с поверхностным каналом, является следствием зависящего от длины затвора (Lg) эффекта проникновения бора из затвора через подзатворный окисный слой. Зависимость порогового напряжения от Lg в данном случае обуславливается избыточными межузельными атомами, которые препятствуют проникновению бора в канал вблизи сток-истоковых областей. В случае достаточно коротких каналов этот эффект сдерживания диффузии бора распространяется на весь канал. 1.12. Влияние квантования энергии носителей в инверсном слое Когда длина затвора МОПТ уменьшается до глубокосубмикронных размеров (< 0,25 мкм), то при масштабировании параметров физической структуры транзистора напряженность поперечного электрического поля на границе Si-Si02 сильно возрастает даже вблизи порогового напряжения. Это вызывает значительный изгиб энергетических зон вблизи границы Si-Si02, и потенциальная яма для носителей становится настолько глубокой и узкой, что происходит квантование энергии носителей в инверсионном слое в направлении, перпендикулярном к поверхности кремния. В то же время энергия носителей в плоскости, параллельной поверхности полупроводника, может принимать произвольное значение. Это приводит к расщеплению энергетических уровней на подзоны, причем самый низкий разрешенный уровень для электронов в яме не совпадает с дном зоны проводимости, вследствие чего требуется увеличивать поверхностный потенциал для заполнения этих уровней. Ранее квантово-механи- ческий эффект в инверсионном слое наблюдали лишь при низких температурах. Однако в приборах глу- РисЛ.7з. Распределения подвижного заряда в инвер боко субмикронного диа- сионном слое по глубине для классической и квантово- пазона напряженность по- механической моделей. Классическая модель предска- перечного электрическо- зывает максимальную концентрацию заряда у самой го поля столь высока, что границы раздела, тогда как квантово-механическая мо- даже при комнатной тем- дель указывает на наличие максимума на некотором уда- пературе стало возможным лении (*нм)от границы раздела. При этом поверхностная ^ плотность общего подвижного заряда, предсказываемая наолюдать влияние кван- „ _ , , классической моделью оказывается больше, чем в случае товых эффектов на поверх- ^тово-механической модели (Agv > NTnv) ностную плотность заряда 2 • ю20 I"'' 11111 м 1111111111111 j 11111111111111 5 1 • 1020 I—V Я" х о • ю20 Классическая VT модель • ! NAj=7xlJ317CMr3 Квантоме;хани<1ескай модель (три подзоны) Н 0.0 1.0 2.0 3.0 Глубина (нм) 4.0
82 Глава 1. Особенности работы субмикронных МОП-транзисторов ' &ч 1U Т -Т ox.effectivc.QM ox,physical 8.0 з 60 \J 4.0 2.0 п п J 1 1 1 | 1 1 1 1 | 1 М 1 | 1 1 1 1 | 1 1 ■ 1 | 1 ■ [■ i г! | i i 1 i i i I i i 11 А 1 А ■ • Nch (см3) A 1Q.8 ■ 1017 о Ю'6 11 1 1 1 1 1 1 1 1 1 А ■ А • ■ • III lllllllll 3 4 5 6 7 8 1 1 1 | II 1 1 | 1 1 1 1 А "" А ■ • ! 1 1 1 11 1 1.1 1 1.1 1.11 9 10 11 Толщина подзатворного окисла (нм) Рис. 1.74. Относительная ошибка, обусловленная разницей между эффективной толщиной подзатворного окисного слоя (определяемой с привлечением квантовмеханической модели) и физической его толщиной. В случае ультратонких подзатворных окисных слоев пренебрежение квантово-механическими эффектами может приводить к большим ошибкам в определении величин толщины этих слоев, вычисляемых на основании С( ^-измерений ской толщиной подзатворного диэлектрика и мой С- К-методом: в инверсионном слое, пороговое напряжение и эффективную толщину подзатворного диэлектрика, измеряемого С- К-методом. Различие между распределением заряда в инверсионном слое, рассчитанном с использованием квантово-механической и классической моделей, представлено на рис. 1.73 [84]. При классическом описании пик концентрации носителей находится на границе раздела Si-Si02, в то время как при квантово-механическом он смещен от поверхности кремния на расстояние AZ « 1 нм. Общая плотность носителей в инверсионном слое также меньше в квантово-механическом случае, чем в классическом. Другим важным следствием квантово-механического эффекта является различие между физиче- эффективной толщиной, измеряете = Г +- - AZ. (1.117) На рис. 1.74 представлена зависимость относительной ошибки измеряемой С( ^-методом толщины окисла от физической толщины для различных значений концентрации примеси в подложке Nb [84]. Эффективная толщина окисла измерялась в режиме сильной инверсии, исходя из соотношения Cinv = Cox>eff= eox/refr. 0.4 0.3 в 0.2 0.1 о 0.4 NB= lxlO'W3 Nb = 8x1017cm-3 Nb = 5x1017cm"3 N =2х10,7см-3 0.3 §0.2 % 0.1 NB= 1х10,8см-3 Nb = 8x10,7cm-3 N =5х10,7см-3 NB = 2х10,7см-3 N = 1х1017см"3 0 10 20 Тох(нм) 30 10 20 30 T (нм) ox v ' Рис. 1.75. Смещение порогового напряжения на затворе как функция толщины подзатворного окисного слоя для случая электронов (слева) и для случая дырок (справа). В качестве параметра фигурирует концентрация легирующей примеси в подложке (Nb)
ЛИТЕРАТУРА 83" Как видно из рис. 1.74, относительная ошибка измерения толщины подзатворного окисла увеличивается при уменьшении его толщины, величина корректирующего члена (sox/eSi)AZ становится сравнимой с толщиной окисла. Квантово-механический эффект оказывает значительное влияние на пороговое напряжение и ток стока, так как вследствие его действия изменяются плотность и распределение носителей в канале, а также поверхностный потенциал. На рис. 1.75 представлены зависимости сдвига порогового напряжения от классического значения при учете квантово-механического эффекта [85]. При высокой концентрации примеси в подложке сдвиг порогового напряжения может увеличиваться до сотен милливольт. ЛИТЕРАТУРА 1. L.D. Yau, Solid-State Electron. 17, 1059 (1974). 2. N.D. Arora, Solid-State Electron. 30, 559 (1987). 3. A.L. Silburt et al, IEEE Trans. Comput. Aided Des., CAD-3, 104 (1984). 4. S.C. Chamberlain and S. Ramanan, IEEE Trans. Electron Devices, ED-33, 1745 (1986). 5. TGrotijohn and B. Hoefflinger, IEEE Trans. Electron Devices, ED-31, 234 (1984). 6. Arora N.D.,Richardson L.M., «MOSFET Modeling for Circuit Simulation» in «VLSI Electronics: Microstructure Science» , 1989, v. 18, ch. 6. 7. V.G. K. Reddi and C.TSah, IEEE Trans. Electron Devices, ED-12, 139-141 (1965). 8. S.Y. Chou and D.A. Antoniadias, IEEE Trans. Electron Devices, ED-34, 448(1987). 9. B. Hofflinger et al, IEEE Trans. Electron Devices ED-26, 513-520 (1979). 10. A.G. Sabnis et al, Tech. Dig. Int. Electron Devices Meet., pp. 18-21 (1979). 11. S.C. Sun and J.D. Plummer, IEEE Trans. Electron Devices, ED-27(8), 1497-1508 (1980). 12. M.S. Liang et al, IEEE Trans. Electron Devices ED-33,409 (1986). «Inversion-layer capacitance and mobility of very thin gate-oxide MOSFET's», IEEE Trans. Electron Devices vol ED-33, p. 409,1986. 13. Takagi S.I. et al., «On the Universality of Inversion Layer Mobility in Si MOSFET/s: Part I - Effects of Substrate Impurity Concentration», IEEE Trans. Electron Devices 1994 v 41 N12,2357-62. 14. Lee K. et al., «Physical Understanding of Low-Field Carrier Mobility in Silicon MOSFET Inversion Layer», IEEE Trans. Electron Devices, 1991, v. 38, N8, 1905-1912. 15. C.G. Sodini et al, Solid-State Electron, 25, 833-841 (1982). 16. J. Banqueri et al., «Aprocedure for determination of the effectire mobility in a N-MOSFET in the moderate inversion region', Solid-State Electronics, 1991, v. 39, p.875. 17. K. Chen, H.C. Wann et al, «MOSFET carrier mobility model based on gate oxide thickness, threshold and gate voltages», J. Solid-State Electron., vol. 39, no. 10, pp. 1515—1518, Oct. 1996! 18. Roldan J.В., Gamiz E, Lopez-Villanueva J.A., «A Closed-Loop Evaluation and Validation of a Method for Determining of Dependence of the Electron Mobility on the Longitudinal- Electric Field in MOSFET,s», IEEE Trans, on Electron Devices, 1997, v. 44, N9, 1447-53. 19. K.K. Thornber, «Relation of drift velocity to low-field mobility and high-field saturation velocity», J. Appl. Phys., vol. 51, p. 2127, 1980. 20. A. Modelli, S. Manzini, «High-field drift velocity of electrons in silicon inversion layers» Solid- State Electron., vol. 31, p. 99, 1988.
84 Глава 1. Особенности работы субмикронных МОП-транзисторов 21. J.E. Chung, М. Jeng et al, «Performance and reliability design issues for deep-submicrometer MOSFET's», IEEE Trans. Electron Devices, vol. 31, p. 545, 1991. 22. Ко P.K. «Approaches to Scaling» in «VLSI Electronics Microstructure Science» 1989 v. 18 Ch.l, 1, pp. 1-37. 23. K.Y.Toh et al, IEEE J. Solid-State Circuits (to be published). 24. M.C. Jeng et al, Tech. Dig.-Int. Electron Devices Meet., p. 710 (1987). 25. YA. El Mansy et al, IEEE Trans. Electron Devices ED-24(3), 254-262 (1977). 26. K. Mayaram et al, IEEE Trans. Electron Devices ED-34, 1509 (1987). 27. K.W. Terrill et al, Electron Devices Lett. EDL-5(11), 440 (1984). 28. T.Y. Chan, P.K. Ко, C.Hu, «Dependence of channel electric field on device scaling» IEEE Electron Device Lett., vol. EDL-6, pp.551-553, 1985. 29. N.D. Arora, M.S. Sharma, «MOSFET substrate current model for circuit simulation» IEEE Trans. Electron Devices, vol. 38, pp. 1392-1398, 1991. 30. Wong H., Poon M.C, «Approximation of the Length of Velocity Saturation Region in MOSFET's», IEEE Trans. Electron Devices, 1997, v. 44, N11, 2033-36. 31a. V.G.K. Reddi, C.T. Sah, «Source to drain resistance beyond pinch off in metal-oxide- semiconductor transistor ( MOST)», IEEE Trans. Electron Devices, vol. ED-12, pp.139—141, 1965. 31b. R.S., Muller, T.I. Kamins, Device Electronics for Integrated Cirenits, Znd ed N Y Wilev 1986, ch.lO.y 32. G.Baun , H.Beneking, «Drift velocity saturation in MOS transistors», IEEE Trans. Electron Devices, vol. ED-17, pp. 481-482, 1970. зза. Chen K.et al., «An Accurate Semi-Empirical Saturation Drain Current Model for LDD N-MOSFET», IEEE Electron Device Letters, 1996, v. 17, N3, 145-147. ззб. Chen K.et al., «Predicting CMOS Speed with Gate Oxide and Voltage Scaling and Interionnect Loading Effects»,IEEE Transon Electron Dev, 1997, v.44, N11, 1951-1957. 34. 3u С, Физика полупроводниковых приборов, кн. 2, Пер. с англ., 2-ое изд. - М., Мир, 1984; Гл.8, МОП- транзисторы, 5-93. 35. Liu Z.-H. et al., «Threshold Voltage Model for Deep-Submicrometer MOSFET's», IEEE Trans. Electron Devices, 1993, v. 40, N1, 86-95. 36. K.W. Terrill, С. Ни, P.K.Ko, « An analytical model for the channel electric field in MOSFET with graded-drain structure», IEEE Electron Device Lett., vol. EDL-5, p. 440, 1984. 37. J.A. Greenfield ,R.W. Dutton, «Nonplanar VLSI device analysis using the solution of Poisson's equation» , IEEE Trans. Electron Devices, vol. ED-27, p. 1520, 1980. 38. J.D. Kendall, A.R. Boothroyd, «A two-dimensional analytical threshold voltage model for MOSFET's with arbitrarily doped substrate», IEEE Electron Device Lett., vol. EDL-7, p. 407, 1986. 39. YA. El-Mansy, A.R. Boothroyd, «A simple two-dimensional model for IGFET operation in the saturation region», IEEE Trans. Electron Devices, vol. ED-24, p. 254, 1977. 40. Chen К., Ни С, Fung P., Gupta A., «Experimental Confirmation of an accurate CMOS'gate delay model for gate oxide and voltage scaling», IEEE Electron Devece Letters, 1997, v. 18, N6, 275-277. 41. J.R. Brews, «Threshold shifts due to nonuniform doping profiles in surface channel MOSFET's», IEEE Trans. Electron Devices, vol. ED-26, p. 1696, 1979. 42. G.W. Taylor, «Subthreshold conduction in MOSFET's», IEEE Trans. Electron Devices, vol. ED-25, p. 337, 1978. 43. Ph. Lindorfer, PPichler, et al., «MINIMOS 5», 1989.
ЛИТЕРАТУРА 85 44. B.J. Sheu, P.K.Ko, «A capacitance method to determine channel lengths for conventional and LDD MOSFET's», IEEE Electron Device Lett., vol. EDL-5, p. 491, 1984. 45. J.R. Brews, W. Fichtner et al, «Generalized guide for MOSFET miniaturization», IEEE Electron Device Lett., vol. EDL-1, p. 2, 1980. 46. Ершов М.Ю., Ершова Ю.В., Рыжий В.И., «Сравнение дрейфово-диффузионного и кинетического подходов к моделированию кремниевых р-МОП транзисторов» Микроэлектроника, 1993, т. 22, вып. 1, 80—85. 47. F. Venturi, R.K. Smith, et al «A general purpose device simulator coupling Poisson and Monte Carlo transport with applications to deep submicron MOSFET's», IEEE Trans. Electron Devices, vol. 8, p. 360, 1989. 48. Y.Apanovich, E.Lyumkis, B.Polsky et al , «Steadystate and transient analysis of submicron devices using energy balance and simplified hydrodynamic models», IEEE Trans. Electron Devices, vol. 42, p. 702, 1994. 49. R.A. Stewart, J.N. Churchill, «A fully nonparabolic hydrodynamic model for describing hot electron transport in GaAs, «Solid-State Electron., vol. 33, p.819, 1990. 50. Jang S.-L., Hu M.-C.y «An Analytical Drain Current Model for Submicrometer and Deep Submicrometer MOSFET's», IEEE Trans. Electron Devices, 1997, v. 44, N11, 1896-1902. 51. M. Lundstrom, «Fundamentals of Carrier Transport», New York: Addison-Wesley, 1990. 52. J-H. Sim, «An analytical deep submicron MOS device model considering velocity overshoot behavior using energy balance equation», IEEE Trans. Electron Devices, vol. 42, p. 864, 1995. 53. Jang S.-L., Liu S.-S., «New Submicron and Deep-Submicron Metal-Oxide-Semi conductor Field- Effect-Transistor I-Vand C-VModel». Japan. J.Appl. Phys., 1998, Pt.l, v.37, N7, 3942-47. 54. Hu M.-C, Jang S.-L., Chyan C.-G., «Physics-Based Short-Channel Current- Voltage Model for Lightly'-Doped-Drain Metal-Oxide-Semiconductor Field- Effect-Transistors», Japan. J. Appl. Phys., 1997, v.36, Pt.l, N6A, 3448-59. 55. Vuong H.-H., «Design and Benchmarking of BCPMOS versus SCPMOS for an Evolutionary 0.25 (m CMOS Technology», IEEE Trans. Electron Devices, 1998, v. 45, N4, 991-993. 56. Shamarao P., Uztbrk M.C, «A Study on Channel Design for 0,1 (m Buried p-Channel MOSFET's», IEEE Trans. Electron Devices, 1996, v. 43, N11, 1942-1949. 57. W.Noble, S.Voldman, A.Bryant, «The effects of gate field on the leakage characteristics of heavily doped junctions», IEEE Trans. Electron Devices, v.36, pp.720—726, 1989. 58. Jgura Y, Matsuoka H., Takeda E., «New Device Degradation Due to «Cold» Carriers created by Band-to-band Tunneling», IEEE Electron Devices Letters, 1989, v. 10, N5, 203-205. 59. J.Chen, T.YChan et al, «Subbreakdown drain leakage current in MOSFET's», IEEE Electron Device Lett., vol. EDL-8, pp. 515-517, 1987. 60. C.Chang, S.Haddad, et al «Drain-avalanche and hole-trapping induced gate leakage in thin- oxide MOS devices», IEEE Electron Device Lett., vol. 9, pp. 588-590, 1988. 61. J.Chen, T.Y Chan, P.K. Ко, ,C.Hu, «Gate current in OFF-state MOSFET's», IEEE Electron Device Lett., vol. 10, pp. 203-205, 1989. 62. C. Chen, J.Y Choi et al, «The effect of channel hot carrier stressing on gate oxide intergrity in MOSFET's», in 26th Proc. IEEE Reliability Physics Symp., Apr. 1988, pp. 1-7. 63. С Chen, S. Holland, C.Hu, «Electrical breakdown in thin gate and tunneling oxides», IEEE Trans. Electron Devices, vol. ED-32, pp. 412-422, 1985. 64. K. Kurimoto, Y Odake, S.Odanaka, «Drain leakage current characteristics due to the band-to- band tunneling in LDD MOS devices», in IEDM Tech. Dig., 1989, pp. 621-624.
Ъб Глава 1. Особенности работы субмикронных МОП-транзисторов 65. 1. Nedev, A. Asenov, E.Stefanov, «Experimental study and modeling band-to-band tunneling leakage current in thin-oxide MOSFET's», Solid-State Electron., 1991. 66. T.Y. Chan, A.T. Wu, et al, «Effects of the gate-to-drain/source overlap on MOSFET characteristics», IEEE Electron Device Lett., vol. EDL-8, pp. 326—328, 1987. 67. J.E. Moon , TGarfinkel, et al, «A new LDD structure:Total overlap with polysilicon spacer (TOPS)», IEEE Electron Device Lett., vol. 11, pp. 221-223, 1990. 68. C.Chang, J. Lien, «Corner-field induced drain leakage in thin oxide MOSFET's», in IEDM Tech. Dig., 1987, pp. 714-717. 69. T.Endoh, R. Shirota et al, «An accurate model of subbreakdown due to band-to-band tunneling and some applications», IEEE Trans. Electron Devices, vol. 37, pp. 290—295, 1990. 70. Parke S.A. et al., «Design for Suppression of Gate-Induced Drain Leakage in LDD MOSFET's Using a Quasi-Two-Dimensional Analytical Model», IEEE Trans. Electron Devices, 1992, v. 39, N7, 1694-1703. 71. T.Y. Chan, J.Chen et al, «The impact of gateinduced drain leakage on MOSFET scaling» in IEDM Tech. Dig., 1987, pp. 718-721. 72. Taur Yet al., «CMOS Scaling into the Nanometer Regine», Proc. IEEE, 1997, v.85, N4,486- 504. 73. Fair R.B., Wivell H.W., «Zener and Avalanche Breakdown in As-Implanted Low-Voltage Si n-p Junctions», IEEE Trans. Electron Devices, 1976, v. 23, N5, p. 512. 74. Hirfler A., «6.2.-The Reverse short channel effect (RSCE) in deep submicron MOSFET's», in Development and Application of a Model Hierarchy for Silicon Process Simulation, Series in Microelectronics, vol. 69, 1997, (Hartung-Gorre), Ch. 6, 113-145. 75. 5-th Internat. Conf. on VLSI and CAD, October 13-15, 1997, Seoul, Korea. 76. Szelag В., Balestra F., Ghibando G., «Compreheusive Analysis of Reverse Short-Channel Effect in Silicon MOSFET's from Low-Temperature Operation». IEEE Electron Device Letters, 1998, v. 19, N12, 511-513. 77. Brut H., Juge A., Ghibando G., «Physical model of threshold voltage in silicon MOS transistors including reverse short chanuel effect», Electronics Letters, 1995, v.31, N5, 411—412. 78. Morifuji E.et al., «Process Induced Damage on RF CMOS», 1EDM'98, 965-968. 79. Nishi K.et al., «Evidence of channel profile modification due to implantation damage studied by a new method, and its implication to reverse short channel effects of n-MOSFET's» IEDM'95, 993-995. 80. Tsui P.G.Y. et al., «Suppression of MOSFET reverse short channel effect by N20 gate poly reoxidation process», Proc. IEDM Tech. Dig., 1994, 311-314. 81. Roussean P.M. et al., «Arsenic deactivation enhanced diffusion and the reverse short-channel effect», IEEE Electron Device Letters, 1997, v. 18, N1,42-44. 82. Arora N., Sharma M.S., «Modeling the anomalous threshold voltage behavior of submicrometer MOSFET's», IEEE Electron Device Letters, 1992, v. 13, N2, 92-94. 83. Subramanian С et al., «Reverse Short Channel Effect and Channel Length Dependence of Boron Penetration in PMOSFET's», Proc. IEDM Techn. Dig., 1995, 423-426. 84. Hareland S. A. et al., «A Computationally Efficient Model for Inversion Layer Quantization Effects in Deep Submicron N-Channel MOSFET's», IEEE Trans. Electron Devices, v.43, N1, 90-95. 85. Ip B.K., Brews J.R., «Quantum Effects upon Drain Current in a Biased MOSFET», IEEE Trans. Electron Devices, 1998, v.45, N10, 2213-2221.
ГЛАВА 2 ПРИНЦИПЫ И ОГРАНИЧЕНИЯ МАСШТАБИРОВАНИЯ МОПТ 2.1. Введение (историческая справка) Вероятно, ни одна отрасль промышленности не развивалась такими стремительными темпами, как микроэлектроника. Постоянное улучшение характеристик полупроводниковых приборов, в течение 30 лет, привело к повышению степени интеграции (числа транзисторов на кристалле) более чем в 1600 раз (ежегодный рост в 1,35 раза) и увеличению тактовой частоты микропроцессоров более чем в 3000 раз (ежегодный рост в 1,38 раза) [1]. По научно обоснованным прогнозам, до 2020 года снижение темпов развития микроэлектроники не предвидится. Основой такого успешного развития микроэлектроники стала разработка принципов масштабирования физической структуры полупроводниковых приборов. Сложившиеся тенденции масштабирования ИС могут быть сформулированы (с определенной степенью точности) следующим образом: • новое поколение технологии появляется через каждые три года; • при этом уровень интеграции ИС памяти увеличивается в четыре раза, а логических ИС — возрастает в 2-3 раза; • за каждые два поколения технологии (то есть за шесть лет) минимальный характеристический размер уменьшается в два раза, а плотность тока, быстродействие (тактовая частота), площадь кристалла и максимальное количество входов и выходов увеличиваются в два раза. Эволюция основных параметров МОПТ при масштабировании с 1995 г. и прогноз развития до 2012 г. представлены в табл. 2.1 [2]. Выделяют две основные цели и два вида ограничений при масштабировании МОПТ[3]. Первая цель заключается в увеличении тока МОПТ для увеличения быстродействия, которое ограничивается временем заряда и разряда паразитных емкостей. Увеличение тока стока требует уменьшения длины канала и увеличения напряженности электрического поля в подзатворном окисном слое, поскольку плотность подвижного заряда в инверсионном слое пропорциональна напряженности электрического поля в окисном слое. Вторая цель — уменьшение размеров для увеличения плотности размещения элементов. Это требует уменьшения как длины, так и ширины канала МОПТ, т.е. увеличения тока на единицу ширины канала с тем, чтобы обеспечить требуемый уровень рабочего тока. Сформулированы два вида ограничения в совершенствовании субмикронных ИС [3]. Первый вид связан с необходимостью снижения токов утечки и объясняется следующими причинами: снижением порогового напряжения V( при уменьшении длины канала, смыканием областей обеднения стока и истока в объеме подложки, наличием туннельной компоненты тока утечки стокового перехода при высокой напряженности вертикального электрического поля в области перекрытия стока затвором (GIDL-эффект), лавинным пробоем /?-я-перехода стока.
|f&8 Глава 2. Принципы и ограничения масштабирования МОПТ Табл. 2.1. Данные по развитию технологии США в области полупроводниковой промышленности, опубликованные в Roadmap'97 [31] Ассоциацией полупроводниковой промышленности (SIA) Год появления первых кристаллов 1. ДОЗУ (бит/кристалл) 2. ДОЗУ, площадь кр-ла, мм2 3. МП, транзисторов/см2 4. МП, площадь кр-ла, мм2 5. Минимальный литографический размер топологии, мкм 6. Минимальный литографический размер затвора, мкм 7. Толщина подзатворного окисного слоя, нм 8. Напряжение питания, В 9. Разброс ^(35), ±мВ 10. Тактовая частота, МГц 1995 64М 190 250 0,35 0,28 7-12 3,3 60 300 1997 256М 280 3,7М 300 0,25 0,20 4-5 1,8- 2,5 60 750 1999 1Г 400 6,2М 340 0,18 0,14 3-4 1,5- 1,8 50 1200 2001 - 445 ЮМ 385 0,15 0,12 2,4- 3,2 1,2- 1,5 45 1400 2003 4Г 560 18М 430 0,13 0,10 2-3 1,2- 1,5 40 1600 2006 16Г 790 39М 520 0,10 0,07 1,5-2 0,9- 1,2 40 2000 2009 64Г 1120 84М 620 0,07 0,05 < 1,5 0,6- 0,9 40 2500 2012 256Г 1580 180М 750 0,05 0,035 < 1,0 0,5- 0,6 40 3000 Затвор t =1000А N+ Затвор tox = 200 А Масштабируемые < ^ЖТ'ЩШТ" N+^ величины: X' = Х/а V'=V/cc N'=ccNA —И |-<-1м* Na = 5x1015/cm3 Новая толщина слоя обеднения = N=2.5x1016/cm3 Vr/2y™t»> -*■ Я (*NA) Новое пороговое напряжение = V'*«0»( «* '[(-0.ff +У㈄ч№Мд)(^ь ♦*,)]«wi^*f,iai^. Новый ток = 2о tw/« Ч/а к « " сс )асс Рис.2.1. Иллюстрация исходных правил масштабирования основных параметров МОПТ, представленных Деннардом с сотрудниками на конференции IEDM72
2.2. Методы масштабирования МОПТ Й Второй вид ограничения масштабирования вызван необходимостью обеспечения надежного функционирования ИС в течение заданного срока работы приборов, он связан с воздействием горячих носителей и зависимым от времени пробоем подзатворного диэлектрика. Первое сообщение о масштабировании, основанном на сохранении распределения напряженности электрического поля в приборе, было сделано Деннардом с сотрудниками [4] в 1972 г. На рис. 2.1 суммированы основные положения его метода масштабирования. В соответствии с принципом масштабирования Деннарда все значения размеров и напряжений, включая пороговое напряжение, уменьшаются, а концентрация примеси увеличивается в одно и то же число (а) раз. Тогда из соотношений электростатики следует, что распределение напряженности электрического поля (в новых координатах) будет таким же, как и в исходной приборной структуре с большими размерами (см. рис. 2.1). Новое не всегда воспринимается позитивно. Деннард вспоминал [5], что когда он, выступая с докладом на конференции, предложил снизить толщину подзатворного окисла в масштабируемой структуре до 200 А, в зале раздался смех: в то время многие считали невозможным использовать окисел с толщиной менее 1000 А. 2.2. Методы масштабирования МОПТ 2.2.1. Метод масштабирования с сохранением напряженности электрического поля В табл. 2.2 приводятся основные соотношения масштабирования главных параметров МОПТ-структур (первый столбец), позволяющие сохранить неизменным напряженность электрического поля в активных областях приборной структуры. Однако при таком подходе, ориентированном на сохранение напряженности электрического поля, возникают проблемы. Встроенный (диффузионный) потенциал /?-я-переходов (Vb) не поддается прямому эффективному масштабированию, поскольку радикальное его уменьшение с целью сокращения протяженности области пространственного заряда (ОПЗ) возможно лишь при использовании полупроводников с меньшей по сравнению с Si шириной запрещенной зоны (например, Ge или соединений типа G,_xSix). При этом необходимо отметить, что с уменьшением Vbi емкость /?-я-переходов должна возрастать (при прочих равных условиях). Таким образом, следует иметь в виду, что оптимизация величины Vbi вполне возможна, поскольку Vbi определяется: 1) приведенным градиентом результирующей концентрации примеси (Г = g/g,), т.е. нормированным на величину g. = nJLi (ni — концентрация носителей в собственном полупроводнике, a Li — соответствующая дебаевская длина); 2) параметром Л = Li/rD, где Г0 — эффективная «длина диффузии» концентрационных профилей, образующих /?-я-переход (1/Г0= l/lDI + l/lD2; lD2 > lD1 (lD == 2^1 Dt), знак «-» берется в случае «попутных» концентрационных профилей, а знак «+» — для случая «встречных» концентрационных профилей); 3) нормированной величиной концентрации скомпенсированных примесей в центре /?-я-перехода v = Nj/ni и 4) параметром XD = lD2/lDr Конкретная зависимость Vbi = f(T, Л, v, XD) определяется как характером формирования /ья-перехода (на «встречных» или «попутных»
Глава 2. Принципы и ограничения масштабирования МОПТ Табл. 2.2. Закономерности масштабирования при трех различных подходах Физические параметры Длина канала Толщина подзатворного диэлектрика Ширина линий межсоединений Ширина канала Напряженность эл. поля Напряжение Концентрации легирующих примесей Площадь Емкости Задержка на вентиль Рассеиваемая мощность Плотность рассеиваемой мощности Постоянство напряженности эл. поля 1/а 1/а 1 1/а а 1/а2 1/а 1/а 1/а2 1 Обобщенный подход 1/а 1/а 8 г/а га 1/а2 1/а 1/а г2/а2 г2 Обобщенный селективный подход !/<*„ 1/а* г г/а, га. 1/4 1/а* 1/4 еУо^а, z2aja, Примечание: а — коэффициент масштабирования линейных размеров; г — коэффициент масштабирования напряженности электрического поля; ad и aw — коэффициенты масштабирования линейных размеров при селективном подходе: ad используется при масштабировании вертикальных размеров и длины затвора, тогда как aw - используется при масштабировании поперечных размеров и ширины линий межсоединений. концентрационных профилях), так и величинами параметров Г, Л, v и XD и их сочетаниями. При этом аналитическое представление Vbiможет изменяться, например, от «слабой» (логарифмической) зависимости Vbi/VT = cpbio + 2 In aN (случай модели «ступенчатого» /?-я-перехода), где aN — коэффициент масштабирования концентрации легирующих примесей, фЫо In [(NAND)cc/nf], VT^ kT/q; до «сильной» зависимости Vbi/VT= 2Г/Л3 (случай «попутных» концентрационных профилей). Масштабирование порогового напряжения в той же степени, что и напряжения питания, приводит к росту подпорогового тока. Таким образом, для данного метода масштабирования имеется ограничение по снижению напряжения питания. Такое масштабирование в условиях постоянства напряженности электрического поля приводит к следующим важным результатам. Во-первых, плотность размещения элементов возрастает пропорционально коэффициенту а2 в результате уменьшения размеров межсоединений и топологических размеров приборных структур. Во-вторых, быстродействие, которое оценивается величиной g,JC, улучшается пропорционально коэффициенту а, так как емкость (С межсоединений и приборной структуры уменьшается на коэффициент а, тогда как крутизна (gm) прибора остается примерно той же самой. И в-третьих, мощность, рассеиваемая одной схемой (логическим вентилем), уменьшается пропорционально коэффициенту а2, так как в каждой приборной структуре одновременно уменьшается и напряжение, и ток. При этом важным обстоятельством является то, что плотность рассеиваемой прибором мощности остается постоянной. Таким образом, при заданной (неизменной) площади кристалла увеличение числа схем (например, ло-
2.2. Методы масштабирования МОПТ гических вентилей) может не сопровождаться увеличением общей рассеиваемой мощности. Хотя исходная концепция масштабирования при условии сохранения постоянной напряженности электрического поля является полезной и правильной, идея уменьшения напряжения питания пропорционально уменьшению размеров не стала популярной из-за нежелания отклоняться от стандартизованных общепринятых уровней напряжения питания. Кроме того, пропорциональное масштабирование порогового напряжения приводит к увеличению тока утечки прибора в закрытом состоянии, что определяет практические границы масштабирования напряжения питания [6]. 2.2.2. Обобщенный метод масштабирования Ввиду вышесказанного возникает необходимость обобщения метода масштабирования с установлением таких правил проектирования, чтобы, несмотря на возможность увеличения локальной напряженности электрического поля, можно было все же сохранить неизменной общую картину электрического поля и распределения его эквипотенциалей в пределах масштабируемой приборной структуры. При этом физические размеры МОПТ и прикладываемые потенциалы могут масштабироваться с помощью независимых коэффициентов, таким образом значительно повышая возможности проектирования и в то же время удерживая двумерные эффекты под контролем. В соответствии с обобщенным (универсальным) методом масштабирования параметры приборной структуры изменяются следующим образом: для потенциалов: ф' = фД, (2.la) для координат: (х\ у\ z) = (х, у, z)/K (2.16) для концентраций: (n\ р\ N'D, AQ = (п, р, ND, NA)/(X2/k). (2Лв) Использование такого преобразования переменных приводит к тому, что вид уравнения Пуассона в новых переменных для масштабированной структуры будет идентичен уравнению для исходной структуры эу эу эу q ,, n.N, ыл Эх'2 Э/2 dz'2 г Если граничные условия (т.е. потенциалы электродов истока, стока и затвора) пропорционально уменьшить на коэффициент к, то решения уравнений в новых и исходных переменных будут различаться коэффициентом масштабирования, но при этом картина распределения электрического поля у двух приборных структур будет одинакова. Напряженность электрического поля, однако, изменится на коэффициент Х/к и, следовательно, должна возрасти, если X > к. Приняты следующие обозначения коэффициентов в обобщенном методе масштабирования: для геометрических размеров : 1/Х = 1/а , (а > 1), (23а) для потенциалов : \/к = г/а, (2.36) для концентраций: Х2/к = га. (2.3в) Тогда коэффициент масштабирования напряженности электрического поля будет равен г.
Глава 2. Принципы и ограничения масштабирования МОЛТ Соотношение между коэффициентами масштабирования параметров приборной структуры обобщенным методом представлены в табл. 2.2 (2-я колонка) [2]. Соотношения (2.1) представляют обобщенные правила масштабирования, которые необходимо применять, когда напряжения не могут быть уменьшены прямо пропорционально уменьшению физических размеров приборных структур. Поскольку картина электрического поля сохраняется неизменной в пределах масштабированных структур, есть основание ожидать, что эффекты смыкания ОПЗ истока и стока, а также снижение барьера, индуцируемое стоком (DIBL-эффект), останутся неизменными, несмотря на увеличение напряженности электрического поля. Легко проверить, что при е = 1 соотношения (2.1) будут соответствовать правилам масштабирования, обеспечивающим сохранение распределения напряженности электрического поля. В общем же случае допускается изменение (увеличение) напряженности электрического поля в s раз, что позволяет медленнее снижать напряжение питания, а именно в еД раз. При этом картина распределения напряженности электрического поля поддерживается неизменной, что обеспечивается повышением концентрации легирующих примесей в 8а раз (см. табл. 2.2). При указанных значениях коэффициентов масштабирования величины напряженности, напряжения и концентрации примесей, толщины областей обеднения xd сохраняются неизменными. Однако применение обобщенного метода масштабирования имеет ограничения, связанные с влиянием сильного поперечного и продольного электрического поля. При напряженности более 5 мВ/см в подзатворном окисле создаются дефекты, а воздействие горячих носителей приводит к нестабильности параметров транзисторов. Для исключения влияния сильных полей в структуру прибора вводят слаболегированные сток-истоковые LDD-области. Однако при обобщенном методе масштабирования влияние последовательного сопротивления сток-истоковых областей растет пропорционально току стока, т.е. пропорционально е2, и при превышении определенного уровня масштабирования ток и быстродействие транзистора будут снижаться. Другим очень существенным ограничением допустимой величины £ является плотность рассеиваемой мощности, которая возрастает как г2 (как это следует из известного соотношения для мощности CV2/). Результаты применения обобщенного метода масштабирования КМОП-структур иллюстрируются рис. 2.2 (на примере трех поколений технологии), на котором представлена зависимость времени задержки нагружен- Рис.2.2. Зависимость задержки, приходящейся на один ного NAND-вентиля от дли- нагруженный вентиль, от длины канала в КМОП- ны канала L. Можно видеть, структурах что в соответствии с данны- ё 2.01 1.0 k X X go, 03 200 фФ J .2' Уп П = 2,5ВН 1.0 мкм U. J мкм 0.25 МКМ Длина канала КМОП-структуры
2.2. Методы масштабирования МОПТ ми табл. 2.2 [2] толщина подзатворного окисиого слоя масштабируется почти линейно с изменением длины канала, тогда как уровни напряжения (включая и пороговое напряжение) уменьшаются приблизительно как корень квадратный из L. При этом видно, что даже при намного большей напряженности электрического поля (г > 1), в случае более короткоканальных приборов, время задержки уменьшается приблизительно линейно с уменьшением длины канала из-за эффектов насыщения дрейфовой скорости носителей и увеличения последовательного сопротивления, как уже обсуждалось выше. 2.2.3. Метод селективного масштабирования Хотя правила масштабирования предписывают необходимость уменьшения размеров приборной структуры и межсоединений на один и тот же коэффициент, на практике они масштабируются с разными коэффициентами. Будем называть подобное масштабирование «селективным», если, например, длина канала (L) и толщина подзатворного окисного слоя (Тох) масштабируются с коэффициентом ad, а ширина канала и ширина межсоединений — с коэффициентом а^ При этом быстродействие должно возрастать (см. табл. 2.2) в соответствии с коэффициентом масштабирования приборной структуры ad, тогда как плотность компоновки увеличиваться в соответствии с коэффициентом а*, а величина мощности, рассеиваемой схемой, должна уменьшаться как ajaje2 в предположении, что уровни напряжений масштабируются с коэффициентом e/ad. Для поколений логических КМОП-вентилей, представленных на рис. 2.2, минимальные литографические размеры, используемые для межсоединений, составили 1,25; 0,8 и 0,5 мкм, соответственно. ОСТ] 1 4 О >s о <L> сеива о СЗ Си Е- li 1 1 1 | 1 1—1—1—1 г 1 1 \1.0 ВЫСОКИЕ - XI.2 ХАРАКТЕРИСТИКИ ~ \Л.5 — ^х. — \1.8 ° \o2.5 \з.з 1 Ф0.7 ©sj О ё 1 1ьная осите; Отн Ъ ! X 3 X о D Z 04 < 2 03 * о, ез 0.2 ГО ная да 5 Я 0.1 к S о < X ' \0.8 \_ - \ низкая 5 и- - D\pl-U мощность / - h ч- 1.2 '' - h ЧЯЧ , с 2.5/ - 1 1 1 1 1 1 D I I I I I I I 0.1 0.2 0.4 Длина канала (мкм) а) 1 i i i | 1 1 1 1 1 r~rt9i */ У/ / ~ / У У / а / НИЗКАЯ / / мощность У / ,d / ,* / ' V Р ф* / ' {/высокие / ХАРАКТЕРИСТИКИ — у — - / — f — i iiil i i i i i i i i 1 0.1 0.2 0.4 1 Длина канала (мкм) 6) Рис.2.3. а — Зависимости относительной величины плотности рассеиваемой мощности от эффективной длины канала в случаях двух различных подходов к масштабированию, преследующих цель создания МОПТ либо с высокими приборными характеристиками (сплошные кривые), или же потребляющими малую мощность (пунктирные кривые). б — Зависимости номинальной величины задержки NAND-схемы (недогруженной, с тремя входами) от эффективной длины канала при аналогичных подходах к масштабированию, что и в случае (а). Данные взяты в основном из работы [7] и были адаптированы в соответствии с [8].
Глава 2. Принципы и ограничения масштабирования МОПТ Такой подход к масштабированию, отображенный в последнем столбце табл. 2.2, позволяет улучшить выход годных изделий при создании межсоединений без увеличения времени задержки на вентиль. С помощью правил селективного масштабирования были оценены ожидаемые величины плотности рассеиваемой мощности и времени задержки на вентиль для нескольких поколений приборных структур — вплоть до длины канала, равной 50 нм (рис. 2.3) [2]. Как можно видеть из рис. 2.3я, такое большое быстродействие КМОП-вентиля достигается ценой увеличения плотности рассеиваемой мощности. Необходимо отметить, что, хотя использование более низких напряжений питания и приводит к уменьшению потребляемой мощности, — плотность рассеиваемой мощности начинает все же увеличиваться при длине затвора меньше 0,25 мкм. При дальнейшей эволюции КМОП-структур снижение напряжения питания вплоть до 1 В должно стать важнейшим фактором масштабирования. Основной причиной смещения усилий в этом направлении является прогресс в разработке высокопроизводительных систем на одном кристалле, а также огромный спрос на изделия с батарейным питанием. В связи с указанными тенденциями развития КМОП-приборов разработаны два сценария масштабирования МОПТ: сценарий, направленный на обеспечение высокого быстродействия схем, и сценарий минимизации потребляемой мощности. Наилучшее соотношение между быстродействием и потребляемой мощностью определяет нижний предел, до которого допустимо масштабировать напряжение питания. Верхний предел напряжения питания ограничивается снижением надежности (срока службы) приборов из-за эффекта горячих носителей. 2.3. Закономерности изменения основных характеристик МОПТ при масштабировании 2.3.1. Нагрузочная способность МОПТ Как указывалось выше, одной из целей масштабирования является увеличение рабочего тока (на 1 мкм ширины канала) МОПТ. Ток насыщения Idsat определяет время зарядки и разрядки емкостной нагрузки и таким образом влияет на быстродействие приборов в большей степени, чем другие параметры МОПТ. На рис. 2.4 представлены зависимости Idsat и крутизны в режиме насыщения от эффективной длины канала при различной толщине подзатворного окисно- го слоя экспериментальных МОПТ. Приведенные данные хорошо согласуются с теоретической моделью [9] и [10]: I<isat = W- vsa< • GL = Wx>„tCm -(Vg-Vt- Vdsat) 9 (2.4) rdsat y_y,FT > \*-Э) gmSa, = ГъшСа[1 -@Vdsal /dVg)} t (2.6) где Qim — плотность подвижных носителей заряда в инверсионном слое в области
2. 3. Закономерности изменения основных характеристик МОПТ при масштабировании отсечки канала; W — ширина канала; Vdsat—напряжение насыщения тока стока; Esat — напряженность электрического поля, соответствующая насыщению скорости носителей тока (им,). Из рис. 2.4 следует, что уменьшение только длины канала приводит лишь к небольшому увеличению тока — значительно меньшему, чем это предсказывает зависимость типа \/L. Даже если L -> 0, значение /убудет стремиться к постоянной конечной величине Qinv ' usat ' W (см. соотношение (2.4)). Наоборот, при уменыпе- и д го S о. L С ГзбА. С Г L EssA^ Е- F86A- El 55Л LlL Weff= 10 мкм v -v =2B 1 °Тох = 36А °Тох = 56А АТ0Х = 86А ♦ Тох=155А .9 1 1.1 1.2 1.3 1.4 Эффективная длина канала (мкм) Рис. 2.4. Экспериментальные данные по крутизне (gj как функции эффективной длины канала (LeJJ) при различных толщинах подзатворного окисного слоя (7^). Увеличение напряженности электрического поля в подзатворном окисном слое не только нии толщины подзатворного увеличивает ток стока МОПТ, но также приводит к окисного слоя, вызывающем возрастанию крутизны при уменьшении длины кана- увеличение электрического ла. Представленные результаты хорошо совпадают с поля в окисном слое и Qinv, воз- теоретическими зависимостями g,„(LJ [9]: см. соот- растание Id более значительное, ношения (2.4) и (2.6). При этом эффективность влияния уменьшения толщины окисла на Idsat растет при снижении длины канала. 2.3.2. Ограничения, связанные с током утечки Первые две из вышеперечисленных причин, обуславливающих ток утечки МОПТ, обычно относят к так называемым «короткоканальным эффектам». Проблема уменьшения тока утечки МОПТ приобретает все большее значение в связи с ростом популярности радиоэлектронной и вычислительной аппаратуры на батарейных источниках питания. Ограничения, связанные с короткоканальными эффектами, требуют, чтобы подзатворный окисный слой был по возможности более тонким и /?-я-переходы истока/стока были более мелкими, что усиливает управляющую способность затвора и препятствует распространению поля стока в направлении канала, т. е. уменьшает параметр 1Л в выражении для спада порогового напряжения [11] (рис. 2.5): (2.7) ДК,«Ка.ехр(-/^/4), К~ъл\х?охх\ер) 1/3 -хгтж (2.8) Сдвиг порогового напряжения AVt, характеризуемый соотношением (2.7), приводит к появлению тока утечки вдоль канала МОПТ. Второй путь компоненты тока утечки, обусловленный эффектом смыкания ОПЗ истока/стока, проходит в объеме подложки. Напряжение смыкания при этом дается приблизительным соотношением [3,10]:
96 Глава 2. Принципы и ограничения масштабирования МОПТ AVt = Vt(b 0.01 беек.) \ V V -Vt(L L eff)@Vd О А о D AV t — Т 1ох т Тох 'ох г<= ~+ = зв = 3.6 нм = 5.6 нм = 8.6 нм = 15.6 нм 0.1В 0.0 0.1 0.2 0.3 0.4 0.5 0.6 а) Эффективная длина канала (мкм) AVt = Vt(L = беек.) - Vt(LefP Vds) AV, <= 0.1В 0.01 0.0 0.1 0.2 0.3 0.4 0.5 0.6 б) Эффективная длина канала (мкм) Рис.2.5. Зависимости смещения величины порогового напряжения (V) от эффективной длины канала (Letf): (а) при различных значениях толщины подзатворного окисного слоя (Тох) напряжение между истоком и стоком фиксировано (Vd = ЗВ); (б) при различных значениях V6 толщина подзатворного окисного слоя фиксирована (7^х = 8,6 нм). Стрелками от демаркационной пунктирной линии обозначена приемлемая область проектирования VPTOC Nsub ' (4# " Xjf . (2.9) Третья компонента тока утечки — индуцированный затвором ток утечки стока (GIDL) — представляет собой междузонный туннельный ток, инициированный вертикальной составляющей напряженности электрического поля в области перекрытия затвором стока. Предельное значение напряжения питания, при котором эта компонента тока утечки достигает максимально допустимой величины, определяется выражением [3]: Vcc = 4-106 [В/см] ■ Тох [см] + 1,2 [В] - Кш [В], (2.10) справедливым для случая LDD-МОПТ. МОПТ без LDD-области характеризуются намного большим GIDL-током. Подавление GIDL-тока может быть достаточно сильным аргументом в пользу сохранения LDD-структуры даже при малых значениях напряжения питания (Vcc). V^ — напряжение плоских зон в области перекрытия стока затвором; в случае п+-поликремниевого затвора и я+-стока VJb« 0, а в случае наложения я+-поликремниевого затвора на /?+-область стока Vfi = 1,1 В. На рис. 2.6я, #представлены зависимости тока утечки в выключенном состоянии от эффективной длины канала при различных толщинах окисного слоя Тох (а) и напряжениях на стоке Vd (б). Областям, где доминирует ток в режиме смыкания канала, соответствуют светлые символы; областям, где доминирующим является GIDL-ток, соответствуют темные символы. Компоненты тока утечки, связанные со смыканием (1р() и с GIDL-эффектом (IGJDL), характеризуются отчетливо выраженным разным поведением. Из рис. 2.6а, б видно, что /^экспоненциально зависит от Leffn увеличивается с ростом толщины подзатворного окисла Тох и напряжения на стоке Vd, a IGIDL практически не зависит от Leff Последнее объясняется тем, что туннелирование типа «зона-зона» зависит только от условий, складывающихся непосредственно в области наложения затво-
2.3. Закономерности изменения основных характеристик МОПТпри масштабировании v =зв ОТ()Х = 3.6нм ДТ0Х = 5.6нм о Тох = 8.6 нм □ TOY = 15.6 нм ^нэ 1,>т> It!10L < ЮпА/мкм а) 0.1 0.2 0.3 0.4 0.5 0.6 Эффективная длина канала (мкм) б) 0.0 0.1 0.2 0.3 0.4 0.5 0.6 Эффективная длина канала (мкм) Рис.2.6. Зависимости тока стока в выключенном состоянии (40#) от эффективной длины канала (Lej^\ (а) при различных значениях толщины подзатворного окисного слоя (Тох) напряжение между истоком и стоком фиксировано (Vd= ЗВ); (б) при различных значениях ^толщина подзатворного окисного слоя фиксирована (Тох = 8,6 нм). Вставка на рис.2.6а схематично иллюстрирует направление основных составляющих тока утечки (1РТ и IGIDL). Светлые символы соответствуют случаю доминирования тока 1РТ (эффект смыкания ОПЗ); черные символы соответствуют случаю доминирования IGIDL (индуцируемого затвором тока утечки стока). Стрелками от демаркационной пунктирной линии обозначена приемлемая область проектирования (IPT, IGIDL 10 пА/мкм) ра на сток. Ток IGIDL возрастает в результате либо уменьшения Тох, либо увеличения Vd. Таким образом, в МОПТ с достаточно малой длиной канала (£е#) доминирует ток утечки, связанный со смыканием 1РТ; по мере увеличения Leff компонента 1РТ быстро уменьшается, и начинает доминировать ток утечки, определяемый GIDL-эффектом (IGIDL). Важно отметить, что IGIDL не зависит от Leffn является чувствительной функцией от Тох и Vd. Этот механизм тока утечки определяет новый нижний предел минимально допустимой толщины подзатворного окисного слоя в дополнение к ограничениям, обусловленным зависящим от времени диэлектрическим пробоем (TDDB-эффект) [12]. 2.3.3. Ограничения, обусловленные надежностью (эффект горячих носителей и пробой окисла) В результате снижения напряжения питания (VDD) в значительной степени уменьшается влияние на надежность эффекта горячих носителей. Величина максимального напряжения питания, при котором напряженность продольного электрического поля вблизи стока не превышает критической величины Есх снижающей срок службы прибора ниже 10 лет, дается соотношением [13, 14]: 'СС - Ksat\Leff)+ Ес • (l2 + /LDD) , !2 = 0,2-Т*3-Х?, (2.11) (2.12) где /LDD - эффективная длина LDD-области. При уменьшении Leff в два раза величина VdsM может быть снижена не более чем на 0,5 В; следовательно, в соот- 4—1235
^8 Глава 2. Принципы и ограничения масштабирования МОПТ ветствии с (2.11) Vdd также будет снижена на 0,5 В. Рис.2.7 иллюстрирует это обстоятельство. Наличие LDD-области дает возможность увеличить напряжение питания на величину Ес; /LDD, как это показано на рис. 2.7. Допустимая с точки зрения надежности (обусловленной горячими носителями) величина Есг составляет 3* 105 В/см, так что в идеальном случае (/LDD = 500 А[14]) величина Vcc может быть теоретически увеличена на 1,5 В. С уменьшением длины канала Leff максимально допустимое напряжение питания Vcc падает и можно ожидать, что проблема ограничивающих факторов может сместиться от эффектов, обусловленных горячими носителями, к короткоканальным эффектам. Однако адекватное масштабирование толщины подзатворного окисла и глубины /ья-переходов позволяет успешно преодолевать вредное влияние короткоканальных эффектов. Ограничение надежности, обусловленное электрическим полем в подзатворном окисном слое, выражается посредством зависящего от времени диэлектрического пробоя (TDDB). Срок службы «собственного» (свободного от дефектов) слоя двуокиси кремния может быть выражен следующим соотношением [15]: |T0(r)exp[^r)/^J = 10-1,(c)exp[350(MB/cM)7;jf/FoJ, (2.13) \Т = 300 К 0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 Эффективная длина канала (мкм) Рис.2.7. Зависимости приемлемой величины напряжения питания (VDD) я-МОПТ (^ = 0,16 мкм) от эффективной длины канала (LeM) при разных толщинах подзатворного окисного слоя (Тох). Допустимая величина VDD соответствовала 10-летнему сроку службы и определялась по изменению тока стока AId/Id = 10% [3]. Использование LDD-области позволяет увеличить напряжение питания на 30% и более *BD ~ как проиллюстрировано рис. 2.8. Эта формула имеет теоретическое происхождение, подтвержденное экспериментальными данными. В случае срока службы, равного 20 годам при Т = 125°С, в соотношение (2.13) следует подставить: -3- <7 МВ/см. (2.14) 2.4. Тенденции масштабирования и требования к последовательному сопротивлению МОПТ Паразитное последовательное сопротивление, связанное с диффузионными сток- истоковыми областями и контактами к ним, в приборах субмикронного диапа-
2.4. Тенденции масштабирования и требования к последовательному сопротивлению МОПТ ю4 о Q J* 10° ю-2 ю-4 3 г :g .04 Еох (МВ/см) 10 12 14 16 18 20 ЪАч ^иР^ * 52А : 23Р кйЬ^ ° 59А " = 350 МВ/см^ЦдаР^ А 734 : = 1x10 " сек ^Ь*ъ. ° 84А " >^ дь^^ v юза : ^ -vg ^^^. "i ^A"^X п+-поли-81/8Юур-подложка ^>>>**А^' .06 .08 .1 .12 1/Еох(см/МВ) Рис.2.8. Иллюстрация того обстоятельства, что время до пробоя (tBD) свободных от дефектов слоев Si02 является хорошо выраженной экспоненциальной функцией от обратной величины напряженности электрического поля (\/Е0Х) независимо от толщины (Тох = 52^103 А) [3] Затвор поли-Si Спейсер зона оказывает существенное влияние на их характеристики. При пропорциональном масштабировании размеров и напряжений сопротивление области канала в первом приближении остается постоянным, а сопротивление диффузионных областей увеличивается пропорционально коэффициенту масштабирования а. Однако сопротивление контактов при этом растет пропорционально квадрату коэффициента масштабирования а2. Таким образом, при масштабировании неизбежен рост влияния последовательного сопротивления МОПТ на его характеристики. Считается, что паразитное последовательное сопротивление МОПТ не должно превышать 10% общего сопротивления прибора (R{ot = Vd/Id) [196]. На рис. 2.9 схематически представлено сечение МОПТ с указанием компонентов общего сопротивления. Сопротивление области канала Rch включено последовательно с сопротивлениями растекания и обогащения 7^pl, Rsp], Rac, с сопротивлением диффузионных слоев мелких и глубоких сток-истоковых областей ^S.LDD и RSD, соответственно, и с сопротивлением контакта Rco. Сопротивление области обогащения Як и сопротивление растекания между мелкими сток-истоковыми областями и контактом Д,р2, как правило, малы и ими обычно пренебрегают. Сопротивление диффузионных слоев мелких сток-истоковых областей определяется поверхностным сопротивлением и отношением длины /к ширине (W) этих областей, длина которых определяется шириной спейсера за вычетом разницы от боковой диффузии глубоких и мелких сток-истоковых областей. Сопротивление растекания fispl зависит от конфигурации концентрационного профиля диффузионного слоя мелких сток-истоковых областей. Приближенное выражение для Rspl может быть получено, если полагать этот слой однородно легированным, имеющим удельное сопротивление р, а протяженность боковой диффузии примеси принять равной 0,75 от глубины залегания /?-я-перехода X. [19], тогда: Канал Контактная область стока ch v ас spl shldd sp2 sd со7 Рис.2.9. Сечение приборной структуры МОПТ с продленным участком области стока. Указаны составляющие последовательного паразитного сопротивления. Под участком продления стока подразумеваются «мелкие» SDE-области (либо LDD-области)
100 Глава 2. Принципы и ограничения масштабирования МОПТ Rspi~ 2,S-p_2,5-R,-Xj (2.15) W W Сопротивление контакта к диффузионным областям Rco зависит от удельного контактного сопротивления рсо, поверхностного сопротивления диффузионных сток-истоковых областей /?SD, ширины Wco и длины Lm контактного окна [17,18]: ь-кщЖ)' (216) где Ц — среднее расстояние, которое проходят носители в диффузионной области до контактного окна. Когда длина контактного окна много меньше, чем Lv как это часто наблюдается на практике, тогда плотность тока по площади окна постоянна, и выражение для сопротивления контакта принимает вид: Рсо ^0 = к-ь (2.17) Таким образом, сопротивление контакта быстро возрастает при уменьшении размеров Wco Lco контактного окна. В обычных МОПТ площадь контактного окна значительно меньше площади диффузионных сток-истоковых областей. Если использовать самосовмещенные силицидные контакты, сопротивление контактов может быть значительно (до 10 раз) снижено. Более того, так как силицидный контакт формируется по периметру спейсера, то это приводит к снижению паразитного сопротивления, связанного с поверхностным сопротивлением диффузионного слоя сток-истоковых областей RSD. Тем не менее, в глубокосубмикронных МОПТ роль сопротивления контакта Ясо в общем последовательном сопротивлении будет доминировать. Анализ контактного сопротивления силицированных диффузионных областей усложнен рядом факторов. Во-первых, часть диффузионного слоя расходуется на образование силицида. В экстремальном случае при этом может быть использована большая часть диффузионного слоя, и вследствие этого поверхностное сопротивление оставшейся части слоя значительно увеличится. Во-вторых, из-за уменьшения концентрации примеси на границе диффузионного слоя с силицидом увеличится Рис.2.10. Зависимости концентрации легирую- удельное контактное сопротивле- щей примеси у поверхности подложки от высокие в соответствии с выражением ты ба?ьеРа (« м™ полупроводником и си- /л ю\ n r пт лицидом (металлом) при различных значениях (2.18). В работе [19] показано, что , ч ^ l J ' контактного удельного сопротивления (рсо), за- если при силицидообразовании даваемые соотношением (2.18) [20,21]. потребление диффузионного слоя 1021 ^ S ^ к f-1 <-> о 5 Он <D CQ С ЮМ >> рац <и Я" PC О Ъ4 1 fU9 . ■ ■ - ■ 1U1V 0.2 ' ' ' I ' ' Ю-8 Ом 2 ЪУ Аъ/ ... i.. 0.3 ■■■■■■■!■■■■■■■■■■ •см2 ^^ ^SWOWQM^^ yS\№ Омсм2 .. I.... I.... I....» ^3^^^ - 0.4 0.5 0.6 0.7 0.8 Высота барьера (эВ)
Табл. 2.3. Прогноз изменения бировании) вплоть до 2012 г. - 2.4. Тенденции масштабирования и требования к последовательному сопротивлению МОПТ основных приборных параметров МОПТ (при их масшта- по данным Roadmap'97 (SIA) Поколение приборных структур МОПТ (минимальный характеристический размер) Год появления первых кристаллов в пром. производстве Структура области стока Глубина контактной области Х,(нм) Величина Х-, у границы с каналом (нм) Толщина стенок спейсера (нм) Толщина стоя силицида (нм) Удельное поверхностное сопротивление слоя силицида R(0m/D) Контактное удельное сопротивление границы раздела Si/силицид (Ом-см2) Общее последовательное сопротив. обл. истока/стока (%-ная доля от сопротив. канала Rch) Концентрация легирующей примеси в канале (см3) при k,<o/4)-u Концентрация примесей в равномерно легированной области каната (см3) для случая |v, = 0,4 В 250 нм 1997 Продленный уч-к обл. стока 100-200 50-100 100-200 70 2 <Ы(Н 10% 1-Ю18 4-6-1017 180 нм 1999 Продленный уч-кобл. стока 70-140 36-72 72-144 55 2,7 <6- Ю-7 10% 2-Ю18 6-101017 150 нм 2001 Продленный уч-кобл. стока 60-120 30-60 60-120 45 3,3 <4-10-7 10% 2,5- 101S 7-13-1017 130 нм 2003 Продленный уч-кобл. стока 50-100 26-52 52-104 40 3,8 <з-ю-7 10% 3-1018 1-21018 100 нм 2006 Приподнятые обл. истока/ стока 40-80 20-40 20-40 46-70 2 < 2 -107 10% 4-Ю18 2-3-1018 70 нм 2009 Приподнятая область стока 15-30 15-30 7,5-15 Новая структура 2 <8-10-8 10% 8-Ю18 >3,5-1018 50 нм 2012 Приподнятая область стока 10-20 10-20 5-10 Новая структура 2 <з- ю-8 10% 141019 >7-1018 достигает половины его толщины, то поверхностное сопротивление оставшейся части диффузионного слоя увеличится почти в 10 раз, а концентрация примеси на границе с силицидом уменьшается до пяти раз. Выражение для удельного контактного сопротивления омических контактов рсо имеет вид [20, 21]: р„«р-ехр 471Л/£5/ • m Q Фа (2.18) где Ns - концентрация примеси у поверхности контактируемой области, срь — высота барьера между полупроводником и металлом (или силицидом), cSi — диэлектрическая постоянная кремния, т* — эффективная масса носителей. Расчетная картина зависимости удельного контактного сопротивления от поверхностной концентрации примеси и высоты барьера фь представлена на рис. 2.10 [20, 21]. Для высоты барьера, равного 1/2 ширины запрещенной зоны кремния, при поверхностной концентрации электрически активной примеси 2-1020 см3 — минимально
им 02 Глава 2. Принципы и ограничения масштабирования МОПТ о X К о, К В К о о L NMOn-структуры 1000 Ь- 100 Ь 10 Общее сопротивление^ \Rco=10-6Om/cm2 250 180 130 100 70 50 Минимальный размер (нм) достижимая величина удельного контактного сопротивления равна 110 7 Ом • см2. Значения компонент последовательного сопротивления МОПТ в зависимости от параметров физической структуры, представлены в табл. 2.3. для ряда поколений. Технологии приведены на рис. 2.11. Таким образом, значения трех из четырех компонент снижаются при уменьшении размеров МОПТ. Из этих трех компонент к Рис. 2.11. Зависимости составляющих последовательного сопротивления «-МОПТ (Rsd, RshWD, /?spl, Rco и RTOT) от минимального топологического сопротивление растекания „Лр1 размера технологических поколений приборных имеет наибольший вес. Четвер- структур при рсо = 10 6 Ом-см2 тая компонента - сопротивление контакта Rco (в предположении рсо =10"6 Ом-см2) — доминирует в приборах глубокосубмикронного диапазона. На рис. 2.12 для различных поколений технологии представлены сопротивления МОПТ в линейном и насыщенном режимах и общее паразитное сопротивление для значений удельного контактного сопротивления рсо от Ю-6 до Ю-9 Ом • см2. При уменьшении размеров приборов сопротивление канала (на 1 мкм) снижается, однако, если удельное контактное сопротивление Рис.2.12. Зависимости общего последовательного слишком высокое, общее пара- сопротивления (RT0T) для «-МОПТ от минималь- зитное сопротивление возрастает ного размера (Lmin) последующих технологических и может доминировать в общем поколений приборных структур при различных сопротивлении прибора. Таким величинах контактного удельного сопротивления образом, для того чтобы пара- Рсо. Приведены также для сравнения зависимости 3итное сопротивление не превы- «внутреннего» сопротивления МОПТ (Rch - VDD/IJ щало {Q% сопротивления канала от Д. (пунктирные кривые) А я ^^^ МОПТ, удельное контактное сопротивление должно снижаться при переходе к каждому последующему поколению приборов. В этой связи следует выделить два метода снижения последовательного и контактного сопротивления МОПТ, причем оба метода исключают деградацию контактного сопротивления, связанную с потреблением кремния при образовании силицида. В первом методе легирование сток-истоковых областей осуществляется с использованием силицида в качестве источника примеси, а во Оммкм) Сопротивление • ширина ( о о о о - Ill 11 1 1 1 1 1 "";-..^ N МОП-структуры R -в режиме* *" *• „ рс = 10-* Ом/см2^^"-**-1^-.. /___^^—•" ^ рс = 10-7 Ом/см2 - ^ ^^^*^*^>^Рс = 10~8 Ом/см2 R -в линейной ** ** «* „. Рс = 10"4 Ом/см2 1|П области **». „ 1 . . . . . 250 180 130 100 70 50 Минимальный размер (нм)
2.5. Компромисс между быстродействием, напряжением питания и надежностью втором — применяют моно- или поликристаллические электроды, формируемые на сток-истоковых областях (так называемые «приподнятые» сток-истоковые области). 2.5. Компромисс между быстродействием, напряжением питания и надежностью Рис. 2.13 иллюстрирует эффект влияния ограничений, обусловленных воздействием горячих носителей, на выбор оптимального значения напряжения питания в случае 0,25-мкм КМОП-структур [6]. Под оптимальным понимают значение напряжения питания, при котором время задержки распространения сигнала вентилем tpdимеет минимальное значение. Величина /^пропорциональна соотношению CL • Vd/IdsaV где CL — суммарная емкость нагрузки, затвора и /ья-переходов, a Idsat определяется выражением (2.19) (приведенным в § 1.11): IdM = K(Rs)-L^-^(Vg-V,f (2.19) I со S 200 ас U 100 т 1 1 г" ЗАПАС ПО НАДЕЖНОСТИ , У_...-У_ = 0.9В, На рис. 2.13 представлены зависимости среднего времени задержки (tD) вентиля от напряжения питания при различных запасах по надежности, определяемых величиной VDDmax — VDD в качестве параметра. При получении этой зависимости использована экспериментально установленная в работе [22] взаимосвязь между максимально допустимым напряжением питания (VDDmax) и последовательным сопротивлением МОПТ. Как видно, в случае постоянного запаса по надежности , обусловленной горячими носителями, существует оптимальная величина напряжения питания, при превышении которой время задержки КМОП-вентиля начинает увеличиваться из- за последовательного сопротивления сток-истоковых областей. Использование LDD-структуры обеспечивает снижение эффекта горячих носителей при повышении величины напряжения питания [23], но в то же время приводит к увеличению времени задержки из-за увели- NAND-ВЕНТИЛЬ FI = FO = 3 W/L=15mkm/0,25mkm С^ = 200фФ _1_ _1_ 1 2 3 Напряжение питания (В) Рис.2.13. Зависимости средней величины задержки (приходящейся на один вентиль) от напряжения питания для КМОП-структур с L = 0,25 мкм при различных значениях запаса по надежности (VDDmax — VDD), чения последовательного со- выступающего в качестве параметра. Представленная противления МОПТ. Поэтому с помощью линий, соответствующих одинаковым надлежащий выбор напря- величинам последовательного сопротивления (2R), жения питания и профилей ситуация иллюстрирует необходимость нахождения распределения концентрации компромисса между быстродействием и степенью на- дежности легирующих примесей в сток-
W\ 04 Глава 2. Принципы и ограничения масштабирования МОПТ истоковых областях позволяет обеспечить компромисс между приборными характеристиками и надежностью. Таким образом, деградация, обусловленная воздействием горячих носителей, диктует необходимость использования оптимальных величин напряжения питания для обеспечения высокого быстродействия логических схем. За пределами этих оптимальных значений VDD приборные характеристики деградируют из-за наличия избыточного дополнительного сопротивления областей истока и стока, которое в то же время необходимо для обеспечения надежности. В случае 0,25- мкм приборных структур оптимальная величина напряжения питания составляет 2,5 В и с дальнейшим уменьшением длины канала снижается. Однако из рис. 2.13 ясно видно, что можно использовать более малые значения VDD при допустимом уменьшении быстродействия, получая при этом возможность значительного снижения рассеиваемой мощности. 2.6. Два сценария масштабирования С учетом сказанного и принимая во внимание другие факторы, были предложены следующие сценарии дальнейшего масштабирования напряжения питания для КМОП-структур, используемых в логических схемах. На рис. 2.14 представлены графики латеральной составляю- 16 —1 1 1 1—i—п—гт ,(1.5) (в скобках даны величины напряжения питания в вольтах) Сценарий достижения высоких приборных характеристик щей напряженности электрического поля как функции длины канала. При этом в качестве меры напряженности электрического поля принималась величина, получаемая в результате деления напряжения питания на длину канала в соответствующих КМОП-структурах [6]. Зависимости, представленные на рис. 2.14, отвечают следующим сценариям масштабирования. 1. Верхняя кривая на рис. 2.14 соответствует сценарию, обеспечивающему достижение высоких приборных характеристик масштабируемых МОПТ, когда напряжение питания для каждого случая выбранной длины канала было оптимизировано с целью получения максимального быстродействия и необходимой надежности МОПТ. При этой процедуре опти- Рис.2.14. Зависимости усредненной величины мизации учитывались 20%-ный напряженности электрического поля вдоль ка- технологический допуск на длину нала (KDD/I) от длины канала (L) при различных затвора и выбор достаточно боль- сценариях масштабирования шого порогового напряжения, что- (2.5) (3в5 ^ Сценарий сохранения постоянства напряженности электрического поля I I I I I 1—1 I—L 0.1 0.2 0.3 0.4 0.5 0.7 Длина канала (L, мкм) 1.0
2.6. Два сценария масштабирования бы обеспечить приемлемую величину тока утечки в выключенном состоянии (70#) при минимальной длине канала. Кроме того, толщина подзатворного окисного слоя и концентрационные профили распределения легирующих примесей оптимизируются для снижения тока утечки /0#и получения большого тока стока в рабочем режиме (IdtSat) - без значительного увеличения GIDL-тока и туннельного тока через подзатворный окисный слой. 2. Средняя кривая на рис. 2.14 соответствует сценарию, который предусматривает уменьшение напряжения питания по сравнению с предыдущим сценарием при одинаковой длине канала. Он обеспечивает получение более низкого уровня рассеиваемой мощности единичного МОПТ. В то же время быстродействие — по сравнению с первым сценарием получения максимально высоких приборных характеристик — не должно уменьшаться более чем в 1,5 раза. Модифицирование приборной структуры и выбор порогового напряжения не должны при этом выводить ток утечки за допустимые границы. Эти обстоятельства более подробно обсуждаются в следующем подразделе. 3. Нижняя кривая (см. рис. 2.14) соответствует сценарию масштабирования, осуществляемому при условии постоянства напряженности электрического поля, и приводится для сравнения. В случае сценария, ориентированного на достижение высоких приборных характеристик (быстродействия, в первую очередь), средняя величина латеральной составляющей напряженности электрического поля 0,25-мкм КМОП-структур с напряжением питания 2,5 В в два раза превышает напряженность в 1-мкм КМОП- структуре при VDD = 5 В. Такая большая величина напряженности электрического поля (-10 В/мкм) может быть вполне допустима, если она не вызывает чрезмерного снижения надежности (см. рис. 2.13). Усредненная величина напряженности электрического поля возрастает и далее по мере масштабирования длины канала до 0,15 -*• 0,1 мкм, с соответствующим уменьшением напряжения питания до 1,8 ч-1,5 В, соответственно. Для 0,15-мкм КМОП-технологии было экспериментально показано [24], что деградация я-МОПТ под воздействием горячих носителей удерживается в приемлемых границах, соответствующих 10-летнему сроку сохранения работоспособности, при минимальной длине канала 0,1 мкм и напряжении питания 1,8 В. При этом было установлено, что приборные характеристики улучшаются в 1,5 раза по сравнению с 0,25-мкм КМОП-технологией. Для 0,1-мкм КМОП-структур, масштабируемых по первому сценарию, было выбрано напряжение питания 1,5 В, что позволило достичь двукратного улучшения приборных характеристик по сравнению с 0,25-мкм КМОП-структурами [25]. Это улучшение ограничено минимально допустимым пороговым напряжением, соответствующим максимальному току транзистора в выключенном состоянии 10р Толщина подзатворного окисного слоя для случаев 0,15-мкм и 0,1-мкм КМОП-технологий составляет 5 и 3,5 нм, соответственно. Было показано [26], что плотность туннельного тока в 3,5-нм подзатворном окисном слое при VDD =1,5 В составляет приемлемую величину для большинства типов ИС — 10~13А/мкм2 (102 мА/см2), а GIDL-ток (индуцируемый затвором ток утечки стока) пренебрежимо мал по сравнению с током /0#и поэтому не может быть ограничивающим фактором при проектировании КМОП-структур [26].
106 Глава 2. Принципы и ограничения масштабирования МОПТ 2.7. Компромисс между приборными характеристиками и рассеиваемой мощностью. Проблема немасштабируемости порогового напряжения Выражение для мощности, рассеиваемой КМОП-схемами, имеет вид: P=KCLVJvfUeaVBD, где К— коэффициент переключения, CL ю-3 ю-4 ю-5 ю-6 ю-7 ю-8 ю-9 Ю-ю 10" ю-12 0.25 мкм "^ % ч 150 мВ 250 мВ 580 мВ" "-■.*Йг350мВ 500 мВ /'■J*>/ 480 мВ 350-580 мВ -Н 1 1 1 1 0.0 0.05 0.10 0.15 0.20 0.25 0.30 Lch (мкм) Рис. 2.15. Зависимости тока утечки в выключенном состоянии (F0FF) от длины канала (Lch) при различных пороговых напряжениях PQ ю2 ю1 10° ю-1 sio-2 ilO-з | ю-4 |ю-5 о X 3 о Активный режим 386 486DX CPU Процессор Pentium (R) II (2.20) общая емкость нагрузки,/— тактовая частота, Ioff— ток утечки в выключенном состоянии и VDD — напряжение питания. Первый член представляет рассеиваемую мощность в активном режиме, а второй — в холостом режиме. При масштабированиии КМОП- приборов напряжение питания также уменьшают, чтобы обеспечить требуемые уровни надежности и рассеиваемой в активном режиме мощности. При этом возникает необходимость масштабирования порогового напряжения (V), чтобы по возможности сохранить эффективное напряжение на затворе и тем самым обеспечить требуемый уровень тока и скорости переключения КМОП-схем. Однако уменьшение порогового напряжения может приводить к существенному возрастанию /0#и мощности, рассеиваемой в холостом режиме [27], что видно из выражения ц^-^ Холостой режим |- (Температура прибора Т = 110 °С) i i i i i Ыà U - У Г KAexp(-qVAlkT), (2.21) где 10 — подпороговый ток при напряжении на затворе Vp a V* — наихудшее значение порогового напряжения с учетом максимального сдвига из-за короткоканального эффекта и температуры. Зависимость подпорогового тока утечки от эффективной длины канала для МОПТ, изготовленного Рис.2.16. Иллюстрация тенденций изменения по 0,25-мкм технологии с различ- рассеиваемой мощности ИС фирмы Intel в ак- ными пороговыми напряжения- тивном и холостом режимах работы в зависи- ми? представлена на рис. 2.15 [28]. мости от минимального топологического раз- фактическое соотношение между мера при переходе к последующим поколениям л л л *V K F J мощностью, рассеиваемой в ак- приборных структур тивном и стационарном режимах 1.0 0.8 0.6 .35 .25 Минимальный размер (мкм)
2.7. Компромисс между приборными характеристиками и рассеиваемой мощностью. Проблема немасштабируемости порогового напряжения 6 5 § 4 >: 1 Q О > 2 1 0 V TDD ■ В—чН - t \ - <vDD-v,) «\ ' | V XI ■"--■——-—-J 1 1 1 1 1 1 ■ 1.4 1.0 0.8 0.6 .35 .25 .18 Минимальный размер (мкм) приборами фирмы Intel, иллюстрируется рис. 2.16. При этом, если мощность, рассеиваемая в стационарном режиме Ьмкм приборами, составляет 0,01% от мощности активного режима, то для 0,1-мкм приборов эта доля достигает уже 10%. Для ограничения роста мощности, рассеиваемой в стационарном режиме, необходимо оптимизировать величину порогового напряжения, которое для поддержания тока подпорого- вой утечки в приемлемых границах (< 109 А/мкм) уменьшать ниже 0,25 В недопустимо (см. рис. 2.15). Следствиемуказанныхограни- чений в масштабировании порогового напряжения является снижение эффективного напряжения на затворе (рис. 2.17). Из выражения (2.19) с учетом общего соотношения (2.5) между (V — Vj) и Kd sat следует, что для МОПТ с заданными параметрами физической структуры (Rsd, Lefp Тохед) уменьшение максимально достижимого эффективного напряжения на затворе (наряду со снижением Vdsat) приводит к уменьшению тока стока и, соответственно, к ухудшению быстродействия. Поэтому при масштабировании МОПТ (по сценарию, обеспечивающему высокое быстродействие) используется общее правило, по которому отношение VDD/Vt должно быть приблизительно равно 4. Это означает, что из общего перепада напряжения на затворе Рис.2.17. Тенденции изменения напряжения питания (VDD) и порогового напряжения (V) при уменьшении минимального топологического размера различных технологических поколений приборных структур МОПТ ^ одна доля этого напряжения, равная стора, а другая доля, 3 Vt — для индуцирования канала с соответствующей плотностью носителей. На рис. 2.18 представлена тенденция изменения отношения Кдд/^дляуже реализованных и перспективных изделий фирмы Intel [28]. Как видно, начиная с длины затвора Lg = 0,25 мкм, величина отношения VDD/Vt падает ниже оптимального значения. Закономерным следствием такого выбора VDD/Vt является увеличение тока подпо- роговой утечки и мощности, рассеиваемой прибором в вы Vp используется для выключения транзи- У / Технологическая тенденция фирмы V Intel 6 4 t 0 \ Уровень, соответствующий \ правилу: *, 2 -J- Vt < (1/4)VDD Проектируемое поколение с L, = 0,07 мкм 2.1 1.6 1.2 .70 .50 .35 .22 .15 .10 .07 L (мкм) Рис.2.18. Изменения величины отношения напряжения питания к пороговому напряжению (VDD/V) при уменьшении длины затвора (L ) после перехода к каж- ключенном состоянии Эта домУ последующему поколению МОПТ фирмы Intel
108 Глава 2. Принципы и ограничения масштабирования МОПТ Я 400 CD О С Л НТИЛ <и > 300 о* ч СТЗ го 0? ж л | 200 к s ° Ж i i 1/ \/ / / vdd=i.3b/ / ' И.5В/ >/_ >Х >/ \щ/ ^ <s .^^ ^^^ / ^/>^^2.5В •zf^ i i i i 0,2 0,3 0,4 0,5 0, 6 Номинальное пороговое напряжение (В) Рис.2.19. Зависимости задержки, приходящейся на 0,25-мкм КМОП-вентиль типа NAND, от порогового напряжения (V) при различных напряжениях питания (VDD) проблема преодолевается использованием методов проектирования СБИС, содержащих МОПТ с различным пороговым напряжением. В критических путях распространения сигнала таких СБИС используются быстродействующие приборы с повышенной подпороговой утечкой и минимально допустимым значением Vr В остальных цепях СБИС используются МОПТ с меньшим быстродействием, но низким уровнем тока подпороговой утечки и, соответственно, с высоким пороговым напряжением. Результаты моделирования влияния порогового напряжения на быстродействие (время задержки) 0,25-мкм КМОП-схем при различных значениях напряжения питания представлены на рис. 2.19 [29]. Можно видеть, что по мере уменьшения напряжения питания быстродействие существенно снижается, и тем больше, чем выше пороговое напряжение. При этом величина задержки становится более чувствительной к разбросу величин Vt. Поэтому, с точки зрения получения лучшего быстродействия, по мере уменьшения напряжения питания (VDD) необходимо уменьшать величину и технологический разброс порогового напряжения. Другим критическим обстоятельством при выборе порогово- Рис.2.20. Зависимости относительной вели- го напряжения, обеспечивающего чины плотности рассеиваемой мощности от оптимальные значения времени за- длины канала (LJ для различных сценариев держки и рассеиваемой мощности, масштабирования является спад порогового напряжения по мере уменьшения длины канала ниже определенной величины (для данной технологии). Для уменьшения подпорогового тока, а следовательно и потребляемой мощности транзистора в выключенном состоянии, необходимо использовать более высокие величины «номинального» порогового напряжения Vt, что, однако, приводит к ухудшению приборных характеристик (см. рис. 2.19). До некоторой степени проявление ко- роткоканального эффекта, как этого и следовало ожидать, ослабляется в масшта- 1 Ь 1 1 1 (48) Г \12В 1.0В г Q г" 1 '1 | | 1 | | ' Ч 1 (в скобках указана относительная плотность размещения элементов) А (25) Высокие V}i.5B характеристики \ (12.8) \l.8B °\ (6.3) NO 2.5В J \v (2.5) 1 ^v^;3B (l.o) ,1.0В ^ЧГ1 Ь 1;2В 1.5В 2.5В .....-^ 1 мощность 1 1 1 ll i I I I I I I Ill .1 .2 .5 Длина канала (мкм)
2.8. Плотность рассеиваемой мощности в масштабируемых приборах бированных приборах благодаря уменьшению толщины подзатворного окисла и увеличению уровня легирования подложки. 2.8. Плотность рассеиваемой мощности в масштабируемых приборах Как следует из обобщенной теории масштабирования, плотность рассеиваемой мощности в активном режиме должна значительно увеличиваться для приборных структур, масштабируемых по сценарию, отвечающему достижению высоких приборных характеристик (см. рис. 2.14), поскольку в этом случае возрастает напряженность продольного электрического поля. Относительная плотность рассеиваемой мощности в активном режиме как функция длины канала для ряда масштабированных КМОП-технологий показана на рис. 2.20, относительная плотность компоновки для каждого технологического поколения приборов приводится в скобках. Верхняя кривая на рис. 2.20 соответствует сценарию, ориентированному на достижение наивысших приборных характеристик. В этом случае можно видеть, Табл. 2.4. [30]. Изменение напряжения питания, основных геометрических параметров и приборных характеристик МОПТ за период 1989—2004 гг. 1 .Напряжение питания (В) А В 2. Разрешающая способность литографии (мкм) в общем случае для короткоканальных приборов 3. Длина канала (мкм) 4. Толщина подзатворного диэлектрика (нм) 5. Относительная плотность компоновки 6. Относительное быстродействие А В 7. Относительная мощность, потребляемая на 1 функцию А В 8. Относительная плотность рассеиваемой мощности А В 1989 г. 5 1,25 0,9 23 1,0 1,0 1,0 1,0 1992 г. 5/3,3 3,3/2,5 0,8 0,6 0,6/0,45 15/12 2,5 1,4/2,0 1,0/1,6 0,9/0,55 0,27/0,25 2,25/1,38 0,7/0,63 1995 г. 3,3/2,5 2,5/1,5 0,5 0,35 0,35/0,25 9/7 6,3 2,7/3,4 2,0/2,4 0,47/0,34 0,20/0,09 3,0/2,1 1,25/0,6 1998 г. 2,5/1,8 1,5/1,2 0,35 0,25 0,2/0,15 6/5 12,8 4,2/5,1 3,2/3,5 0,29/0,18 3,7/2,34 1,02/0,72 2001 г. 1,5 1,0 0,25 0,18 0,1 3,5 25 7,2 4,5 0,12 0,036 3,12 0,90 2004 г. 1Д 1,0 0,18 0,13 0,07 2,5 48 9,6 7,2 0,077 0,041 3,70 1,97 Примечание. А — схемы с высокими характериситками; В — схемы с малой рассеиваемой мощностью.
I 10 Глава 2. Принципы и ограничения масштабирования МОПТ что несмотря на постоянное уменьшение напряжения питания, плотность рассеиваемой мощности значительно увеличивается благодаря увеличению числа приборных структур, приходящихся на единицу площади. В случае выбора сценария, ориентированного на получение приборных структур, рассеивающих малую мощность (нижняя кривая на рис. 2.20), напряжение питания уменьшается быстрее, однако при этом улучшение приборных характеристик замедляется (табл. 2.4). Этот сценарий является наиболее предпочтительным в тех случаях, когда сочетание низкого уровня рассеиваемой мощности с малым значением произведения «мощность на задержку» представляет наивысший приоритет. Так, например, если сравнить параметры КМОП-структур, работающих при VDD = 1,5 В, с параметрами 0,25-мкм КМОП-структур при VDD = 2,5 В, видно, что достигается более чем 3,5-кратный выигрыш, связанный с уменьшением рассеиваемой мощности (см. рис. 2.20), и при этом приборные характеристики ухудшаются всего лишь на 30% (см. рис. 2.19, К, = 0,3 В). В случае сценария, ориентированного на достижение минимальной рассеиваемой мощности, можно видеть, что плотность рассеиваемой мощности, при переходе к последующим поколениям приборных структур, по сравнению с 1-мкм КМОП-технологией уменьшается до тех пор, пока не будет достигнут уровень, соответствующий VDD = 1,5 В (см. рис. 2.20). Это обстоятельство обусловлено тем, что напряженность электрического поля существенно не возрастает (см. рис. 2.14), в то время как определяющие плотность размещения элементов СБИС размеры межсоединений масштабируются менее интенсивно, нежели длина канала. Однако после достижения «точки» с L = 0,25 мкм при VDD = 1,5 В относительная плотность рассеиваемой мощности начинает возрастать (см. рис. 2.20) из-за роста напряженности электрического поля в канале (см. рис. 2.14), поскольку минимальное значение Vt накладывает ограничение на степень уменьшения величины VDD ниже 1 В. 2.9. Перспективы масштабирования КМОП СБИС Рассмотренные стратегии оптимизации процесса масштабирования и связанные с ними ограничения приводят к результатам, представленным в табл. 2.4, где наряду с фактически достигнутым уровнем производства 1994 г. приведены планируемые показатели до 2005 г. [30]. В 1997 г. на основе достигнутых результатов и данных о состоянии полупроводникового производства [31] был представлен прогноз развития до 2012 г. (см. табл. 2.3). Величины, представленные в табл. 2.3, основаны на экстраполяции данных за предшествующий период, хотя по некоторым позициям конкретные пути реализации до конца не определены и в ряде случаев потребуются новые идеи. Ключевыми параметрами МОПТ, определяющими темп развития полупроводникового производства, являются разрешающая способность литографии, длина канала, толщина подзатворного диэлектрика и напряжение питания. Формирование затвора осуществляется с предельной разрешающей способностью литографии, а минимальные топологические размеры межсоединений соответствуют так называемому общему литографическому разрешению.
2.10. Структура приборов и технология ее реализации Для приборов с длиной канала, отвечающей минимально допустимому литографическому размеру, главной проблемой является обеспечение минимально допустимого тока утечки. Поэтому критическим параметром технологии оказывается допустимое отклонение длины канала от заданного значения, обычно не превышающее ±15% от номинального, что приводит к необходимости обеспечения допуска на размер затвора не выше ±10% от номинала. Этот допуск является ключевым параметром технологии изготовления КМОП-структур с высокими характеристиками, поскольку он непосредственно влияет на приборные характеристики при заданных требованиях к току утечки. 2.10. Структура приборов и технология ее реализации 2.10.1. Краткий исторический экскурс развития КМОП-технологии Удивительно, что серийное промышленное производство КМОП-ИС характеризуется базовой структурой, остававшейся неизменной на протяжении всего почти 40-летнего периода развития КМОП-технологии. Действительно, при масштабировании КМОП-структур до 0,1-мкм длины канала огромное количество технологических инноваций практически никак не сказалось на базовой конструкции приборной КМОП-структуры. В табл. 2.5 [2] отмечены основные вехи усовершенствования структуры и технологии КМОП-ИС. Базовая технология, основанная на использовании самосовмещенного поликремниевого затвора в МОПТ-структуре, была разработана в 1970 г., а в начале 1970-х годов стала широко использоваться технология ионной имплантации для формирования областей истока/стока, которая вскоре стала применяться и для создания области канала. К концу 1970-х годов появилась стандартная КМОП- технология, использующая силицированные самосовмещенные поликремниевые затворы. В начале 1970-х годов были разработаны и критерии масштабирования для МОПТ [4], на основании которых в дальнейшем осуществлялось проектирование новых поколений МОПТ [73]. Одним из главных нововведений, отличающих субмикронное поколение МОПТ от их предшествующих приборных структур, явилось использование пристеночного спейсера, формирование которого стало возможным с помощью реактивного ионного травления. Другим важным нововведением можно считать применение техники самосовмещенного формирования силицидных слоев на сток-истоковых областях и затворе, которая была внедрена в середине 1980-х годов. К началу 1990-х годов появилось много других технологических и структурных модификаций. Среди них следует упомянуть методы латерального и вертикального легирования области канала с целью снижения короткоканальных эффектов; методы формирования сток-истоковых областей, обеспечивающие уменьшение последовательного сопротивления; методы формирования подзатворного диэлектрика, направленные на предотвращение проникновения через него легирующей примеси из затвора; использование слоев с повышенным значением диэлектрической проницаемости и повышение стойкости к воздействию горячих носителей; методы формирования поликремниевого затвора, направленные на снижение латеральной диффузии
I 12 Глава 2. Принципы и ограничения масштабирования МОПТ Табл. 2.5. Основные вехи развития КМОП-технологии (за исключением КНД-структур) Год 1960 1965 1966 1968 1969 1970 1971 1979 1980 1982 1982 1983 1985 1986 1986 16.1957 Технология МОПТ 64-бит МОПТ-СОЗУ Поликремниевый самосовмещенный затвор Однотранзисторная ячейка памяти Ионо-имплантированный канал КМОП-кристалл для часов Микропроцессор 4064 ф. Intel Силицидизированный поликремниевый затвор Пристеночный спейсер для имплантации областей истока/стока Самосовмещенное силицидизирование (салицидизация) Щелевая изоляция Оксинитридный подзатворный диэлектрик Легированные гало-области истока/стока п+ и /?+-поликремниевые затворы Ретроградно-легированный канал 0,1-мкм МОПТ Длина канала L, мкм - - - - - - 10 1 1,5 — - - 0,2 0,5 0,5 0,1 Уровень реализации Лаборатория «—» «-» «—» «—» Заводское производство «—» IEDM «—» «—» «—» Лаборатория «—» IEDM «-» «—» Литература [32] [32] [33] [34] [33] [32] [35] [36] [37] [38] [38] [39] [40] [41,42] [41] [43] легирующих примесей и оптимальное распределение примеси в затворе, обеспечивающие уменьшение эффективной толщины подзатворного диэлектрика. Что касается роли спейсера, он претерпел эволюционное изменение. Выполняя роль самосовмещенной маски при имплантации ионов в сток-истоковые области и при салидиза- ции этих областей в глубокосубмикронной технологии, спейсер является элементом, определяющим последовательное сопротивление МОПТ, короткоканальный эффект и эффект горячих носителей. В 1987 г. был преодолен 100-нм барьер [43,44], а к 1997 г. появилось уже много публикаций [45-56], посвященных успешной реализации МОПТ с длиной затвора менее 0,1 мкм [57]. В 1999 г. появилось сообщение [58] о первой реализации МОПТ с длиной канала 20 нм. 2.10.2. Современное состояние КМОП-технологии В нескольких недавно опубликованных обзорных работах [59—62] приводятся характеристики современного состояния КМОП-технологии. На рис. 2.21 обозначены ключевые элементы конструкции субмикронных КМОП-структур [2]. Для каждого элемента физической структуры приведены основные требования. С целью достижения максимально высоких приборных характеристик «-канальные и р-канальные МОПТ изготавливают с поверхностным инверсионным каналом, управляемым соответствующими поликремниевыми затворами п- и /ьтипа. Сообщается, что для формирования затвора при необходимости
2.10. Структура приборов и технология ее реализации . СОСТАВНОЙ ЗАТВОР: - разные величины работы выхода для затворов п- или р- типов - низкое поверхностное сопротивление - отсутствие проникновения бора - жесткий контроль размеров ПОДЗАТВОРНЫЙ ДИЭЛЕКТРИК - очень тонкий для подавления коротко канальных эффектов и увеличения тока стока - ограничения; плотность дефектов, туннельный ток, надежность ДИЭЛЕКТРИЧЕСКИЙ СПЕЙСЕР карман Р-МОПТ STI -исток/сток -МЕЛКАЯ ЩЕЛЕВАЯ ИЗОЛЯЦИЯ - мелкая область исток/стока - ограниченные литографией размеры для подавления коротко- - независимость ширины от глубины канальных эффектов - малая электрическая емкость - отсутствие необходимости в сплошном окислении - оптимизация коннентрацион Р+ НЕОДНОРОДНО ЛЕГИРОВАННЫЙ КАНАЛ подавление короткока- нальных эффектов ных профилей для повышения гало-области для подавления надежности и улучшения спада Vt при уменьшении Lg характеристик - уменьшение емкости рп-пере- - низкое поверхностное со- ходов противление Рис.2.21. Схематичное сечение типичной КМОП-приборной структуры с упоминанием некоторых наиболее важных технологических аспектов используются специальные методы литографии для уменьшения его размера на 20—30% ниже минимальных проектных норм [2] (см. табл. 2.1). При этом эффективная длина канала приблизительно на 10—30% (в зависимости от конструкции прибора) меньше фактического размера затвора [2]. Для обеспечения максимального рабочего тока и быстродействия транзистора толщина подзатворного окисла уже достигла уровня < 5 нм (рис. 2.22). Заслуживает внимания то, что дальнейшее уменьшение толщины подзатворного окисла главным образом зависит от плотности его дефектов. Напряженность электрического поля в подзатворном окисле толщиной менее 5 нм не должна превышать 5 МВ/см. С учетом этого условия были получены данные для перспективного масштабирования физической структуры МОПТ, приведенные в табл.2.1. Начиная с 0,13-мкм технологии предполагается использовать подзатворные диэлектрики на основе новых материалов с более высоким, чем у двуокиси кремния, значением диэлектрической проницаемости для увеличения физической толщины Тох. При конструировании глубокосубмикронных МОПТ появились существенные дополнения требований как к сток-истоковым контактным областям, так и к SDE-областям. Так, для глубоких сток-истоковых областей в связи с ограничением термического бюджета постимплантационного отжига трудно выполнять требование снижения тока утечки /?-я-переходов с глубиной залегания менее 0,1 мкм, в связи с чем следует рассмотреть целесообразность перехода к применению приподнятых сток-истоковых областей. К проблемам мелких SDE-сток-истоковых областей, помимо обеспечения надежности, обусловленной горячими носителями, и уменьшения короткоканальных эффектов, относится снижение последовательного сопротивления МОПТ. В этой связи нужно, чтобы распределение концентрации примеси в SDE-областях характеризовалось крутым фронтом, а
щ\ 14 Глава 2. Принципы и ограничения масштабирования МОПТ S X о Н 6 5 4 3 2 1 0 к " ^V "Г^^Шк новые ^""'^^few. - материалы ^^^^ 1997 1999 01 03 06 09 2012 .25 .18 .15 .13 .10 .07 .05 Уровень технологии (Lmin, mkm) при поверхностной концентрации примеси ее величина достигала значений 1019-1020см-3 [2, 28]. Важным фактором, способствующим уменьшению длины канала, является оптимальное проектирование концентрационного профиля распределения легирующих примесей в области канала. Использование ретроградного концентрационного профиля легирования позволяет существенно снизить значение поперечной составляющей напряженности Рис.2.22. Графическое представление эволюции электрического поля в канале (что толщины подзатворного окисного слоя Тох на пе- улучшает подвижность носите - риод с 1997 по 2012 г. лей), а также ослабить проявление короткоканальных эффектов. Применение имплантированных гало-областей [59] также позволяет снизить спад порогового напряжения Vt при уменьшении длины канала при одновременном уменьшении подпорогового 5-фактора. 2.10.3. Проблемы масштабирования глубокосубмикронных МОПТ Масштабирование глубокосубмикронных МОПТ осложняется целым рядом проблем, о которых уже упоминалось выше. В табл. 2.6, 2.7, 2.8 [30, 31, 69] многие из этих проблем обозначены, но к настоящему времени не найдены общепризнанные пути их решения для серийного производства. В данном разделе освещаются некоторые ключевые проблемы, касающиеся приборных структур глубокосубми- кронного диапазона. В соответствии с принципами масштабирования (рис. 2.23), основными критическими размерами МОПТ являются: длина затвора (Lg), толщина подзатворного окисного слоя (Тох), глубина области обеднения под затвором (Xdep), глубина расположения /?-я-переходов областей истока/стока {X) и крутизна концентра- Табл. 2.6. [31.69]. Проблемы уменьшения размеров МОПТ в суб-0,1-микронном диапазоне Масштабируемый параметр Lg — длина затвора Х- — глубина залегания /?-я-перехода Гох — толщина подзатворнрго окисла Nsub — концентрация примеси в подложке VDD — напряжение питания Vt — пороговое напряжение Значение параметра 0,1 мкм 40 нм 3 нм 1018см3 1,2 В 0,4 В Ограничивающий фактор для дальнейшего уменьшения размеров Затраты на литографию Сопротивление диффузионного слоя Туннельный ток окисла Туннельный ток /?-я-переходов Нижний предел порогового напряжения Подпороговая утечка
2.10. Структура приборов и технология ее реализации к Т„ х, Nsub V | vDD 0,1 мкм 0,1 мкм 3 нм 40 нм 1018 см-3 1,5В -> 2/5 1 1/4 1 1 40 нм 40 нм 3 нм 10 нм 1018 см-3 1,5 В , „ Таблица 2.7. [31, 69]. Масштабирование МОГТТ с L = 40 нм ционных профилей * областей истока/стока на границе с областью канала. Все эти величины должны масштабироваться согласованно. Длина затвора является наименьшим характеристическим размером элементов МОГТТ, получаемым в результате проведения операции литографии и травления. Оптическая литография была способна уменьшать минимальный характеристический размер при переходе к последующим поколениям приборов, главным образом в результате уменьшения длины волны светового излучения [63]. Использование светового излучения с длиной волны менее 193 нм для обеспечения процесса литографии затвора приборных структур с Lg = 0,1 мкм представляет значительные трудности, среди которых основная — создание соответствующих оптических систем. В настоящее время ведутся дебаты относительно того, по какому пути пойдет дальнейшее развитие литографии: с помощью рентгеновской литографии, литографии в диапазоне глубокого ультрафиолета или электронно-лучевой литографии. Уменьшение минимального размера элементов ниже предела литографического разрешения может быть достигнуто с помощью методов прецизионного травления или методов формирования размера с использованием спейсера. Однако эти, в основном экс- Таблица 2.8. [31, 69]. Масштабирование МОПТ с Тох= 1,5 нм h тш Xj Nsub у v DD 3 мкм 0,1 мкм 3 нм 40 нм 1018см-3 1,5В -> 0,7 1/2 3/4 1 1 1,5 нм 70 нм 1,5 нм 30 нм 1018см-3 1,5 В ИСХОДНАЯ СТРУКТУРА ПРИБОРА НАПРЯЖЕНИЕ^ I ЭЛЕКТРОРАЗВОДКА ЗАТВОР 1 \ I исток ) /\ \\_ 1 СТРУКТУРА ПРИБОРА ПОСЛЕ МАСШТАБИРОВАНИЯ -W- V/cc "1 1 i—л 1 W/cc п+ сток Vo v i_. ьЧ i \ / \ J / —*-| L/oc |^ концентрация а • N. р-ПОДЛОЖКА, КОНЦЕНТРАЦИЯ N Рис.2.23. Иллюстрация принципов масштабирования по сценарию сохранения постоянства напряженности электрического поля в МОПТ
I 16 Глава 2. Принципы и ограничения масштабирования МОПТ Ю6 hi i i i | i i i i Ю5 t N-МОПТ 1 ••••• эксперимент 10 Ь- моделироват I I I I | I I I I | I I ■ I | ■ I I I В 10А Напряжение на затворе (В) Рис.2.24. Расчетные (сплошные кривые) и экспериментальные (точки) зависимости плотности туннельного тока затвора от напряжения на затворе в режиме инверсии при различных толщинах подзатворного окисного слоя (10-35 А) [65] периментальные, методы еще не опробованы в условиях массового промышленного производства. Кроме того, эти методы, по-видимому, не смогут уменьшить шаг межсоединений СБИС. Как указывалось в табл. 2.2, толщина подзатворного изолирующего слоя должна уменьшаться пропорционально длине канала. Современные исследования эффекта туннелирования через тонкие слои Si02 [64—66] показали, что толщина слоя двуокиси кремния может быть уменьшена до величин, лишь немного менее 2 нм, после чего токи утечки и соответствующая рассеиваемая мощность становятся настолько большими, что дальнейшее уменьшение толщины оказывается невозможным (рис. 2.24). Помимо туннелирования между затвором и инверсионным слоем имеет место тунне- лирование между затвором и слоем обогащения на участках перекрытия затвором областей истока/стока. По мере уменьшения площади, занимаемой приборной структурой, последняя компонента туннельного тока может становиться весьма значительной [67] в основном благодаря тому, что она возникает всякий раз при подаче напряжения смещения на сток, даже если затвор остается отключенным. В случаях, когда эквивалентная (электрическая) толщина подзатворного окисла оказывается меньше 2 нм, использование более толстого подзатворного диэлектрика с большей величиной диэлектрической постоянной, чем у Si02, рассматривается в качестве реального пути уменьшения туннельного тока. Толщина этого слоя не может расти до слишком больших величин, не входя в противоречие с общей теорией масштабирования из-за двумерных эффектов, возникающих при использовании более толстых подзатворных диэлектрических слоев [68]. Кроме того, проблема надежности и обеспечение нужных свойств границы раздела диэлектрик/полупроводник (Si) остается наиболее важной задачей в случае использования новых диэлектрических материалов. Толщина области обеднения под затвором и глубина расположения р-п- переходов истока и стока определяются режимами проведения процессов имплантации ионов легирующих примесей, а также диффузионным «размытием» имплантированных областей при последующих термических операциях. Переход от одного поколения приборов к другому в будущем всегда будет требовать формирования более крутых концентрационных профилей. В случае суб-50-нм длины канала, очевидно, потребуются профили с перепадом концентраций более чем на порядок на расстоянии 5 нм, т. е. < 5нм/декаду. Существующие в настоящее время методы по-
2.11. Масштабирование МОПТ в области суб-0,1-мкм размеров стимплантационного отжига не могут обеспечить формирование таких резких профилей. Другая проблема заключается в том, что создание очень тонкого слоя обеднения в будущем потребует высокой концентрации легирующей примеси в объеме подложки, вероятно, в районе ~5Т018 см3 для МОПТ с длиной канала Lc < 50 нм. При таких уровнях легирования прямой туннельный ток утечки между объемом (подложкой) и стоком, как ожидается, должен стать серьезной проблемой. 2.1 I. Масштабирование МОПТ в области суб-0,1-мкм размеров Для реализации суб-0,1-мкм размеров МОПТ необходимо будет модифицировать метод масштабирования, так как некоторые параметры физической структуры достигли предельных значений в приборах с Lg = 0,1 мкм и их дальнейшее изменение связано с большими трудностями экономического и технического характера. В табл. 2.6 перечислены основные проблемы уменьшения размеров МОПТ в суб-0,1-мкм диапазоне [31, 69]. В МОПТ с длиной затвора Lg = 0,1 мкм толщина подзатворного окисла уже достигла предела, определяемого током прямого туннелирования. Концентрация примеси в подложке достигла уровня 1018 см3. Если концентрацию увеличивать и дальше, то сток-истоковые/?-я-переходы будут иметь характеристики туннельных диодов и их изоляция от подложки нарушится. Пороговое напряжение транзистора достигло значений 0,3—0,4 В и дальнейшее его уменьшение приведет к значительному росту подпорогового тока утечки. Поэтому дальнейшее уменьшение порогового напряжения, а следовательно, и напряжения питания является проблематичным. 2.1 1.1. Масштабирование МОПТ с L = 40 нм Масштабирование МОПТ с Lg = 40 нм осуществляется таким образом, чтобы значения параметров Тох, Nsub и VDD оставались неизменными и равными значениям для МОПТ с Lg = 0,1 мкм (см. табл. 2.7) [31, 69]. Однако с целью подавления короткоканальных эффектов глубину залегания сток-истоковых /ья-переходов уменьшают до 10 нм. В [70] представлены экспериментальные результаты исследования подобного транзистора. 2.1 1.2. Масштабирование МОПТ с Тох = 1,5 нм Для улучшения характеристик МОПТ с ультрамалой длиной затвора исследовались различные методы. Одним из них является увеличение плотности тока в канале путем использования толщины подзатворного окисла ниже предела прямого туннелирования, равного 3 нм. В табл. 2.8 представлен метод масштабирования МОПТ с толщиной подзатворного окисла 1,5 нм [71].
I 18 Глава 2. Принципы и ограничения масштабирования МОПТ Для того, чтобы снизить сопротивление сток-истоковых областей в сравнении с предыдущим случаем, глубина залегания /?-я-перехода выбрана равной 30 нм; при этом короткоканальные эффекты допускают возможность использования длины затвора не менее 70 нм. В противоположность общему мнению, что ток прямого туннелирования отрицательно влияет на параметры транзистора, в работе [71] установлено, что при уменьшении длины затвора соотношение между туннельным и рабочим током МОПТ снижается до уровня, позволяющего пренебречь влиянием туннельного тока. Экспериментальные исследования [69] показали, что подобный транзистор с Тох — 1,5 нм обладает уникально высоким током стока 1,2 мА/мкм и крутизной 1020 мСм/мм. 2.11.3. Возможные пределы уменьшения размеров МОПТ Достаточно длительное время процесс уменьшения размеров МОПТ имел многообещающую перспективу. Однако уменьшение размеров приборов не может продолжаться бесконечно. Если предположить, что существующие тенденции уменьшения размеров сохранятся, то через несколько лет длина затвора достигнет длины волны электрона, а через 30 лет — межатомных расстояний [69]. Каков же практический предел уменьшения длины затвора? Необходимым условием масштабирования МОПТ является компромисс между подавлением короткока- нальных эффектов и увеличением тока стока и крутизны. Максимально возможное подавление короткоканальных эффектов было достигнуто в МОПТ с очень тонкими сток-истоковыми областями инверсионного типа, индуцированными по периметру затвора вторым поликремниевым затвором, как это изображено на рис. 2.25 [69]. Из-за высокого сопротивления инверсионных сток-истоковых областей ток стока был на 2—3 порядка ниже, чем в обычном транзисторе. Однако коротко- канальные эффекты были подавлены даже в МОПТ с длиной затвора 17 нм. Таким образом, подавление короткоканальных эффектов было достигнуто для транзисторов с длиной затвора от 49 до 17 нм. Возможность функционирования МОПТ с Lg = 25 нм и Xj — 10 нм продемонстрирована численным моделированием методом Монте-Карло [6, 72]. На рис. 2.26 представлена возможная физическая структура, использование которой позволит достичь предельно минимальных значений длины затвора [69]. В этой конструкции МОПТ используются «приподнятые» сток-истоковые электроды, сформированные локальной эпитаксией, для снижения последовательного сопротивления. Толщина диэлектрического спейсера должна быть достаточно малой, чтобы обеспечить контакт мелких сток-истоковых областей с каналом. При минимальной толщине спейсера 5 нм (превышающей предел прямого туннелирования) глубина залегания мелких сток- истоковых областей Xi должна быть больше 5 нм. При таких значениях Х} короткоканальные эффекты могут быть подавлены при длине затвора Lg = 25 нм. исток t Рис.2.25. Структура МОПТ с двумя затворами
ЛИТЕРАТУРА 119" В такой структуре глубина залегания р- «-переходов мелких сток-истоковых областей сравнима с толщиной инверсионного слоя в канале, которая в зависимости от напряжения смещения затвора составляет 1-ИО нм. Для исключения деградации тока стока из-за последовательного сопротив- РисЛ.26. Предполагаемая структура МОПТ при подхо- ления необходимо, чтобы зна- де к пределам масштабирования (/,тах > 1,5-2,0 мА/мкм; чение Xj было больше толщины vd = 1,5-0,3 В; fTmax = 200-250 ГГц) инверсионного слоя. Предполагая, что при ^=5нм сопротивление диффузионных слоев близко к сопротивлению канала, можно принять величину последовательного сопротивления сток-истоковых областей как 1/3 общего сопротивления транзистора с Lg = 25 нм. Таким образом, в рассматриваемой конструкции МОПТ ограничение тока стока и крутизны не превысит допустимых пределов при длине затвора Lg> 25 нм. Сообщение о создании экспериментального образца функционирующего я-канального МОПТ с подобной длиной затвора появилось в конце 1999 г. [58]. Поэтому значение Lg = 25 нм пока считается достигнутым пределом масштабирования. ЛИТЕРАТУРА 1. D. L. Critchlow, «MOSFET Scaling-The Driver of VLSI Technology», Proceedings of the IEEE, Vol.87, N0.4, April 1999, p. 659. 2. H.-C. P. Wong, D. J.Frank et al., «Nanoscale CMOS», Proceedings of the IEEE, Vol.87, No.4, April 1999, p. 537. 3. Chenming Hu, «Future CMOS Scaling and Reliability», Proc. IEEE, vol.81, No.5, May 1993. 4. R. Dennard, F. Gaensslen, L. Kuhn, and H. Yu, « Design of micron MOS switching devices,» presented at IEEE International Electron Devices Meeting (IEDM), Dec. 1972. 5. R. Dennard, «The starting point of an idea-The MOS scaling rule». Nikkei Microdevices, N. 91, pp. 139-40, Jan. 1993. 6. B. Davari, R. H. Dennard, and G. G. Shahidi, «CMOS scaling. The next ten years,» Proc. IEEE, vol. 83, p. 595, 1995. 7. Moore G.E., «Lithography and the future of Moore's law», Proc. SPIE, 1995, v. 2437, pp.2-17. 8. DJ. Frank, «Application and technology forecast» in Low Power Design in Deep Submi-cron Electronics, vol. 337, pp. 9—44, Netherlands, Kluwer. 9. J. E. Chung, M. С Jeng, J. E. Moon, P. К. Ко and C. Hu, «Performance and reliability design issues of deep submicron MOSFET,» IEEE Trans. Electron Devices, vol. 38, pp. 545—554, Mar. 1991. 10. P. Ко, «I(V) characteristics of submicron MOSFETs,» ch. 1 in Advanced MOS Device Physics, N. G. Einspruch, Ed, New York, Academic Press, 1989. 11. Chung J.E. et al., «Performance and Reliability Design Issues for Deep-Submicrometer MOSFETs», IEEE Trans, on Electron Devices, 1991, v.38, N3, .545-554. 12. T.Chan, J. Chen, P.K.Ko, C. Hu, «The impact of gate induced drain leakage current on MOSFET scaling», in IEDM Tech. Dig., p. 718, 1987. Idmax > 1.5-2.0 mA/mki спейсер исток t = 5 нм силицид ps < 1 Ом .п^идоднятый-^^эпи^! диффузионный слой поли-Si затвор иэлектри: и) J диэлек Vd=1.5-0.3B Lg = 0.025 мкм г, Х- ,Toxeff= 1.5 - 1.2 нм frmax > 200-250 ГГц Jb, N. =10|3см-2 T.'nv= 1-10 нм нелегированныи эпи-слой Т= 10-40 нм мелкий слой ps < 10 кОм xj = 5нм Ncamer = 101314 см2
120 Глава 2. Принципы и ограничения масштабирования МОПТ 13. С. Ни, S. Tarn, F.-C. Hsu, Р. К. Ко, Т. Y. Chan and К. W. Kyle, «Hot-electron induced MOSFET degradation—Model, monitor, improvement,» IEEE Trans. Electron Devices, vol. ED-32, pp. 375-385, Feb.1985. 14. K. Mayaram, J. Lee, T Y Chan and С. Ни, «An analytical perspective of LDD MOSFETs,» in Proc. IEEE Symp. VLSI TechnoL, May 1986, .pp. 61-62. 15. R. Moazzami, С. Ни, «Projecting gate oxide reliability and optimizing burn-in», IEEE Trans. Electron Devices, vol. 37, pp. 1643-1650, July 1990. 16. K.K. Ng, W.T Lynch. IEEE Trans.Electron Dev, v.33, N7, (1986), 965. 17. D.B. Scott, W.R. Hunter, H. Shichijo, IEEE Trans. Electron Devices v.29 (1982), 651. 18. D.B. Scott, R.A.Chapman, C.C. Wei, S.S. Mahant-Shette et al., IEEE Trans. Electron Dev. v.34 (1987), 562. 19a. CM. Osburn, J.YTsai, J.Sun, J. Electron. Mater., v.25(U) (1996), 1725. 196. CM. Osburn, K.R. Bellur, «Low parasitic resistance contaets for scaled ULSI devices», Thin Solid Films, 1998, v.332, pp. 428-436. 20. A.Y.C. Yu, Solid-State Electron. 13 (1970) 239. 21. CYFang, YK. Fang, Solid-State Electron, v.14 (1971), 541. 22. B. Davari et al., «A high performance 0.25 um CMOS technology» IEDM Tech. Dig., pp. 56- 59,1988. 23. S. Ogura, P. J. Tsang, W. W. Walker, D. L. Critchlow and J. F Shepard, «Design and characterization of the lightly doped drain (LDD) insulated gate field effect transistor», IEEE Trans. Electron Devices., vol. ED-27, pp. 1359-1367, Aug. 1980. 24. G. G. Shahidi et al., «A high performance 0.15 um CMOS» 1993 Symp. on VLSI Technology, Kyoto, Japan, pp . 93—94. 25. Y.Tauretal., «High performance 0.1 um CMOS devices with 1.5 Vpower supply», IEDM Tech. Dig., pp. 127-130, 1993. 26. Y.Mii et al., «High performance 0.1 um nMOSFET's with 10 ps/stage delay (85K) at 1.5 Vpower supply», 1993 Symp. on VLSI Tech., Kyoto, Japan, pp.91—92. 27. E.J. Nowak, «Ultimate CMOS ULSI performance», IEDM Tech. Dig., pp.115-118, 1993. 28. Thompsons., Packan P., BohrM., «MOS Scaling: Transistor Challenges for the 21 st Century», Intel Technology J., 1998, Q3, 1-19. 29. B. Davari, R.H. Dennard, G.G. Shahidi, «CMOS technology for low voltage/low power applications», Proc. IEEE, 1994 CICC, pp. 3-10. 30. Roadmap'94 (The National Technology Roadmap for Semiconductors - 1994), Semiconductor Industry Association (SIA), USA. 31. Roadmap'97 (The National Technology Roadmap for Semiconductors - 1997), Semiconductor Industry Association (SIA), USA. 32. Sah C, «Evolution of the MOS transistor -From conception to VLSI», Proc. IEEE, 1988, v.76, pp. 1280-1326. 33. Fair R.B., «History of some early developments in ion- implantation technology leading to silicon transistor manufacturing», Proc. IEEE, 1998, v. 86, N1, p.111. 34. Dennard R., «Field effect transistor memory», U.S. Patent 3387286, July 14, 1968. 35. Bondy P.,»Moore's law governs the silicon revolution», Proc.IEEE, 1998, v. 86, N1, p.78. 36. Crowder В., Zirinsky S., «Metal silicide interconnection technology- A future perspective», IEEE Trans. Electron Devices, 1979, v. 26, N1, p.369. 37. Ogura S. et al., «Elimination of hot electron gate current by lightly doped drain-source structure», Proc. IEDM, 1981, p.651.
ЛИТЕРАТУРА 121 38. Rund R., Momose H., Nagakubo Y, «Deep trench isolated CMOS devices», Proc. IEDM, 1982,p.237. 39. Wong S. et al., «Low pressure nitrided oxide as a thin gate dielectric for MOSFET's», J. Electrochem.Soc, 1983, v. 130,p.ll39. 40. Codella C, Ogura S., «Halo doping effects in submicron MOSFET'S device design», Proc. IEDM, 1985, p.230. 41. Sun J. Y.-C. et al., «0.5 mm-channel CMOS technology optimized for liquid-nitrogen- temperature operation», Proc. IEDM, 1986, p.236. 42. Hillenius S. et al., «Asymmetric submicron CMOS technology», Proc. IEDM, 1986, p.252. 43. Sai-Halasz G. et al., «Experimental technology and characterization of self-aligned 0.1 mm gate-length low-temperature operation NMOS devices», Proc. IEDM, 1987, p.397. 44. G. Sai-Halasz et al., «Experimental technology and characterization of self-aligned 0.1 mm, gate-length low-temperature operation NMOS devices,» in Proc. Int. Electron Devices Meeting. 1987, p. 397. 45. G. A. Sai-Halasz, M. R. Wordeman, D. P. Kern, S. Rishton and E. Ganin, «High transconductance and velocity overshoot in NMOS devices at the 0.1 um-gate-length level,» IEEE Electron Device Lett., vol. EDL-9, p. 464, 1988. 46. Y Taur et al., «High transconductance 0.1 urn pMOSFET,» in Proc. Int. Electron Devices Meeting, 1992, pp. 901-904. 47. Y Taur et al., «High performance 0.1 um, CMOS devices with 1.5 Vpower supply,» in Proc. Int. Electron Devices Meeting, 1993, pp. 127-130. 48. R. Yan et al., «High-performance 0.1 mm room temperature Si MOSFET's,» in Proc. Symp. VLSI Technology, 1992, p. 86. 49. Y Mii et al., «High performance 0.1 mm nMOSFET's with 10 ps/stage delay (85 K) at 1.5 V power supply,» in Proc. Symp. VLSI Technologv, 1993, pp. 91—92. 50. M. Ono, M. Saito, T. Yoshitomi, С Fiegna, T. Ohguro and H. Iwai, «Sub-50 nm gate length n-MOSFET's with 10 nm phosphorus doped source and drain junctions,» in Proc. Int. Electron Devices Meeting, 1993, p. 119. 51. Y Mii et al., «An ultra-low power 0.1 mm CMOS,» in Proc. Symp. VLSI Technology, 1994, pp. 9-10. 52. H. Momose, M. Ono, T Yoshitomi, T. Ohguro, S. Nakamura, M. Saito and H. Iwai, «Tunneling gate oxide approach to ultrahigh current drive in small-geometry MOSFET's,» in Proc. Int. Electron Devices Meeting, 1994, p. 593. 53. L. Su et al., «Ahigh performance 0.08 mm CMOS,» in Proc. Symp. VLSI Technology, 1996, p. 12. 54. С Wann et al., «High-performance 0.07 um CMOS with 9.5 ps/gate delay and 150 GHz fT,» IEEE Electron Device Lett., vol. 18, p. 625, Dec. 1997. 55. F. Assaderaghi et al.,»A 7/9/5.5 psec room/low temperature SOI CMOS,» in Proc. Int. Electron Devices Meeting, 1997, p. 415. 56. G. Timp et al. «Low leakage, ultra-thin, gate oxides for extremely high perfomance sub-100 nm nMOS-FET's,» in Proc. Int. Electron Devices Meeting, 1997, p. 930. 57. Bohr M., «MOS Transistors: Scaling and Performance Trends», Semiconductor International, 1995, N6 (June), pp. 75-80. 58. Solid-State Technology, 1999, N ll(Nov), p.90. 59. Y Taur, D. Buchanan, W. Chen, D. Frank, K. Ismail, S.-H. Lo, G. Sai-Halasz, R. Vis-wanathan, H.-J. C. Wann, S. Wind and H.-S. Wong, «CMOS scaling into the nanometer regime,» Proc. IEEE, vol. 85, p. 486, Apr. 1997.
С2 Глава 2. Принципы и ограничения масштабирования МОПТ 60. S. Asai and Y Wada, «Technology challenges for integration near and below 0.1 mm,»Proc. IEEE, vol. 85, p. 505, Apr. 1997. 61. W.-H. Chang, B. Davari, M. R. Wordernan, Y Taur, С C.H. Hsu and M. D. Rodriguez, «A high-performance 0.25um CMOS technology-1: Design and characterization,» IEEE Trans. Electron Devices, vol. 39, p. 959, Apr. 1992. 62. B. Davari, W.-H. Chang. K. E. Petriilo, С Y Wong, D. Moy, Y Taur, M. R. Wordernan, J. Y С Sun and С C.N. Hsu, «.A high-performance 0.25-um CMOS technology-11: Technology,» IEEE Trans. Electron Devices, vol. 39, p. 967, Apr. 1992. 63. T. Brunner, «Pushing the limits of lithography for 1С production,» in Proc. Int. Electron Devices Meeting, 1997, p. 9. 64. S.-H. Lo, D. Buchanan and Y Taur, «Modeling and characterization of n+- and p+-polysili- con-gated ultra thin oxides (21—26A),» in Proc. Symp. VLSI Technology, 1997, pp. 149—150. 65. S.-H. Lo, D. Buchanan, Y Taur and W Wang, «Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide MOSFET's,» IEEE Electron Device Lett., vol. 18, p. 209, May 1997. 66. F. Rana, S. Tiwari and D. Buchanan, «Self-consistent modeling of accumulation layers and tunneling currents through very thin oxides,» Appl. Phys. Lett., vol. 69, N8, p. 1104, 1996. 67. S. Tiwari, J. Welser, D. DiMaria and F.Rana, «Currents, surface potentials, and defect generation in 1.2-1.5 nm oxide MOSFET's,» in Proc. Device Research Conf., 1998, p. 12. 68. D.Frank, Y Taur and H.-S. P. Wong, «Generalized scale length for two-dimensional effects in MOSFET'S», IEEE Electron Device Lett., vol 19, p. 385, Oct. 1998. 69. Iwai H., «CMOS Scaling towards its Limits», IEEE'98, (Chine), pp. 31-34. 70. Ono, M. Saito, T Yoshitomi, C. Fiegna, T. Ohguro and H. Iwai, «Sub-50 nm gate length n-MOSFETs with 10 nm phosphorus doped source and drain junctions,» IEDM Tech. Dig., pp. 119-122, December, 1993. 71. H. S. Momose, M. Ono, T Yoshitomi, T. Ohguro, S. Nakamura, M. Saito and H. Iwai, «Tunneling gate oxide approach to ultra-high current drive in small-geometry MOSFETs,» IEDM Tech. pp. 593-596, 1994. 72. D.J. Frank, «Application and Technology Forcast», in Lou Power Design in Deep Submicron Electronics, 1997, v. 337, pp. 9-44, N etherlands, Kluwer. 73. G. Ya. Krasnikov and О. М. Orlov, «Distinctive Features and Problems of CMOS Technology for Decrease in the Node Size to 0.18 urn or Less», in Nanotechnologies in Russia, 2008, Vol. 3, Nos. 7-8, pp. 502-506.
ГЛАВА 3 ФОРМИРОВАНИЕ ПОДЗАТВОРНЫХ ДИЭЛЕКТРИКОВ СУБМИКРОННЫХ МОПТ 3.1. Введение Двуокись кремния используется в качестве подзатворного диэлектрика в промышленном производстве ИС в течение более 30 лет. В соответствии с принципами масштабирования МОПТ толщина подзатворного окисла Тох непрерывно уменьшается, причем технически возможно формирование в промышленных условиях на пластинах диаметром 200 мм слоев окисла толщиной 1,5 нм и менее. Однако практически допустимая минимальная толщина термического окисла составляет ~ 3,5 нм, при этом плотность дефектов окисла D0 (определяемая условием пробоя при Е = 7,5 МВ/см и площади электрода 0,1 см2) достигает уровня 0,5 см-2. Пределом, ограничивающим снижение толщины слоя Si02, является ток прямого туннелирования (при Тох« 2,3 нм). Эта минимально допустимая толщина окисла определяется условием равенства туннельного тока затвора и подпоро- гового тока в цепи исток-сток. Обычно значение этого тока принимают равным ~1 нА/мкм. Исследования показали, что предельное значение Тох равно 1,6 нм. С учетом кванто-механического эффекта распределения носителей в канале и эффекта обеднения носителями поликремниевого затвора минимально допустимое значение 7]хе//составит 2,3 нм. Дальнейшее уменьшение Toxeff приводит к экспоненциальному росту туннельного тока. Для приборов с площадью затвора 0,05 мкм2 и с толщиной окисла 2 нм, 1,5 нм и 1 нм плотность туннельного тока составляет 0,6 мкА/см2, 0,2 мА/см2 и 10 А/см2 , соответственно, что дает следующие вличины токов утечки в цепи затвора: 3 нА, 1 нА и 50 мкА. Первое значение тока утечки характеризует высококачественные приборы, второе представляет максимально допустимое значение тока транзистора в выключенном состоянии, третье — недопустимо ни при каких условиях. Значение Toxeffv 2,3 нм, исходя из соотношений масштабирования МОПТ, соответствует физической длине затвора 0,1 мкм (Leff= 0,06 мкм), т.е. проектным нормам 0,13 мкм. Дальнейшее развитие КМОП-технологии связано с применением альтернативных подзатворных диэлектриков с более высоким, чем у Si02, значением диэлектрической проницаемости. Увеличение физической толщины альтернативного подзатворного диэлектрика приводит к уменьшению туннельного тока утечки затвора и к снижению плотности дефектов Д}. Технологический метод формирования подзатворного окисла должен удовлетворять следующим требованиям: 1) малая величина дисперсии толщины окисла Тох; 2) низкая плотность дефектов D0; 3) высокое среднее значение и низкая величина дисперсии предельной напряженности электрического поля; 4) низкая плотность зарядов в окисле и на поверхностных состояниях; 5) высокая стойкость к воздействию электрических стрессов, достаточно большой срок службы; 6) ма-
124 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ лая плотность кулоновских центров рассеяния и максимально возможное сглаживание микрорельефа границы кремний-диэлектрик; 7) хорошие барьерные свойства, ограничивающие диффузию бора из затвора /?+-типа. Снижение напряжения питания в масштабируемых СБИС выдвигает все более жесткие требования к снижению дисперсии как порогового напряжения, так и крутизны МОПТ. Поэтому повышение воспроизводимости и однородности толщины подзатворного окисла является непременным условием масштабирования МОПТ. Процесс термического окисления в производственных условиях характеризуется значением допустимого отклонения толщины менее 5% (За). Сложность задачи обеспечения воспроизводимого формирования термического окисла обусловлена его малой толщиной: так, слой Si02 толщиной 10 нм содержит 40—50 монослоев, тогда как при толщине 3 нм — всего лишь 10—15 монослоев. При этом сохраняются требования к допустимой плотности дефектов D0. Возрастание плотности дефектов при уменьшении толщины окисла может быть ограничено путем формирования подзатворного окисла методом осаждения, так как этот метод предотвращает «наследование» дефектов подложки. Наиболее обещающим направлением является интеграция процессов первоначального окисления, осаждения и последующего уплотнения — окисления. В этом случае формируется граница раздела Si—Si02 с параметрами, характерными для термического окисления, и обеспечивается низкая плотность дефектов D0. Структура переходного слоя границы раздела Si-Si02 играет определяющую роль в реализации многих из вышеперечисленных требований к подзатворному окислу. Толщина структурного переходного слоя зависит от метода окисления и приблизительно равна 0,8— 1,4 нм при термическом окислении в печи и 0,2—0,3 нм при быстром окислении. Именно этот переходный слой подзатворного окисла определяет такие его характеристики, как энергия образования поверхностных состояний, плотность и положение кулоновских центров относительно границы Si-Si02, параметры микрорельефа поверхности кремния, т.е. тех характеристик, от которых зависит стойкость подзатворного окисла к воздействию горячих носителей, предельно допустимая напряженность электрического поля в окисле, подвижность носителей в канале МОПТ и др. Плотность структурных несовершенств переходного слоя - таких как оборванные и деформированные связи Si-O, связи Si-Si, кластеры атомов Si на границе Si-Si02 - может быть снижена при использовании окислителей с большей реакционной способностью, чем молекулярный кислород и Н20. С этой целью используют плазмостимулированное окисление радикалами кислорода или окисление в среде озона при атмосферном давлении. Помимо снижения температуры окисления и уменьшения плотности структурных несовершенств переходного слоя эти методы окисления создают слаборазвитый микрорельеф границы раздела, что способствует повышению подвижности носителей и улучшению надежностных характеристик окисла. Характеристики надежности окисла (времязависимый пробой (tBD) — TDDB = Time Depended Dielectric Brekdown, определяемый плотностью накопленного до пробоя заряда QBD) зависят от уровня механических напряжений и соответствующих деформаций связей Si-O, возникающих при окислении на границе Si—Si02. При пирогенном окислении уровень механических напряжений снижается благодаря уменьшению вязкости окисла в присутствии Н2 и паров воды. Однако с ростом содержания водорода в реакторе концентрация Si—ОН связей, так же как
и плотность ловушек в окисле, повышается, поэтому соотношение 02:Н2 при пи- рогенном окислении должно быть оптимизировано. Необходимость использования затворов /?+-типа в /^-канальных МОПТ привела к проблеме невоспроизводимости порогового напряжения из-за проникновения бора в область канала. Кроме того, уменьшение уровня легирования затвора с целью ограничения проникновения бора в окисел приводит к увеличению эффективной толщины окисла вследствие обеднения затвора носителями в режиме сильной инверсии. Указанные проблемы стимулировали развитие методов термического нитрирования подзатворного окисла; одновременно это способствовало повышению его стойкости к воздействию электрических стрессов. Однако при термическом нитрировании максимум концентрации азота располагается вблизи границы Si—Si02, что ограничивает проникновение бора в область канала, но не предотвращает накопление бора в объеме окисла, приводя к снижению его надежности. Решение этих проблем достигается при использовании низкотемпературного плазмостимулированного процесса нитрирования подзатворного окисла, в котором максимум концентрации азота располагается на внешней поверхности окисла. Дополнительным существенным достоинством этого метода является сохранение величины подвижности носителей, свойственной МОПТ с чистым под- затворным окислом. Использование слоев нитрида кремния в качестве подзатворного диэлектрика позволяет (благодаря вдвое большей диэлектрической проницаемости, чем у двуокиси кремния) увеличить емкость затвора МОПТ (уменьшить толщину эквивалентного слоя окисла). Многочисленные попытки использования слоев нитрида кремния, получаемых CVD-методами, в качестве подзатворного диэлектрика были неудачными из-за неудовлетворительных свойств границы раздела нитрид—кремний, а также из-за высокой концентрации ловушек в объеме. Значительное улучшение качества подзатворного диэлектрика было получено при использовании низкотемпературного метода струйного осаждения (JVD = Jet Vapor Deposition) нитрида кремния. Было установлено, что электрофизические характеристики слоев Si3N4, формируемых методом струйного осаждения, по целому ряду ключевых параметров вполне приближаются к аналогичным показателям, характерным для слоев Si02. Было показано, что МДПТ с двухслойным диэлектриком Si02 — Si3N4 толщиной 2—4 нм, осажденного JVD-методом, имеет значительные преимущества перед МОПТ с подзатворным окислом по величине инжектированного заряда до пробоя, току утечки затвора, крутизне и току стока транзистора. Использование нитрида кремния в качестве подзатворного диэлектрика эффективно лишь до тех пор, пока его толщина не достигнет туннельной прозрачности. В связи с этим предпринимаются усилия по разработке технологии формирования альтернативных диэлектриков с более высокой диэлектрической проницаемостью, чем у Si3N4 (е « 7,8), таких как Та205 (г « 26), Ti02 (в » 30), НГО2и Zr03. До настоящего времени ни один из этих диэлектриков не был успешно осажден непосредственно на кремний без использования промежуточного слоя Si02. Между тем, наличие этого слоя в сильной степени снижает эффективную емкость двухслойного диэлектрика CeJfl = С0ХА + Cdiel~l. Так как емкость окисла Сох много меньше емкости альтернативного диэлектрика, то она и определяет значение CefT, а его эквивалентная толщина не может быть меньше, чем толщина нижнего слоя
I 26 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ окисла. Это делает понятной необходимость разработки технологии осаждения альтернативных диэлектриков непосредственно на кремний без промежуточного слоя Si02. При изготовлении КМОП СБИС широко применяется низкотемпературный (400-450°С) отжиг МОПТ в водородной среде для пассивации оборванных связей на границе Si-Si02. Этот отжиг необходим для улучшения параметров МОПТ, так как пассивация оборванных связей приводит к уменьшению заряда на поверхностных состояниях и увеличению плотности носителей в канале при заданном смещении на затворе. Однако в рабочем состоянии при повышенных напряжениях смещения параметры МОПТ могут деградировать в результате десорбции водорода, стимулированной горячими носителями. Обнаружено, что при замене водорода его изотопом дейтерием D2 наблюдается значительное повышение стойкости связей Si—D к воздействию горячих носителей, в результате чего достигается увеличение срока службы приборов в 50—100 раз. Непосредственно после низкотемпературного отжига в среде водорода и дейтерия параметры МОПТ оказываются идентичными, т.е. и водород, и дейтерий в равной степени пригодны для пассивации оборванных связей. Различие в параметрах МОПТ проявляется лишь в результате воздействия горячих носителей, что связано с более высокой прочностью связей Si—D. Наблюдаемый изотопный эффект при использовании дейтерия для пассивации оборванных связей позволяет при заданном сроке службы МОПТ увеличить напряжение питания VDD, повысить максимально допустимое значение тока подложки и максимально допустимую напряженность электрического поля в канале. Поэтому низкотемпературный отжиг в атмосфере дейтерия рассматривается как метод ограничения эффекта горячих носителей наряду с использованием LDD-областей и снижением напряжения питания. При заданном сроке службы использование отжига в атмосфере дейтерия позволяет повысить ток стока и быстродействие приборов за счет повышения напряжения питания VDD. С ростом степени интеграции и ограничением допустимой мощности рассеяния СБИС появилась необходимость формировать на одном кристалле подзатвор- ный окисел различной толщины. Это позволяет оптимизировать характеристики отдельных блоков СБИС: уменьшая толщину окисла Тох и напряжение питания FDD, снижать рассеиваемую мощность, а увеличивая tox и VDD — уменьшать задержку распространения сигнала в критических цепях. Использование более низких значений Тох позволяет также уменьшить влияние короткоканального эффекта и повысить воспроизводимость порогового напряжения. Среди различных методов создания подзатворных окислов различной толщины на одном кристалле следует выделить метод, в котором используется эффект снижения скорости окисления кремния, предварительно имплантированного ионами азота. При оптимизации режимов имплантации, постимплантационного отжига и окисления этот метод позволяет одновременно обеспечить повышение надежности тонкого подзатвор- ного окисла. Потребности масштабирования МОПТ стимулировали обширные исследования новых методов формирования подзатворных диэлектриков, направленных на обеспечение малой дисперсии толщины и низкой дефектности, высокой стойкости к воздействию сильного электрического поля и стабильности характеристик в рабочих условиях, минимизации влияния на подвижность носителей в канале и
3.2. Методы формирования подзатворных диэлектрических слоев на основе Si02 т.п. Некоторые направления развития технологии создания подзатворных диэлектриков освещаются в настоящей главе. 3.2. Методы формирования подзатворных диэлектрических слоев на основе Si02 Формирование окисных слоев Свойства слоев двуокиси кремния зависят как от метода окисления, так и от параметров режима их выращивания. Тонкие (< 10 нм) слои Si02 можно изготавливать при пониженной температуре, пониженном давлении и в различной газовой среде (разбавленном 02; 02 + Н2; озоне или парах Н20). Оксинитрид- ные диэлектрические слои получают в азотосодержащей газовой среде (например, в среде N20 или N0). На рис. 3.1 [1] демонстрируется кинетика термического окисления кремния в печи с использованием разбавленной кислородной среды при температурах 900, 950 и 1000°С, а также при 800°С в среде 02 4- НС1. Видно, что при указанных условиях слои Si02 можно выращивать до толщин 4,0 нм за приемлемое время и с хорошей управляемостью процесса окисления. Метод пирогенного окисления со сбалансированной концентрацией водорода и кислорода позволяет выращивать окисные слои толщиной до 5 нм, которые характеризуются высокой предельной напряженностью электрического поля и высоким значением плотности инжектированного в Si02 заряда до пробоя [1]. Использование пониженного давления представляет дополнительную возможность управления скоростью окисления, а оптимизация параметров этого процесса окисления в печи позволяет получать достаточно тонкие подзатворные окисные слои высокого качества. Метод быстрой термообработки (RTP = Rapid Thermal Process) является альтернативным направлением в технологии выращивания тонких диэлектрических слоев. Системы быстрого термического окисления (RTO), использующие галогенные лампы в качестве источников тепла, обеспечивают высокие скорости изменения температуры (50-^100 °С/с). С помощью RTO-оборудования можно выращивать достаточно тонкие окисные слои (в диапазоне от 2 до 8 нм) за время, не превышающее 60 с. Кроме того, добавление в реакционную среду N20 или NO позволяет выращивать оксинитридные слои. Для того чтобы улучшить воспроизводимость процесса окисления в диапазоне толщин менее 3,5 нм, необходимо уменьшать концентрацию кислорода и снижать общее давление газовой среды. Следует отметить,что состояние обратной стороны пластин влияет на излучаю- Рис.3.1. Типичные кинетические зависимости толщины окисного слоя от времени окисления при различной температуре (Т= 800; 900; 950 и 1000°С) в среде 02 +НС1 (небольшие концентрации 02)
щ\ 28 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 1UU- 80- ^60- X §40- 5 н20- о- 1100 °С^ io5ojd ^1ooo°cJ ■^950xJ """"Sblrcl О 10 20 30 40 50 60 Продолжительность RTO-процесса (с) щую способность и, следовательно, оказывает влияние на температуру пластины. Другой проблемой при использовании RTO-оборудования является влияние топологического рисунка на температурный режим. Кроме этого, в поле внимания разработчиков все еще остаются вопросы, связанные с очисткой камеры, уменьшением времени нарастания и спада температуры и времени окисления. Тем не менее, RTO продолжает оставаться перспективным процессом для формирования высококачественных окисных слоев. Третьим направлением развития технологии выращивания подзатворных диэлектрических слоев является техника быстрого разогрева в печах [1]. Эти системы напоминают обычные печи, но с меньшими размерами загрузочной камеры, что позволяет достигать скорости разогрева 30- 50°С/мин. Это улучшает контроль за Рис.3.2. Кинетика окисления в режиме RTO составом среды в реакторе и сводит при повышенной температуре в диапазоне к минимуму продолжительность ци- Т= 900-1100°С в течение менее 100 с шов ра3огрева и охлаждения. Следовательно, минимизируется рост окисла во время подъема температуры, что благоприятно сказывается на формировании ультратонких окисных слоев. Из приведенных на рис. 3.1 и 3.2 данных (а также из других многочисленных источников) можно видеть, что в течение первых минут окисления рост толщины окисного слоя характеризуется наибольшей скоростью. Так, например, при окислении поверхности кремния с ориентацией (100) в сухой среде при 800°С за первые 10 мин толщина окисного слоя может заметно превысить 1 им. А при 1000°С уже за первую минуту толщина окисного слоя (при прочих равных условиях) может достичь -4—5 нм и более [2—4]. Также хорошо известно, что термическое окисление в сухом кислороде до толщины менее 25 нм характеризуется более высокими скоростями роста [5а], чем это предсказывается, исходя из так называемой линейно-параболической модели Дила-Гроува (LP-модель) [6]. До сих пор физико-химические механизмы, ответственные за увеличение скорости роста на начальном этапе термического окисления, все еще остаются предметом широкой дискуссии (см. например, работы [7 и 8], а также цитируемую в них литературу). Общепризнанным является общее соотношение для скорости роста окисного слоя, сформулированное эмпирическим путем в работе [5а]: <АТ А d/ В + 2Г v oxl ^J - (зл> Первый член в этом соотношении соответствует линейно-параболической модели, характеризуемой линейной KL = А/В и параболической Кр = А скоростями окисления, а второй член отражает ускорение роста окисла на начальной стадии. Теоретическому обоснованию этого соотношения, а также рассмотрению структурно-примесных и физико-химических аспектов, приводящих к зависимо-
3.2. Методы формирования подзатворных диэлектрических слоев на основе Si02 сти типа (3.1), уделено большое внимание в работе [8, гл. IV]. Поэтому мы не будем здесь касаться этих вопросов. Отметим лишь, что константы А, В и С, а также характеристическая длина L2 («6н-8 нм) являются функциями температуры. Причем температурные зависимости А, В и С имеют экспоненциальный характер ар- рениусовского типа с явно выраженными энергиями активации. Так, например, в случае Si (111) для величин А/В (линейный режим роста) и А (параболический режим роста) были найдены следующие значения энергий активации при окислении в сухой атмосфере кислорода [9, 10]: -'А/В 1,74 эВ ЕА=1,71эВ Т< 1273 К; ЕА/в = 2,95эВ ЕА = 0,76 эВ Т > 1273 К. В диапазоне толщин окисла Тох ниже критической толщины Тохкр2, характеризующей переход к параболическому закону роста, экспоненциальным членом в уравнении (3.1) можно пренебречь. Тогда решение дифференциального уравнения (3.1) имеет вид хорошо известного линейно-параболического соотношения [6-8]: оЛ) 2KL -1 + J1 + 4tM' + *) к„ (3.2) где т = Toxi /KL + Pmii/Kp, a Toxi— толщина слоя естественного окисла. Выражение для начальной стадии роста, описываемого соотношением (3.2), получается путем разложения его в ряд Тейлора при малых значениях (t + т): T„(t)=KL(t + x). (3.3) Это выражение справедливо при условии / + т < tcr2 + т = K?/4K2L, что соответствует условию Тох < Тох (tcr2)« А/5 , получаемому из соотношения (3.2). При /» tcr2 (т.е. Тох» Тохст2) кинетика окисления подчиняется параболическому закону: T„(t)=jKP{t + %). (3.4) Поэтому критическое время /сг2 и толщина Тохст2 описывают переход от линейного к параболическому режиму окисления. Выражение для начального участка роста окисла, характеризуемого экспоненциальным членом в уравнении (3.1), получается из его решения в пренебрежении членом 2 Т: U0=Vin к, 'т^+Ь'УЬ L l При / >> L2/Kx выражение (3.5) принимает вид: r„(/)«^-(/ + x) + 4-In KL ■ + е' (3.5) (3.6) При t> tcrl = 10 L2/KL\n [C2/KL] второй член выражения (3.6) не превышает 10% от первого, описывающего линейный рост окисла. Поэтому вышеуказанное значение /сг1 и соответствующая толщина окисла Тох = 7^(tcrJ) = 10 L^lnfQ/AJ определяют переход от линейного участка роста окисла к начальной быстрой стадии роста. 5-1235
W\ 30 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Соотношение между Тохсг1 и Тохсг2 изменяется с изменением температуры. При окислении в сухом кислороде при температуре Т < 888° Тохсг1 меньше, чем Тохсг2. В связи с этим выделяют три стадии роста окисла с характерной кинетикой: 1) начальная стадия ускоренного роста при Тох < Тохсг1; 2) стадия роста по линейному закону при Тохсг1 < Тох < Тохст2; 3) стадия роста по параболическому закону при Тох > Тохсг2. При температуре 800°С значения критических параметров равны: tcd = 3160 мин, ТохЫ = 787 A, a tcx2 =8760 мин, Тохсг2 = 1740 А. При повышении температуры Тохсг1 ««и падает, так что при Т= „»» ^ ,^сг1 растет, а Т0 _ /сг1 = 147 мин, Тохсг1 =1100 A, a tc "сг,2 °с т ох, 79 мин, Тохсг2 ■ Тохсг2. При температуре 1000°С = 471 А. В этом случае переход к стадии роста по линейному закону отсутствует и ускоренный рост окисла на начальной стадии постепенно переходит к стадии роста по параболическому закону. В диапазоне толщин окисла Тох < Тохст1/Ю кинетика ускоренного окисления на начальной стадии определяется приближенным выражением: Tox(t)B Ц1П C2t к, , KL 1 + —L •e TmJlk + e Toxjlk (3.7) получаемым из соотношения (3.5). Из этого соотношения видно, что на начальном этапе роста толщина окисла увеличивается по логарифмическому закону, при этом скорость роста спадает экспоненциально. Формирование оксинитридных слоев Оксинитридные диэлектрические слои являются основными кандидатами для использования их в качестве подзатворных слоев в КМОП СБИС, что следует из большого числа публикаций, посвященных этим слоям, начиная с начала 1990-х годов. Оксинитридные слои обеспечивают снижение захвата заряда на ловушки, увеличивают стойкость к воздействию горячих носителей и подавляют диффузию бора из затвора в окисел. Они могут формироваться путем термообработки тонких окисных слоев в атмосфере NH3, N20 или NO. Термообработка в NH3 приводит к большой концентрации атомов водорода в образующихся оксинитридных слоях, что в свою очередь вызывает значительное увеличение скорости захвата электронов на ловушки в окисле. Однако это обстоятельство успешно преодолевается путем использования трехступенчатой технологической процедуры, когда сначала RTO-методом выращивается окисный слой, затем с помощью RTN-метода (быстрая термическая обработка в среде, содержащей атомы азота) в среде №? i i 1^1 i i » I iYi i-L-l 25 50 75 Время экспозиции (мин) 100 Рис. 3.3. Изменение толщины окисного слоя в зави- NH3 осуществляется нитрирова- симости от продолжительности экспозиции в N20, Ние, и в заключение проводится NO или 02 при различных температурах RTO-процесс с целью уменьше-
3.2. Методы формирования подзатворных диэлектрических слоев на основе Si02 131 ния содержания атомов водорода и достижения высокого качества полученного диэлектрика [1]. Термообработка в N20 или в NO обеспечивает формирование ок- синитридных слоев без введения избыточной концентрации атомов водорода. При этом используется двухступенчатая процедура, когда на первом этапе выращивается тонкий окисный слой, и затем уже осуществляется операция нитрирования путем термообработки в N20 или в N0. Кинетика роста оксинитридных слоев в среде N20 при RTN-процессе характеризуется наличием сублинейного участка, участка выхода на насы- 1.5 о, н X <D X X о \4 Граница раздела fci i i i i 100 80 Я 60 40 А 20 05 К X cd о. н X <D X X О 50 100 150 о Глубина (А) 200 Рис.3.4. ВИМС-профили распределения концентрации кислорода (О) и азота (N) при нитрировании слоя Si02 в атмосфере N20 щение и участка насыщения достаточно большой продолжительности. На рис. 3.3 приводятся временные зависимости приращения «диэлектрической» толщины слоев в случае N20 — процесса при температуре 900, 950 и 1050°С. Количество азота в слое зависит от температуры, а также от скорости газового потока N20. Концентрационный профиль атомов азота в N20- окисле, полученный методом масс-спектроскопии вторичных ионов, имеет пик концентрации азота у границы раздела Si/Si02, расположенного на стороне окисла (рис. 3.4), при этом спектральным анализом обнаружено наличие связей Si = N-0 [1]. Процесс нитрирования слоев окисла с использованием в качестве газовой среды окиси азота (NO) обеспечивает получение такой же концентрации азота у границы раздела, что и в случае процесса в среде N20, но при относительно более низких температурах. Во время обработки в среде NO наблюдается незначительное увеличение толщины формируемых слоев в отличие от случая использования N20. Это можно видеть на рис. 3.3 (светлые символы), где приращение толщины составляет менее 1,0 нм при термообработке в NO при разных температурах. Таким образом, NO-процесс имеет то преимущество перед К,0-процессом, что позволяет выращивать более тонкие оксинитридные слои. Формирование нитрированных слоев окисла методом осаждения выполняется в LPCVD- системах или в реакторах быстрого термического осаждения из газовой фазы (RTCVD). При этом используются обычно такие газовые среды, как SiH4 + 02; Si2H6 + 02; SiH4 + N20; SiCl2H2 + N20 или TEOS. Приборные характеристики МОПТ с CVD-осажденными подзатворными слоями приводились в ряде работ (см. например, ссылки [8, 9 и 10] в работе [1]). Однако при толщине осаждаемых слоев менее 5 нм серьезной проблемой при массовом производстве приборов с CVD- диэлектрическими слоями становится обеспечение воспроизводимости толщины.
132 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 3.3. Дефектность и надежность тонких подзатворных окислов, полученных термическим окислением в среде с малым содержанием кислорода Как показано в предыдущей главе, при изготовлении МОПТ с длиной канала 0,25 мкм используется подзатворный окисел толщиной менее 6 нм. Самым распространенным методом формирования таких слоев является метод термического окисления в горизонтальной печи в газовой среде 02/N2 (содержащей 5—10% кислорода) при температуре 850-900°С. Указанные условия окисления обеспечивают получение слоев окисла с высокой однородностью толщины, низкой плотностью дефектов и высокой надежностью [12]. Напряжение на затворе (В) С уменьшением толщины окисла граница раздела Si—Si02 оказывает все большее влияние на его электрофизические характеристики. Поэтому значение операций подготовки поверхности кремния перед термическим окислением играет первостепенную роль. При выращивании тонких слоев окисла естественный окисел перед окислением удаляют либо термической десорбцией, либо обработкой в растворе HF, в результате которой создается пассивиро- Рис.3.5. Экспериментальные (отдельные точки) и ванная водородом поверхность теоретические (сплошные кривые) зависимости плот- с хорошими параметрами ми- ности туннельного тока от напряжения смещения за- кр0рельефа [11]. Окисление твора (К) для емкостных структур Si*/Si07/n-Si с уль- н v g/ /rX ri о А ч такой кремниевой подложки тратонкими окисными слоями (Т= 2,8—6,2 нм) осуществляется в реакторе с двойными стенками без добавления хлорсодержащих реагентов. Одним из методов оценки однородности толщины ультратонких слоев окисла, учитывающим влияние микронеоднородностей, является анализ дисперсии туннельного тока МОП-структур. Зависимость плотности туннельного тока утечки от напряжения на затворе МОП-конденсатора представлена на рис. 3.5 [12]. Значение напряжения 3,5 В является границей, разделяющей области, характеризующиеся доминирующей ролью прямого туннелирования или туннелирования по Фаулеру-Нордгейму. Выражение для плотности тока прямого туннелирования Jt имеет вид [13]: JrT>x=IQexp(-2aT0X), a^ (2mq[h-VJ2] h2 I/2 (3.8) где /0 — постоянная, т* — эффективная масса электрона, qyb — высота барьера между инжектирующим электродом и окислом, Vox — падение напряжения на слое
3.3. Дефектность и надежность тонких подзатворных окислов, полученных термическим окислением в среде с малым содержанием кислорода о cd со О СО н о а> tr S § 20 16 12 ■А В ■С ■""""": Окисел 3,6нм j---{ Смещение 2,5В ^т о 0.8 0.9 1.0 1.1 1.2 1.3 1.4 1.5 Плотность туннельного тока (10~5 А/см2) Рис.3.6. Гистограмма распределения величин плотности прямого туннельного тока, протекающего через подзатворный окисный слой Тох = 3,6 нм (подложка я-Si; Vg = 2,5 В; площади: А - 3,84 мм2; В - 0,1257 мм2; С - 0,0314 мм2) окисла, имеющего толщину Тох. Из приведенного выражения следует, что значения Тох и /, связаны фундаментальным выражением и поэтому по изменению Jt возможна оценка изменений Тох. На рис. 3.6 [12] представлены гистограммы распределения плотности тока прямого туннелиро- ванияпри 7^=3,6нми J^X=2,5B для конденсаторов с площадью А, изменяющейся в пределах двух порядков величины от 0,0314 мм2 до 3,84 мм2. Узкое распределение значений плотности тока для конденсаторов с различной площадью электродов указывает на высокую однородность толщины окисла. Оценки показывают, что измеренная дисперсия значений Jt соответствует дисперсии толщины окисла, равной 0,01—0,02 нм по площади пластины и менее 0,05 нм между пластинами [12]. Таким образом, снижение скорости окисления благодаря уменьшению содержания кислорода в реакторе позволяет обеспечить высо- ковоспроизводимое окисление даже в горизонтальной печи, где существенным являются длительность этапов нагревания и охлаждения пластин. Измерения предельной напряженности электрического поля в слоях окислов, полученных указанным методом, показали, что наблюдается либо собственный пробой окисла, либо низковольтный пробой дефектных конденсаторов (рис. 3.7) [12]; пробой окисла при промежуточных значениях напряженности электрического поля практически отсутствует. Выход годных конденсаторов У, характеризующий уровень дефектности окисла, определяли при напряжении, соответствующем плотности тока утечки 1 -10-5 А/см2 (см. рис. 3.5). Полученные экспоненциальные зависимости выхода годных конденсаторов от их площади Y= Y0cxp(-A'D0) для различных толщин окисла свидетельствуют о случайном распределении дефек- о о о о оо чо 1 40 4 20 о ( 50 ~ 40 & 30 § 20 п 10 Q 3,4 нм a i i i i i i i i i i i i ,-Cii ) 2 4 6 8 10 12 4,6 нм 1 14 0 2 4 6 8 10 12 14 Ehd(MB/cm) Рис.3.7. Гистограмма распределения величин напряженности электрического поля пробоя (£BD) подзатворного окисного слоя при толщинах Тох= 3,4 нм и 4,6 нм. Представлены результаты тестовых испытаний методом линейного приращения напряжения к образцам площадью А = 3,84 мм2
иМ 34 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ -0.5 490 2,8 нм 2 3 4 5 6 7 толщина окисла (нм) 100 Ш) ■70 5 10 15 Площадь (мм2) 20 тов, приводящих к низковольтному пробою (рис. 3.8)[12]. Плотность дефектов окисла D0, определенная из наклона экспериментальных зависимостей Y= Y0 exp(-AD0), приведена на вставке к рис. 3.8 для различных значений толщины окисла. Как видно, плотность дефектов окисла с уменьшением толщины окисла растет, но при толщине 5—6 нм окисел отвечает жестким требованиям производства (D0 = 0,1 деф./см2). Уро- Рис.3.8. Выход годных (Y) емкостных структур (сво- вень дефектности 7)0 = 2 деф./см2, бодных от дефектов) как функция площади затвора полученный для окисла толщи- при различных толщинах подзатворного окисного ной 3 нм, является высоким, слоя (7^). На вставке показан график зависимости поэтому требуются дополни- плотности дефектов от Тох тельные меры для его снижения до 0,1 деф./см2. Надежность окисла оценивалась по сдвигу напряжения на затворе AVg при прохождении через него постоянного тока. Обнаружено значительное уменьшение генерации поверхностных состояний и захвата зарядов в объеме окисла с уменьшением его толщины. Для исследования причин этого явления измеряли туннельный ток через окисел после предварительного воздействия электрическим стрессом — инжекции заряда в окисел плотностью 1 Кл/см2. Наблюдалось появление избыточного тока утечки (сверх уровня туннельного тока, измеренного до электрического стресса) в структурах с толщиной окисла >3,6 нм (рис. 3.9). При толщине окисла 2,8 нм избыточный ток не наблюдается. Отсутствие избыточного тока в структурах с тонким окислом означает, что энергия, получаемая электронами от электрического поля в окисле, недостаточна для генерации ловушек. Этот результат коррелирует с фактом значительного увеличения плот- Рис.3.9. Кривые зависимостей туннельного тока ности предельного инжектирован- (/,) от напряжения смещения затвора (Vg) после ного в окисел заряда, приводящего инжекции заряда Qinj = 1 Кл/см2 в подзатворный к пробою (QBD), при уменьшении окисный слой разной толщины: Тох =4,7 нм (0; толщины окисла (рис. 3.10). Дан- 0,01; 0,02; 0,05; 0,1; 0,2; 1 и 2 А/см2); Тох = 3,6 нм ные рис. 3.10 могут быть экстрапо- (0; 2; 5 и 10 А/см2). В случае Тох = 2,8 нм избыточ- дированы на 10-летний срок работы ный туннельный ток не наблюдался МОП-структуры (tBD) с учетом ли-
3.4. Проникновение бора через подзатворный окисел 13$" 1ПППП 1000 о 3i юо 03 сх 10 1 ^Ч^ \^3,4нм ^^4*4,6 нм ^w ч^ 6,2нм ^*%»^ ■ ■ i i i ■ 111 1 0,01 0,1 1 Инжектируемый ток (А/см2) I 54 4 1 [ ~~~ * 1 2^у зу 4* 1 1 Н 1 -TDDB 2 - 1 пА/мкм2 3-0,1 4-0,01 1 1 1 3 4 5 Толщина окисла (нм) руемого из подложки «-типа, при различных толщинах ультратонких подзатворных окис- ных слоев нейной зависимости lg(/BD) от обратной величинынапряженностиэлектрическо- Рис.3.10. Экспериментальные зависимости Рис.3.11. Зависимости напряжения смеще- плотности накопленного заряда перед про- ния затвора (Vg) от толщины подзатворного боем (QBD) от плотности тока (Jin), инжекти- окисного слоя (Тох) для случаев фиксированных величин плотности туннельного тока (кривые 2,3,4), а также зависимость максимально допустимого напряжения смещения затвора, соответствующая 10-летнему сроку службы,определяемому по времязависимо- му диэлектрическому пробою (TDDB) — см. го поля в окисле. Полученные значения кривуЮ i максимально допустимого напряжения на затворе Vg, отвечающего условию 10-летнего срока службы окисла, на рис. 3.11 сравниваются с напряжением V для заданных значений туннельного тока затвора в диапазоне толщины окисла 3—6 нм [12]. Из сопоставления зависимостей следует, что для толщины подзатворного окисла Тох< 5 нм, надежность окисла не является фактором, вынуждающим снижать напряжение питания; основным фактором, ограничивающим величину напряжения питания, становится ток прямого туннелирования. Этот фактор выставляет очень жесткие требования к технологии формирования подзатворных окислов по воспроизводимости и однородности их толщины. 3.4. Проникновение бора через подзатворный окисел При изготовлении КМОП-приборов с длиной затвора менее 0,5 мкм как правило используются /7-МОПТ с поверхностным каналом и поликремниевым затвором /?+-типа. Однако для указанной конструкции транзистора характерна проблема проникновения бора через подзатворный окисел в область канала. Проникновение бора в подложку из р+- поликремниевого затвора в /ьМОПТ имеет ряд нежелательных последствий. Оно вызывает невоспроизводимость порогового напряжения, деградацию крутизны МОПТ и подпорогового наклона //^-характеристики (5-фактора) [14—16]. Кроме того, снижается стойкость МОПТ к воздействию горячих носителей [17]. Использование ионов BF2+ для легирования р- поликремниевых затворов вызывает увеличение коэффициента диффузии бора в окисле, что приводит к указанным явлениям нестабильности
|П 36 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ К 1015 ю-16 ю-1 ю-1 К •е- ю-1 ю-2" Температура термообработки (°С) 1200 1100 1000 900 1 Г 800 0.65 0.75 0.8 0.85 1000/Т(К') 0.95 Рис. 3.12. Аррениусовские графики температурной зависимости коэффициентов диффузии бора в оксини- тридах при различном содержании в них азота ю-1 ю-1 Температура термообработки (°С) 1000 900 800 ев Ои О ю •е Я н X <D К К 3 ю 17У- io,8t ю-19 10 20U- ю-21 Чистый Si02 о Окси нитрид Чистый SiO без фтора 1 i i i i I i i i i I i i i i I i i i i 0.75 0.8 0.85 0.9 1000/Т(1/К) 0.95 Рис.3.13. Аррениусовские графики температурной зависимости коэффициентов диффузии бора в оксини- триде и чистом Si02 параметров приборов. Нитрирование подзатворного окисла ограничивает проникновение бора в подложку и обеспечивает повышение предельного инжектированного в окисел до пробоя заряда QBD [18—20]. На рис. 3.12 [21] представлены зависимости коэффициента диффузии бора от температуры в чистом и нитрированном окисле в случае легирования затвора ионами BF2. Для сравнения на рис. 3.13 приведены данные при отсутствии фтора в окисле [22]. Как видно, наличие фтора увеличивает коэффициент диффузии бора в Si02 почти на два порядка. Необходимо отметить, что на коэффициент диффузии бора влияют помимо температуры такие факторы, как толщина подзатворного окисла, концентрация фтора и азота, водорода или гидроокиси. Поэтому их необходимо учитывать при выборе режимов легирования затвора. Наиболее простым критерием степени опасности проникновения примеси через окисел является равенство концентраций примеси, проникшей через окисел, и исходной концентрации этой примеси в подложке. Однако одинаковое количество примеси, проникшей в подложку, приведет к различному сдвигу порогового напряжения ДК„ если толщина подзатворного диэлектрика Тох различна. Поэтому проникновение примеси через окисел оценивают по величине сдвига порогового напряжения A Vr В работе [23] представлена аналитическая модель сдвига порогового напряжения Доопределена его зависимость от параметров физической структуры прибора и показано, что критическая концентрация примеси, проникшей через окисел, увеличивается с уменьшением Тох. Это объ-
3.4. Проникновение бора через подзатворный окисел ъ ю6 1 ю5 & ю4 § 103 1 № 1 ю1 10° ю-' ] 1 1 I I I I I JL^ T2 ^^-^rr^er^FSS!^. ох а .^--ОГУ^ ...-D--a"'C N0= 1020cm-j -Ф-В(800°С) "•■■BF, (800°С) 1 -О-В(900°С) "0-BF2 (900°C) -П-В (1000°С) "D"BF2 (1000°С) " 3 I 10 Толщина окисла, Тох (нм) -ю-3 -ю-6 -ю-9 -1012 - L = 10 мкм V, = -50 мВ a fcoV* Ч/ / РО / / J 1 1 L BF2 3 х 1015/см2 1 —""" у \ 1 $$ / £ / м N / | N0 / 8 S 1 * Рис. 3.14. Зависимости критического време- I V (В) ни, приводящего к сдвигу порогового напряжения на величину AVt =50 мВ , от толщины рИс. 3.15. Id(Vg)-характеристики /ьМОПТ с подзатворного окисного слоя (TJ чистым (не нитрированным) подзатворным окисным слоем (РО) и с нитрированным подзатворным окисным слоем (NO) ясняется увеличением емкости затвора с уменьшением Тох и, как следствие, подавлением сдвига AVt порогового напряжения. Расчетные оценки критического времени проникновения примеси через чистый окисел, сделанные в работе [23], представлены на рис. 3.14. При толщине подзатворного окисла > 6,5 нм выбором дозы легирования и режимов термического отжига возможно предотвратить проникновение бора через окисел. Однако при толщине окисла менее 6,5 нм только использование нитрирования позволяет исключить проникновение бора и решить эту проблему. На рис. 3.15 [24] представлены типичные //^-характеристики для /?-МОПТ с и без проникновения бора через окисел. При значительном проникновении бора в подложке формируется встроенный канал, при слабом — увеличивается величина подпорогового 5-фактора (рис. 3.16). При использовании чистого (не нитрированного) окисла степень проникновения бора в подложку сильно зависит от дозы имплантации BF2. Полученные результаты иллюстрируются распределениями концентрации бора в окисле, полученными методом ВИМС (рис. 3.17). В случае использования чистого окисла и дозы имплантации (3-5)-1015 см-2 концентрация бора в подложке достигает уровня 1-Ю19 см-3, в результате чего величина подпорогового ^-фактора значительно увеличивается (см. рис. 3.16). С уменьшением дозы имплантации до 1-Ю15 см2 про да 95' а90 1 85 •е 80 1 )) 1 1 1 1 )) «РО»850°С 30 мин ■ 4^м J / «NO» / 850°С 30 мин ill *" 1 3 5 (хЮ15) Доза BF2+ (см-2) Рис.3.16. Зависимости ^-фактора (обратной величины подпорогового наклона) от дозы имплантации BF2+ в никновение бора в подложку слУчае низкотемпературной термообработки в печи. не наблюдается. Однако по- Толщина подзатворного окисного слоя Т„ = 6,5 нм
est Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Рис.3.17. Концентрационные ВИМС-профили распределения бора по глубине в образцах с нитрированным подзатворным окисным слоем («NO») и с чистым подзатворным окисным слоем («РО»). Образцы подвергались термообработке в печи (850°С, 30 мин). Толщина подзатворного окисного слоя Тох = 6,5 нм. (а) Случай чистых окисных слоев при различных дозах имплантации BF2+ ; (б) — случай нитрированного окисного слоя в сравнении с образцом, имевшим чистый подзатворный окисный слой (доза имплантации BF2 составляла 1 • 10~15) верхностное сопротивление поликремния сильно увеличивается (рис. 3.18)[24]. При использовании нитрирования подзатворного окисла проникновение бора полностью подавляется. Применение быстрого термического отжига позволяет значительно снизить поверхностное сопротивление поликремния в сравнении с отжигом в печи. На рис. 3.19 и 3.20 представлены зависимости подпорогового ^-фактора и удельного поверхностного сопротивления от дозы имплантации BF2 для двух режимов быстрого отжига: 1000°С, 20 с и 1050°С, 20 с. При дозе, равной 1-Ю15 см-2, проникновение бора через чистый подзатворный окисел толщиной 6,5 нм отсутствует, а поверхностное сопротивление поликремния оказывается значительно сниженным. _ 1500 □ S О ^ юоо <? 800 § С 600 400 I I l 850°С \ J 1 1 1 3 5 1 (хЮ15) ВР2-доза [см2] 1000 п" > 500 £ 400 ел 5 30° С/0 к § 200 - юо L i i RTA **"' ■*» **•—^^^^^ •- 1 5 (хЮ15) ВР2-доза [см2] Рис.3.18. Зависимость удельного поверхност- Рис.3.19. Зависимость удельного поверхностного сопротивления/?+-поликремниевого за- ного сопротивления /?+-поликремниевого затвора от дозы имплантации BF2+ для случая твора от дозы имплантации BF2+ для случая низкотемпературного отжига в печи (850°С) быстрого термического отжига (RTA)
3.4. Проникновение бора через подзатворный окисел 139^ оо ¥ 150 m ^ о, о Ё 100 (Я " 70 Тох = 6.5 нм L s \ «ро» Т " 1050°С20с ^1г //ч«РО» //l000°C20c д^7^мо> " ^j. СЬ-^7—^^ 1000°С20с - « 1050°С20с J ■ i i 1 5 (х1015 ) ВР2-доза [см-2] So >~ 5? 3 2 *< 0.12 0.1 0.08 0.06 0.04 0.02 0 т 1 i 1 1 1 1 г «PO» -• • «NO» I I I 1 I I I I. T 'ox BF^-доза 4hm 5E15 4 нм 5E15 6 HM 5E15 6 HM 5E15 4hm 5E15 4 нм 5E15 6 HM 5E15 6hm 5E15 Рис.3.20. Зависимости S-фактора (обратной величины наклона подпоро- говых Id{ ^-характеристик) от дозы имплантации BF2+ для случая быстрого термического отжига. Толщина под- затворного окисного слоя Тох = 4,5 нм. Данные приведены для приборов с чистым («РО») и с нитрированным («NO») окислами Рис.3.21. Корреляция между смещением абсолютной величины порогового напряжения при уменьшении длины канала (А|^| = Vt{L = 2 мкм) - — Vt(L = 0,6 мкм)) и типом используемого подза- творного окисного слоя: чистого («РО») или нитрированного («NO») — для двух режимов быстрого термоотлшга (1050°С и 20 с и 1000°С и 20 с) при различных толщинах окисла (4 или 6 нм) и дозах имплантации BF2+ (5-Ю15или 1 • 1015см2) При уменьшении толщины чистого подзатворного окисла ниже 6,5 нм ни снижение дозы имплантации, ни использование быстрого отжига не позволяет предотвратить проникновение бора, если не допускать уменьшения толщины поликремниевого затвора и увеличения его сопротивления. В этом случае предотвращение проникновения бора в подложку обеспечивается лишь использованием нитрирования окисла. На рис. 3.21 представлено влияние проникновения бора на сдвиг порогового напряжения, связанного с короткоканальным эффектом: показано, что нитрирование позволяет обеспечить малое значение сдвига порогового напряжения даже при высокой температуре отжига и высокой дозе имплантации в МОПТ с толщиной подзатворного окисла 4 нм [24]. Следует отметить, что проникновение бора через подзатворный окисел может происходить неоднородно по длине канала. С этим явлением связано возникновение обратного короткоканального эффекта в МОПТ с поверхностным каналом. 3.4.1. Обратный короткоканальный эффект в р-МОПТ с поверхностным каналом, обусловленный проникновением бора в область канала В работе [25] впервые было показано, что при проникновении бора в кремний происходит не только изменение порогового напряжения Vt, связанное с изменением концентрации в канале, но проявляется также зависимость величины сдвига Vt от длины затвора Lg, характерная для обратного короткоканального эффекта (RSCE), описанного в главе I. Из рис. 3.22 [25] ясно, что чем больше длина затвора, тем выше
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ С проникновением бора %й—Н Без проникновения бора I I INN I Mil IIII I I I I I 1 10 100 Длина канала (мкм) +0.2' М а х х X (D О PQ О f-i О О оН •0.2 -0.4 J -0.6, 30' @ 850°С 15'@850°С 100 Длина канала (мкм) Рис.3.23. Зависимости экспериментальных величин порогового напряжения V{ для p-MOUT от длины канала (Lc) в случае двух различных режимов (продолжительности) последующей термообработки Рис.3.22. Зависимости экспериментальных величин порогового напряжения (V) для />-МОПТ от длины канала (Ьс) в случае толщины подзатворного окисного слоя Тох = 50 А. Верхняя кривая соответствует нитрированному окислу (отсутствует эффект проникновения бора), а нижняя кривая отвечает обычному окислу (присутствует эффект проникновения бора) роль проникновения бора в область канала. В работе [25] экспериментально продемонстрировано, что RSCE-эффект в /?-МОПТ связан не с перераспределением примеси в области канала, как в и-МОПТ, а с изменением степени проникновения бора через окисел в канал при изменении длины затвора. Действительно, измерения порогового напряжения Vt(Lg) при различной длительности постимплантацион- ного отжига бора в поликремниевом затворе (рис. 3.23) [25] показывают, что с увеличением длительности отжига влияние проникновения бора в область канала растет, оставаясь минимальным в области малых длин затвора. Кроме того, обнаружено, что при больших значениях Lg увеличивается не только спад порогового напряжения, но и дисперсия Vt (рис. 3.22). Эти экспериментальные наблюдения указывают на то, что причиной RSCE-эффекта Исток -L/2 -L/2 Короткий канал L/2 Длинный канал L/2 Рис. 3.24. Схематичное продольное сечение приборной структуры, иллюстрирующее предложенный механизм проявления обратного короткоканального эффекта (RSRE) для случая/?-МОПТ, основанный на в /ьМОПТ являются высокая абсорбции межузельных атомов кремния вблизи кра- чувствительность порогового ев подзатворного окисного слоя, которые блокируют напряжения и степень проник- проникновение бора новения бора к дайне затвора.
3.5. Улучшение характеристик подзатворных окислов методом нитрирования Кроме того, было показано, что диапазон значений длины затвора, при которых проявляется RSCE-эффект в я-МОПТ и в р-МОПТ идентичен. Это указывает на одну причину, вызывающую RSCE-эффект в МОПТ. Известно, что в я-канальных транзисторах RSCE-эффект связан с быстрой нестационарной диффузией (TED), инициированной диффузией межузельных атомов при постимплантационном отжиге сток-истоковых областей. Основываясь на этих результатах в работе [25] предложена модель RSCE-эффекта в/7-МОПТ с поверхностным каналом, в которой межузельные атомы, диффундирующие из сток-истоковых областей и адсорбирующиеся на границе раздела Si-Si02, подавляют проникновение бора на краях канала. Иллюстрация к этой модели приведена на рис. 3.24 [25]. 3.5. Улучшение характеристик подзатворных окислов методом нитрирования Сильные электрические поля в окисле и в области канала вблизи стокового р-п- перехода являются основными факторами, приводящими к снижению надежности (срока службы) МОП-транзисторов. Эффективность действия этих факторов растет с уменьшением размеров приборов. При забросе горячих носителей на поверхностные состояния и ловушки в объеме окисла происходят долговременные изменения характеристик транзисторов, приводящие, в конечном счете, к выходу их из строя. Практически оценку надежности окисла осуществляют, подвергая его электрическому стрессу, например инжектируя в окисел электроны и измеряя предельную плотность QBD накопленного заряда, приводящую к пробою окисла. Установлено, что предельная плотность заряда QBD при положительном напряжении на затворе выше, чем при отрицательном, и возрастает при снижении толщины окисла. Предельная плотность заряда при отрицательном напряжении на затворе QBD(-V) при снижении толщины окисла уменьшается (рис. 3.25) [26]. Этот эффект объясняется структурным несовершенством переходного слоя границы Si-Si02, приводящим к возникновению деформации связей и внутренним механическим напряжениям. С целью снижения деформаций химических связей и напряжений предложено вводить в структурно-переходную область границы Si02—Si определенное количество атомов азота. Благодаря высокой прочности связей Si-N, вводимых в структурно-переходной Рис.3.25. Зависимость накопленного перед пробоем слой вместо деформирован- заряда (QBD) от толщины подзатворного окисного слоя ных и ослабленных связей (Тох) под воздействием одинакового по величине тока Si-O, увеличивается энергия ПРИ разной полярности на затворе (при 50%-ной веро- образования поверхностных ятн°сти пробоя) 40 60 80 100 о 1 Толщина подзатворного окисла (А)
142 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Рис.3.26. Зависимость накопленного перед пробоем заряда (QBD) от толщины подзатвор- ного окисного слоя (7^), подвергнутого нитрированию, — при одинаковых величинах стрессового тока в случае (а) отрицательного напряжения смещения затвора и (б) положительного напряжения смещения затвора (при 50%-ной вероятности пробоя) состояний. Однако эксперименты, выполненные в работе [27], показали, что доминирующим фактором повышения стойкости приборов к воздействию электрического стресса являются диффузионно-барьерные свойства слоя, обогащенного азотом, который блокирует диффузию молекулярного водорода, определяющую процесс образования поверхностных состояний и ловушек в окисле. Новые свойства нитрированного окисла обеспечивают улучшение характеристики QBD(-Vg). Одновременно увеличивается заряд QBD(+Vg) (рис. 3.26) [26]. Другим важным достоинством нитрирования подзатворного окисла является подавление проникновения бора из р+- затвора в область канала. Обычно в качестве источника азота при нитрировании используют аммиак (NH3). Преимуществом использования NH3 является возможность создания высокой концентрации азота на границе Si-Si02, что желательно для подавления диффузии бора в область канала. Однако одновременно с азотом в окисел вводится водород с высокой концентрацией, что приводит к значительному увеличению плотности ловушек для электронов. Удаление водорода из окисла достигается путем отжига в сухой атмосфере. Использование N20 при нитрировании не создает проблем с захватом электронов и поэтому обеспечивает более высокую стабильность параметров МОПТ и стойкость окисла к накопленному заряду QBD. Однако кинетика процесса нитрирования в N20 в сильной степени зависит от конструкции реактора, а концентрация атомов азота, создаваемая на границе Si—Si02 ниже, чем при использовании NH3. Снижение температуры процесса нитрирования и повышение концентрации атомов азота достигается при применении в качестве источника NO. Однако скорость формирования слоя оксинитрида в среде N0 значительно ниже, чем в предыдущих вариантах. Все вышеуказанные методы нитрирования позволяют создать барьер различной степени эффективности для проникновения бора через границу Si—Si02 в область канала МОПТ. Однако накапливаемая в окисле перед барьером повышенная концентрация бора способствует деградации характеристик подзатворного окисла из-за повышения эффективности процессов захвата заряда, провоцируя снижение QBD [28]. Поэтому значения Оводдя структур с р+- затвором всегда меньше, чем с я+-затвором (рис. 3.27) [29]. Тем не менее, нитрирование подзатворного
3.5. Улучшение характеристик подзатворных окислов методом нитрирования 143 ю3 л ю2 I10' Q а10о ь 1 i +Vg L ds р 1 1 1 1 1 1 1 1 1 J п+-затвор ] ^^ *? . п о о- 1 о " о J _ ° \ /^ 1 F <Z - р+-затвор j F ^^ 1 Р л) подложка п-типа ] 1 i i j i i i i i i i i J 102 10' S о 10' Q а ю-1 -1 1 1 1 1 1 1 1 1 1 Г- р+-затвор 4 6 8 10 12 Толщина окисла (нм) 14 г б) подложка п-типа ю-21 ■ ■ ■ » ■ i ■ 1 4 6 8 10 12 Толщина окисла (нм) 14 Рис.3.27. Величины накопленного перед пробоем заряда (QBl)9 соответствующие 50%-ной вероятности кумулятивного отказа (в условиях TDDB-измерений в режиме постоянного тока) как функция толщины подзатворного чистого окисного слоя (Si02). Напряжения смещения электрода затвора имели (а) положительную и (б) отрицательную полярности относительно Si-подложки я-типа и /?-типа в режиме аккумуляции, соответственно. Плотность тока при стрессовом воздействии составляла 100 мА/см2 окисла в целом позволяет улучшить как его характеристики, так и его стойкость к воздействию электрического стресса. Повышению эффективности процесса нитрирования в/ьМОПТ будет способствовать использование низкотемпературных методов, которые позволяют практически исключить диффузию бора в окисел в процессе нитрирования и, соответственно, устранить вышеупомянутую деградацию подзатворного окисла. В этой связи наилучшим методом нитрирования является тот, в котором пик концентрации азота формируется на обеих границах раздела: ramH-Si/Si02 и Si02-Si. 3.5.1. Термическое нитрирование слоев Si02 в NH3 Исследование процесса термического нитрирования слоев Si02 в среде NH3 выполнено в работах [30—33]. При температуре выше 650°С на поверхности Si02 протекает реакция хемосорбции NH3: Si-O-Si + NH3 ^> SiNH2 + SiOH, а взаимодействие продуктов реакции дает SiNH2 + SiOH <-> Si2NH + H20. (3.9) (3.10) Таким образом, при нитрировании в среде NH3 на поверхности Si02 образуются молекулы воды, которые десорбируются в газовую фазу и диффундируют в объем слоя окисла. Нитрирование Si02 осуществляется путем замещения атомов кислорода в структуре двуокиси кремния атомами азота, а высвободившиеся атомы кислорода в составе молекул Н20 диффундируют к поверхности кремния и десорбируют в газовую среду. Десорбция Н20 с поверхности Si02 способствует
144 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ включению азота в окисел. Исследования распределения азота в окисле в зависимости от давления NH3 показали, что при низком давлении (около 1 мбар) азот включается в поверхностный слой Si02, а при увеличении давления до 30 мбар азот достигает границы раздела Si—Si02 и фиксируется в форме стабильных комплексов SiOxNr Этот обогащенный азотом слой действует как барьер для диффузии кислорода. При увеличении длительности нитрирования концентрация азота на поверхности окисла растет много медленнее, чем на границе раздела Si—Si02. Это объясняется стационарным характером обмена атомами азота между газовой фазой и оксинитридом. Процесс нитрирования окисла в среде NH3 необходимо сопровождать процессом повторного окисления в сухом кислороде с целью уменьшения межфазовых напряжений и концентрации водорода до уровня, существующего до нитрирования. Благодаря процессу повторного окисления снижается плотность заряда в окисле и плотность поверхностных состояний, создаваемых горячими носителями, а также снижается плотность инжектированного до пробоя заряда QBD. Важным практическим следствием процесса повторного окисления является почти полное удаление водорода из окисла. Взаимосвязь между распределением азота в окисле после повторного окисления и электрическими характеристиками МОП-структур исследовалась в работах [34—38]. Показано, что оптимальные характеристики стойкости приборов с нитрированным подзатворным окислом к воздействию электрического стресса достигаются при концентрации азота 4,5%(ат.) на границе раздела Si—Si02 и 1% (ат.) в объеме окисла. Однако выбор режимов нитрирования окислов, используемых в МДПТ (как п-, так и р- канальных), необходимо производить после более широких исследований. 3.S.2. Оптимизация процесса термического нитрирования подзатворного окисла в среде NH3 при изготовлении п- и р-МОПТ Нитрирование подзатворного окисла в среде NH3 широко исследовано с целью использования при изготовлении МОПТ [41-57]. Обычно при формировании окисла методом быстрого термического окисления в среде аммиака содержание азота в подзатворном окисле составляет 1—10%. К достоинствам МОПТ с нитрированным подзатворным окислом относят их высокую стойкость к времязависимому пробою (TDDB) [39], подавление генерации поверхностных состояний под воздействием горячих носителей [40—45], подавление диффузии бора из /?+-затвора в подложку [46] и увеличение тока стока «-МОПТ при высоких напряжениях на затворе [44, 47—52]. К недостаткам технологии нитрирования подзатворного окисла в NH3 относят снижение надежности, связанной с захватом зарядов в окисле при инжекции горячих носителей [42, 44], снижение тока стока р-МОПТ при высоком напряжении на затворе Vg и снижение тока стока п- и р-МОПТ при низком напряжении Vg. Особенности МОПТ с нитрированным подзатворным окислом с высокой концентрацией азота представлены в табл. 3.1.
3.5. Улучшение характеристик подзатворных окислов методом нитрирования Табл. 3.1. Изменение характеристик МОПТ после нитрирования подзатворного окисла Параметр Ток стока Плотность поверхностных состояний Пороговое напряжение Эффект горячих носителей Режим При высоких V При низких Vg Генерация поверхностных состояний Захват заряда л-МОПТ Больше Меньше Больше Положительный сдвиг Меньше Больше р-МОПТ Меньше Меньше Больше Положительный сдвиг Больше В то же время было показано, что при более высоких концентрациях азота, введенного в процессе нитрирования с использованием аммиака, снижается стойкость к воздействию горячих носителей и ухудшаются некоторые другие характеристики МОПТ [39, 44, 49, 53]. В работе [40] сделаны попытки оптимизации концентрации азота и водорода. При этом концентрация азота менялась в диапазоне между несколькими и 10% [39, 47, 54]. Имеется очень мало сообщений о характеристиках МОП-транзисторов с уровнями азота менее 1 % (ат.) в подзатворном окисле. Между тем известно, что для обеспечения приемлемых характеристик приборов необходимо минимизировать концентрацию водорода в подзатворном окисле [40]. При слабом нитрировании в среде NH3 концентрация водорода в окисле мала, однако при этом одновременно снижается концентрация азота до величины менее 1%(ат.). Тем не менее окисел с таким содержанием азота при определенных условиях еще предотвращает проникновение бора в область канала и обеспечивает повышение стойкости приборов к воздействию горячих носителей. Поэтому необходим выбор оптимальной концентрации азота в окисле, при которой обеспечиваются требования к надежности и характеристикам приборов. В работе [58] нитрирование слоев подзатворного окисла с концентрацией азота менее 1% осуществлялось с использованием быстрого термического отжига в атмосфере аммиака (RTN) с примесью НС1. Нитрирование выполнялось при температуре от 800 до 1000°С. Последующее быстрое термическое окисление (RTO) в Табл. 3.2. Концентрация азота и эквивалентная толщина окисла после нитрирования и повторного окисления Режимы нитрирования и повторного окисления 800°С, 10 с 850°С, 10 с 900°С, 5 с 900°С, 20 с 900°С,60 с 1000°С, 60 с UC-V) нм 5,2 5,2 5,2 5,1 5,1 5,1 соя' % (ат.) 0,13 0,24 0,54 1,7 3,0 4,4
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ а) Глубина (нм) окисление RTN (NH3) RTO (02) б) 5 10 Глубина (нм) 15 кислороде выполнялось при той же температуре. При изменении условий нитрирования концентрация азота в подзатворном окисле изменялась от 4,4%(ат.) до 0,13%(ат.) (табл. 3.2). Распределение концентрации азота по толщине окисла представлено на рис. 3.28. Зависимость концентрации водорода от условий нитрирования значительно слабее, чем для азота, особенно после повторного окисления RTO. Например, концентрация водорода в образцах с содержанием азота 4,4 и 0,13% отличается менее чем в три раза, а концентрация водорода в окисле с содержанием азота 0,54% почти равна концентрации в исходном, не прошедшем нитрирование образце после повторного окисления (рис. 3.29). Концентрация водорода в окисле с содержанием азота 0,13% даже до повторного окисления равна концентрации водорода в исходном окисле до нитрирования. Исходные характеристики МОПТ после нитрирования и окисления (Vt, Nit, gm) В работе [58] выполнено исследование электри- Рис.3.28. Распределение концен- ческих характеристик п- и/?-МОПТ с подзатвор- трации азота в окисле: (а) метод ным окислом? нитрирование которого проводили в вышеуказанных режимах. Изготовление подзатворного окисного слоя осуществлялось ОЖЭ-электронной спектроскопии, (б) ВИМС-метод (Л О 1* « си. § 8 X s нтра (про X о * 104 toj 1UZ 101 h «NO» о Окисление 6RTN(NH3) \ о RTO (02) \ «РО» V4£r-4.4% ^^> 0.54% / оЖ [N]-концентр. \ 0.24^ f 0ЛЗ \ -{«РО» \кл, и ) 5 10 1 а) Глубина (нм) се о 1* « S В m X S нтра (про X о 5 104 10J О)1 101 !( «NO» 9 Окисление 1 6 RTN (NH3) 1 «РО» V 0.54% \^г °-24% f \ [N]-концентр. 'о.13%1 * «РО» 1 3 5 10 1 5 б) Глубина (нм) Рис.3.29. Концентрационные профили распределения водорода по глубине. Концентрационный профиль в случае чистого окисного слоя (РО) использовался в качестве контрольного: а — в случае повторной операции окисления, б— без повторной операции окисления
3.5. Улучшение характеристик подзатворных окислов методом нитрирования в соответствии с последовательностью, представленной на рис. 3.29. A) Пороговое напряжение (V) и плотность поверхностных состояний Щ). Известно, что сильное нитрирование подзатворного окисла приводит к увеличению заряда в окисле и плотности поверхностных состояний, вследствие чего уменьшаются максимальные значения подвижности и крутизны. На рис. 3.30 и 3.31 представлены зависимости порогового напряжения и плотности поверхностных состояний Nu от концентрации азота в подзатворном окисле. Как видно, значительные изменения указанных параметров происходят при концентрации азота в окисле выше 2%(ат.), а при концентрации <1%(ат.) изменения Nit и Vt незначительны, поэтому слабое нитрирование является предпочтительным. B) Крутизна. На рис. 3.32 представлены зависимости максимальной крутизны МОПТ, умноженной на толщину окисла перед нитрированием, от эффективной напряженности электрического поля в подзатворном окисном слое. На рис. 3.33 приводятся за- 1.0 0.8 В 0.6 0.4 0.2 j_ W/L = 10 мкм/10 мкм Тох = 5нм р.МОпТ У п-МОПТ ь 1 N 1 ■ ■ 11 mil i w\ Ш щ область А ильного \ 1зменения1 ///Л ////Л ///Л //мЛ\ i1 0.1 1 10 Концентрация азота (ат. %) Рис.3.30. Зависимости исходной величины порогового напряжения (V) от концентрации азота 5 ^^ а О 4 Рн О 2 Z XI Z _ - ф. 9 • - | К | • • • 1 '/ш v///// область значительного увеличения У///А ///У// vvvvyy 0*0.1 1 1 0 Концентрация азота (ат. %) Рис.3.31. Зависимость исходной величины относительной плотности поверхностных состояний от концентрации азота 5 ^-v S S 4 и ■* 2 з w J , Н 2 X ед i - W/L- = 10 мкм/10 мкм W. «NO» Д^ * ^VxN^n aT^ 4\V"KH.4 У^Л/ >-^ «ро» ^ЖЧ\ >■ ^^ч X О 013 >К^ 0.24 ^5 1 1 ^^ S S и •«г о X о X в 1.5 1.0 0.5 _ W/L и * = 10 NO» мкм/10 мкм «РО» -. 11 ат.% ^С °13 ч/^З^У 0.24 4-4^^~VvJ 0 2 4 6 a) (V-V,)/T0X(WBm-') 0 -2 -4 -6 6) (V-Vt)/TOX(10*BM-') Рис. 3.32. Зависимости максимальной крутизны, умноженной на толщину подзатворного окисного слоя перед нитрированием, от (V — V)/Tox: (а) я-МОПТ, (б) p-MOYYT
Концентрация азота (ат. %) Концентрация азота (ат. %) \ а) б) \ Рис. 3.33. Зависимости исходной относительной величины крутизны от концентрации азота при различных значениях напряженности электрического поля в подзатворном окисном слое: (а) я-МОПТ, (б) р-МОПТ висимости исходной относительной крутизны от концентрации азота в подзатворном окисле. При низкой концентрации азота в окисле (0,54%(ат.)) максимальная крутизна я-МОПТ практически не отличается от той, которая была до нитрирования. С увеличением напряжения на затворе крутизна на 20-50% выше, чем в приборах с чистым окислом (рис. 3.32а). С увеличением концентрации азота в окисле крутизна я-МОПТ заметно снижается при малом напряжении на затворе и в значительной степени увеличивается при большом. Такому существенному изменению зависимости крутизны от напряжения на затворе дано объяснение в работе [47]. С использованием рамановской спектроскопии комбинационного рассеяния было обнаружено, что создание нитрированного окисла на поверхности кремниевой пластины, имеющего более высокое значение модуля Юнга, чем у Si02, несмотря на сближение значений КТР кремния и нитрированного окисла, приводит к возникновению в диэлектрике внутренних напряжений растягивающего характера. Показано, что величина создаваемых внутренних напряжений увеличивается с ростом пиковой концентрации азота на границе Si—Si02. В указанной работе выполнено моделирование влияния внутренних напряжений на крутизну МОПТ путем изгиба кристалла с приборами, как это изображено на рис. 3.34. Когда растягивающие напряжения в нитрированных образцах уменьшаются при Рис. 3.34. Методика эксперименталь- приложении внешних изгибающих сил, кру- ного исследования влияния растяги- тизна я-канальных транзисторов снижается, вающих и сжимающих напряжений на а/ьканальных - растет (рис. 3.35). На осно- крутизну МОПТ вании полученных результатов авторы работы исток затвор rr=s й № D сток Вид в плане МОПТ 4 Растягивающие напряжения Вид в поперечном сечении t Напряжения сжатия
3.5. Улучшение характеристик подзатворных окислов методом нитрирования [47] сделали вывод о значительном влиянии внутренних напряжений, создаваемых нитрированием, на крутизну МОПТ. В/ьМОПТ крутизна сильно падает при концентрации азота 4,4%, в то время как при слабом нитрировании деградация крутизны незначительна (рис. 3.325, 3.33d). Из представленных зависимостей отчетливо видно преимущество слабого нитрирования: как для я-МОПТ, так и для /7-МОПТ уменьшение крутизны незначительно. Как следует из представленных на рис. 3.36 зависимостей, при содержании азота в подзатворном окисле на уровне 0,54%(ат.) уменьшение тока стока не превышает 10%. Стойкость МОПТ к воздействию горячих носителей Наряду с высокой стойкостью МОПТ с сильно нитрированным подзатворным окислом к воздействию горячих носителей для них характерен значительный захват инжектированных носителей на ловушки в окисле [39, 42, 47,53]. Ниже рассматриваются особенности деградации параметров МОПТ при воздействии горячих носителей при слабом ТЧИз-нитрировании подза- творного окисла [58]. А) «-канальные МОПТ. На рис. 3.37 -1 -2 -3 -4 (У-%)/Тох(х108Вм') -5 0 1 2 в) (v-vt)/To: 3 4 5 (х108 Вм ') представлены зависимости деградации па раметров «-МОПТ от пиковой концентра- Рис. 3.35. Зависимость крутизны МОПТ ции азота в окрестности границы раздела от эффективного напряжения на затво- Si-Si02 для различных условий электри- Ре пРи воздействии механических на- ческого стресса: 1) для режима инжекции пряжений по методике, приведенной на горячих электронов из канала V = Vd (ре- рис' 334: а) ^М0ПТ с нитрированным пттп ^ 1 тт . ^ • 1 ч окислом, подвергнутым напряжениям жим СНС = Channel Hot Carriers stress); сжатия? ^ p_MQm c нитрированным 2) для режима инжекции горячих носите- окислом? подвергнутым напряжениям лей, инициированных лавинным размно- сжатия, в) «-МОПТ с чистым окислом, жением в пристоковой области при эф- подвергнутым напряжениям сжатия фективном напряжении на затворе (Vg—V), соответствующем условию /sub = макс (режим DAHC=Drain Avalanche Hot Carrier stress). На рис. 3.37я изображена зависимость прироста плотности поверхностных состояний ANit после стресса от концентрации азота, на рис. 3.385— зависимость сдвига порогового напряжения, вызываемого захваченным в окисле зарядом. При воздействии стресса в режиме максимального тока подложки генерация поверхностных состояний ANit падает в 2,4 раза относительно уровня для приборов с чи-
КМ 50 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ [ W/L = Юмкм/Юмкм Тох = 5 нм «NO» 4,4% ^ ■— «РО» уУ^ /£~ /""~~~> ^Г- -^-V-L- 5В —— 4В 1 ЗВ J 2В I IB J 2 | 1с1-возрастает \ при больших ! ^-уменьшается при небольших V 0.8 0.4 . W/L= Юмкм/Юмкм Тох = 5 нм «NO» 0,54% ^—5В"1 —«ро» /^:-4вН 4?''* зв J -_ ^—^^—1 и in Icl- увеличивается 2 Vd(B) W/L= Юмкм/Юмкм ^"^ Т.... = 5 им S OX , «NO» 4,4%/ -4В •— «ро» / ^"" /S -5В // ^*?t^§- g^Z** "/JZ^- "В — $А>- v-v, = -ib [ 1 1 1 1 -Ч- -Л- г _1_ -200| Ij-уменьша- "^ ется при s всех значе- ^ нияхУ -,0°1 1 W/L= Юмкм/Юмкм «^"sh [ Тох = 5 нм ,*''^" 1 «NO» 0,54%х^^^ Г ■—«ро» ''/~~~ //С^ -4В */rs ^ Г Ж^^ зв JpT -2В \ш/^ vrv, = -iB &Z- 1 1 1 т 'Г I,- незначительно уменьшается -2 Vd(B) Рис.3.36. ВАХ МОПТ с чистым (РО) и нейтрированным (N0) подзатворным окислом стым окислом (рис. 3.37я), а сдвиг порогового напряжения A Vt снижается в 3,8 раза (рис. 3.376). Заметим, что знак AVt в этом режиме отрицательный; это свидетельствует о том, что в этом режиме в окисле захватываются электроны. Так как при этом виде стресса в окисел инжектируются в основном дырки, то отрицательный заряд окисла, по-видимому, создается электронами, захваченными на поверхностных состояниях, а не в объеме окисла. Таким образом, сильное нитрирование подзатворного окисла повышает стойкость я-МОПТ к воздействию стресса в режиме максимального тока подложки. Однако при воздействии электрического стресса в режиме инжекции электронов из канала (Vg = Vd) сдвиг порогового напряжения А ^приборов увеличивается в 6,3 раза, что свидетельствует о значительном захвате носителей. При этих условиях стресса в окисел инжектируются горя- п-МОПТ 10мкм/0,5мкм TnY = 5нм % W/L=10mkm/0,5mkm TrtV = 5нм I I I I I III! а) о'o.i 1 Концентрация азота (ат. б) О' 0.1 1 Концентрация азота (ат.! 10 Рис. 3.37. Зависимости деградации, вызываемой горячими носителями, от концентрации азота в случае «-МОПТ: (а) изменение плотности поверхностных состояний, (б) сдвиг порогового напряжения
3.5. Улучшение характеристик подзатворных окислов методом нитрирования 151 Рис.3.38. Зависимости приборных характеристик (Su Vt) в случае /?-МОПТ от концентрации азота в подзатворном окисном слое. Приводимые данные получены для различных доз имплантации BF2+ в /?+-поликремниевый затвор. Можно видеть, что при достаточно больших концентрациях азота проникновение бора существенно подавляется чие электроны, которые после захвата на ловушках формируют фиксированный отрицательный заряд. Генерация поверхностных состояний ANi( при воздействии электрического стресса на я-МОПТ в режиме инжекции электронов из канала во всем диапазоне концентраций азота незначительна (рис. 3.37а). Как видно из приведенных данных, слабое нитрирование подзатворного окисла и-МОПТ после стресса в режиме V = Vd приводит к незначительному увеличению фиксированного заряда в окисле. После стресса в режиме максимального тока подложки увеличение плотности поверхностных состояний также незначительно. Действительно, при нитрировании с пиковой концентрацией азота 0,4%(ат.) AVt в режиме Vg = ^увеличивается в 1,8 раза, а в режиме максимального тока подложки A Vt падает в 1,8 раза, а плотность поверхностных состояний уменьшается в 1,4 раза. Таким образом, из приведенных данных следует, что оптимальная концентрация азота при нитрировании окисла находится вблизи 0,5% (ат.). При этом наблюдается незначительное увеличение фиксированного заряда в режиме V= Vd и незначительное повышение плотности поверхностных состояний при стрессе в режиме максимального тока подложки. В)/ь канальные МОПТ. В этих приборах изменение плотности поверхностных состояний ANit пренебрежимо мало в обоих режимах электрического стресса. Изменение порогового напряжения AVt при концентрации азота в окисле < 1 %(ат.) значительно меньше, чем в «-канальных транзисторах. Таким образом, для обеспечения стойкости п- и ^-канальных МОПТ к горячим носителям необходимо осуществлять слабое нитрирование подзатворного окисла, а именно с концентрацией азота вблизи 0,5%(ат.). При меньшей концентрации азота увеличивается плотность поверхностных состояний, а при концентрации выше 0,5%(ат.) — плотность ловушек для электронов в окисле. Подавление проникновения бора через подзатворный диэлектрик В случае проникновения бора из/?+-поликремниевого затвора через подзатворный диэлектрик в область канала изменяются подпороговый 5-фактор и пороговое напряжение Vt (рис. 3.38). При увеличении содержания азота в окисле до 4—5%(ат.)
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Табл. 3.3. Влияние концентрации азота в нитрированных подзатворных окисных слоях МОП-тоанзистооов на их хаоактеоистики КОНЦЕНТРАЦИЯ АЗОТА (АТ.%) Исходные значения Влияние горячих носителей VTH N Gin К NMOn Чист. окисел r^fiqq ^ , НИТРИРО- концеитр. ^ ' ьанныи 1 окисел 0 ОЛ 0,2 0.5 1 2 i i i i iii i _г^,^ Высокая -v-^" концентр. 5 10 ■ i i i i i 11 лучший вариант хадший , высок Vfi низк V PMO NMOn высок V ни ж V РМОП NMOn РМОП Проникновение бора i i 1 i DAHC сне DAHC сне 1Е15см2 ЗЕ15см-2 КОНЦЕНТРАЦИЯ АЗОТА (АТ.%) ОБЩИЙ ИТОГ 0 0,1 0,2 0,5 1 2 1 1 1 L 1 1 i—1—1 1 1 1 1 худший | ™„^тЫЙ 5 10 J 1 1 Mill худший | обеспечивается подавление проникновения бора в область канала при имплантации ионов BF2 с дозами вплоть до 5-1015 см2 и температуре активационного отжига 850°С при обработке в печи и 1000°С — в режиме быстрого отжига. При снижении концентрации азота в окисле до 0,5%(ат.) предельная доза имплантации бора в затвор не должна превышать 3-1015 см2 для обеспечения допустимого изменения 5иУг В табл. 3.3 представлена обобщенная картина влияния концентрации азота в нитрированных подзатворных окисных слоях МОП-транзисторов на их характеристики, на надежность и подавление проникновения бора. При концентрации азота около 0,5%(ат.) обеспечиваются приборные характеристики, эквивалентные полученным при нитрировании в среде N20. В то же время обеспечивается стойкость к воздействию горячих носителей и эффективное подавление проникновения бора из затвора в область канала. Приведенные режимы слабого нитрирования в NH3 могут быть использованы для изготовления глубокосубмикронных МОПТ, так как низкая температура нитрирования и отжига (900°С), выполняемых в системах быстрой термообработки, способствует снижению перераспределения примеси. 3.5.3. Нитрирование подзатворного окисла в среде закиси азота (N20) Процесс нитрирования в среде N20 более предпочтителен, чем в среде NH3, благодаря низкой концентрации водорода в окисле, так как атомы водорода приводят к образованию электронных ловушек, в связи с чем необходимо проведение дополнительного процесса окисления или отжига для уменьшения концентрации водорода.
3.5. Улучшение характеристик подзатворных окислов методом нитрирования Табл. 3.4. Режимы процессов нитрирования подзатворного окисла в среде N20 и соответствующие концентрации азота на границе раздела Толщина (А) 60/110 60/110 60/110 60/110 60/110 Температура (°С) Длительность (мин) Концентрация азота (%) 900 5 1,6 900 20 2,2 900 40 2,8 950 5 2,1 950 20 3,5 Нитрирование окисла в среде N20 осуществляется как в горизонтальной печи,так и в установках быстрого термического отжига. В работе [59] было показано, что процесс быстрого термического окисления в N20 может характеризоваться повышенной неоднородностью по составу и толщине окисла. Кроме того, длительность процесса окисления в среде N20 недопустимо велика из-за низкой скорости окисления. В связи с этим предпочтение отдается двухэтапному процессу окисления с завершающим этапом нитрирования в среде N20 при температуре 900-950°С [60, 61]. Такой процесс нитрирования обеспечивает повышение стойкости к воздействию горячих носителей [60, 61] и ограничивает проникновение бора через подзатворный окисел в область канала. В табл. 3.4 приведены режимы процесса нитрирования окисла толщиной 60 и 110 А и соответствующие концентрации азота на границе раздела [62]. На рис. 3.39 приведены распределения концентрации азота в структуре Si—Si02, нитрирование которой осуществлялось с использованием NH3 и N20 [63]. Следует отметить, что пик концентрации азота в последнем случае смещен в объем окисла от границы Si-Si02. В работе [64] проведена оптимизация концентрации азота в окисле с целью снижения влияния нитрирования с использованием N20 на корот- коканальные характеристики и ток стока в режиме насыщения р- и п- канальных МОПТ. Пиковое значение концентрации азота в окисле при оптимизации изменялось от 0 до 2,2 % ат. Подавление проникновения бора в область канала /?-МОПТ характеризуется помимо величины сдвига порогового на- та ~ ~п „ , ^ Рис. 3.39. Концентрационные профили распреде- пряжения (рис. 3.40) [64-] еще и ления по глубине атоМов кислорода (О) и азота (N), разбросом его значений, отра- полученные ВИМС-методом для нитрированных жающих нестабильность про- окисных слоев толщиной Тох = 85 А: (а) для случая цесса проникновения, которая нитрирования в среде N20; (б) для случая нитриро- зависит от факторов, способ- вания в среде NH3 с последующим реокислением ствующих диффузии бора в (ROXNOX-слои). Для проведения ВИМС-анализа на окисле Как известно суще- п°Дзатв°Рный окисел сверху осаждался слой аморф- ственным фактором, способ- «ого кремния (a-Si) толщиной 120 А 60 Концентрация О О О О.С N20-OKMce/i i i a-Si | ('Si02 о а)! 1 ~' ^У1 10 0.015 Глубина ( Тох = 8,5нм i 1 Si-подложка |\ 1\ 1 \ 1 \ 1 \ 1 \ \ V-N \ \ х \ \ \ \ \ \^w. 0.020 . мкм) >л/\ ROXNOX ti \ a"Sl I » Si02 i 1 подложка" 1 \ ш <у '\ 010 0.015 0.020 4 ^ g Концентрация N 0 Глубина (мкм)
ш\ 54 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 0.6 0.4 0.2 0.0 -0.2 -0.4 -0.6 -0.8 Пороговое напряжение при V = -0,05В (В) ^60 PQ ^50 40 U I зо 20 Э ю н г \^ г BF^4 г Бор И L= 1.0 мкм Тох = 4.1нм Vd = -0.05 В Ы ы 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0.0 0.5 1.0 1.5 Концентрация азота N 2.0 2.5 (ат. %) Рис. 3.40. Зависимости кумулятивной ве- Рис. 3.41. Зависимости стандартного от- роятности (Р) от наблюдаемых величин по- клонения величин Vt от пикового значения рогового напряжения Vt в вейбулловских концентрации азота (Npeak) в подзатворном координатах для р-МОТП окисле /ьМОПТ ствующим диффузии бора в окисле, является наличие в нем фтора. В связи с этим дисперсия порогового напряжения/?-МОПТ с затвором, легированным с использованием BF2, значительно выше, чем в случае легирования бором (рис. 3.41) [64]. Увеличение концентрации азота в окисле значительно снижает разброс порогового напряжения. Следует отметить следующую особенность использования нитрирования под- затворного окисла, связанную с короткоканальными характеристиками я-МОПТ (рис. 3.42) [64]. Как видно из рис. 3.42, с использованием нитрирования спад порогового напряжения начинается при больших значениях длины затвора Lg, чем в МОПТ с чистым подзатворным окислом. При этом наблюдается также уменьшение обратного короткоканального эффекта. На рис. 3.43 [64] видно, что с увели- чением концентрации азота в окисле короткоканальный эффект усиливается, а обратный короткоканальный эффект — ослабляется. Исследования показали, что этим явлениям сопутствует уменьшение эффективной длины канала МОПТ с ростом концентрации азота в окисле (рис. 3.44 [64]). Причина указанной закономерности связана с механизмом проявления обратного короткоканального эффекта (см. гл.1). В МОПТ с чистым подзатворным окислом быстрая диффузия межузельных атомов при отжиге имплантированных сток-истоковых областей обуславливает ускоренную диффузию атомов бора оло -0.10 п-МОПТ Тох = 4,1 нм Vd=l,8B I i i i i I i i i i 1 i i i i I i i i i I i i i i 0.0 0.1 0.2 0.3 0.4 0.5 0.6 Длина затвора L (мкм) Рис. 3.42. Короткоканальное изменение величины порогового напряжения как функ- чия длины поликремниевого затвора {L) для вблизИ"этих "областей ~к~ поверхности я-МОПТ в случае чистого (верхняя кривая) и нитрированного (нижняя кривая) подзатвор- ного окисного слоя кремния. Это приводит, с одной стороны, к увеличению Lefp а с другой -
3.5. Улучшение характеристик подзатворных окислов методом нитрирования Концентрация азота Npeak (%) Рис.3.43. Зависимости характеристических величин изменения порогового напряжения от пиковой концентрации азота (N Л) в случаях обычного (VtSCE) и обратного (Vt RSCE) коротко- канальных эффектов для я-МОПТ ,^-v § S 0.19 (Л S S 0.18 « <я Ж |0.17 | 0.16 и <D п-МОПТ >v L=0.18mkm \ Тох = 4Лнм О 1 1 % 0.15b L ^ 1 i i i i i # 0 0.0 0.5 1.0 1.5 2.0 Концентрация азота Npeak (%) Рис.3.44. Зависимость эффективной длины канала (LJ) от пиковой концентрации азота (N *) в подзатворном окисном слое я-МОПТ к обратному короткоканальному эффекту. При нитрировании быстрая диффузия точечных дефектов при отжиге сток-истоковых областей подавляется благодаря взаимодействию межузельных атомов с атомами азота. Поэтому концентрационный профиль бора в канале у сток-истоковых областей при отжиге не модифицируется, как в случае МОПТ с чистым подзатворным окислом, а изменение эффективной длины канала и степень проявления обратного короткоканального эффекта уменьшаются. Аналогичное влияние нитрирования на обратный корот- коканальный эффект оказывает и процесс окисления поликремниевых затворов в атмосфере N20 [65]. 1 1 1 Г ♦•:•"::::" Увеличение L h N-МОП 1 . i i 1 "=/"^?Ufcfcv конц. т<» ■ [N]% - 110А i %Ч i S&**. -J . Контрольный образец ^ 4 1 1 \ -1 \ J \ 0.6 0.8 ЕЛМВ/см) Рис.3.45. Зависимости подвижности электронов в инверсионном слое от напряженности эффективного электрического поля при различных условиях термообработки в среде N20. Измерения были выполнены при Vd — 0,05 В на приборных структурах с более длинным каналом (LJWeff= 19,5 мкм/70 мкм) V у V •■—г—•—г i / "V. Увеличение конц. [N] Р-МОП ТОХ=110А i I i I i 1 • 1 ' 1 г—1 ■" — Контрольный образец Увеличение конц. [N] % 1 i I i I i I i i 1 1 г Г™ А Н 0.4 0.5 0.6 ЕЛМВ/см) Рис.3.46. Зависимости подвижности дырок в инверсионном слое от напряженности эффективного электрического поля при различных условиях термообработки в среде N20. Измерения были выполнены при Vd = 0,05 В на приборных структурах с более длинным каналом (Le^/Weff= 19,5 мкм/70 мкм)
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 111111111111111111111111111111 Л 0.0 0.5 1.0 1.5 2.0 2.5 3.0 Концентрация азота N к (%) 210 i о н о е2 200 Е- 190 180 170 160 150 140 130 п-МОПТ р-МОПТ (BR) L=1.0mkm Тох = 4.1нм |VJ= 1.8B |V-VJ=L5B i I i i i i I i i i 90 0.0 0.5 1.0 1.5 2.0 2.5 Концентрация азота N (%) Рис.3.47. Зависимости плотности поверхностных состояний (NJ от пиковой кон- Рис- 3-48- Зависимости тока стока (|/J) от пи- центрации азота (NpeJ ддя л-МОПТ (ниж- ковой концентрации азота (NpeJ Для «-МОПТ няя кривая) и /?-МОПТ (верхняя кривая) (верхняя кривая) и /ьМОПТ (нижняя кривая) В работах [59, 66] показано, что нитрирование в среде N20 подзатворного окисла приводит к снижению эффективной подвижности носителей вр-кп- канальных МОПТ. Как видно из рис. 3.45 [62], при низких значениях напряженности эффективного электрического поля Ee{f подвижность электронов значительно снижается с увеличением концентрации азота в окисле, а при высоких значениях Eeff — увеличивается, как это наблюдалось при нитрировании в среде NH3. Наилучший результат, обеспечивающий оптимальные характеристики МОПТ во всем диапазоне напряжений смещения, достигается при слабом нитрировании, т.е. при умеренной концентрации азота в окисле. Результаты исследований подвижности при низких температуре и шумовых характеристиках транзистора показали, что причиной улучшения подвижности электронов при высоких значениях Eeff является не только меньшая плотность приповерхностных ловушек на границе нитрированного окисла и кремния, но также то, что эти ловушки располагаются на большем расстоянии от границы раздела (см. рис. 3.39), что уменьшает эффективность кулоновского рассеяния носителей в канале [62]. Подвижность дырок, как и в случае нитрирования в среде NH3, незначительно (на 3—10%) уменьшается во всем диапазоне значений Eejr(pnc. 3.46) [62]. Деградация подвижности в этом случае объясняется либо изменением напряженного состояния приповерхностной области нитрированного окисла [67], либо влиянием обогащенного азотом слоя кремния, имеющего донорный характер [68]. Приведенные результаты исследования подвижности носителей согласуются с зависимостями плотности поверхностных состояний Nst и тока стока /dsat p- и я-канального транзисторов от пиковой концентрации азота в окисле (рис. 3.47, 3.48) [64]. Значения Nst для/ьМОПТ выше, чем для az-МОПТ; считается, что в/?-МОПТ плотность поверхностных состояний определяется комбинированным влиянием нитрирования и проникновения бора. Поэтому при легировании затвора р-МОПТ ионами бора, а не BF2, обеспечивается снижение Nst и, как следствие, увеличение Idsat на 9,7% из-за отсутствия фтора в окисле, способствующего проникновению бора в канал (рис. 3.49).
3.5. Улучшение характеристик подзатворных окислов методом нитрирования -10 -8 1 + о 5 -4 -2 0 I L=0.18мкм /^irtCP000^ I W=20mkm ^^^Ut"**^^ I Тох = 4.1нм ,d*5^*% ~ V< = "2,0B I ° Бор r&r ^nnooooo000^ : • BF2 j&^i^^S^^^9^ rdf^S^**^^ V - V = -1,5B 1..-J. .X-J..J—J 1..-L..J 1 1 1 1 1 1 1 1 1 1 0.0 -0.5 -1.0 -1.5 -2.0 Напряжение на стоке (В) В результате анализа вышеуказанных зависимостей в работе [64] установлены области оптимальных соотношений между пиковой концентрацией азота в окисле и толщиной подзатворного окисла, в которых обеспечиваются допустимое значение дисперсии порогового напряжения aVt и допустимые изменения тока стока /d и длины канала AL. Соответствующие области допустимых соотношений между Npeak и Тох представлены на рис. 3.50. При оптимальном содержании азота в окисле величина предельного инжектированного заряда (до про- Рис.3.49. Ц ^-характеристики /?-МОПТ с дли- боя) QBD значительно превосходит ной затвора 1^ = 0,18 мкм в случаях использова- уровень, достигаемый для МОП- ния в качестве имплантируемых в поликремние- структур с чистым окислом. Однако вый затвоР ионов В+ или BF^+ следует отметить, что при сильном нитрировании (при температуре 1050°С) может наблюдаться снижение значения QBD [69, 70]. 3.5.4. Влияние горячих носителей на МОПТ с подзатворным окислом, нитрированным в среде N20 n-МОПТ. Исследования деградации я-МОПТ после воздействия электрического стресса, направленные на выявление влияния атомов азота на границе Si— Si02 на генерацию поверхностных состояний и ловушек в окисле, выполнены в работе [27]. Анализ, выполненный в этой работе, показал, что высота барьера для инжекции электронов в окисел для нитрированных и чистых образцов близки к значениям 3,6 и 3,1 эВ, соответственно. Это различие в высоте барьера вызывается образованием отрицательного заряда в окисле после нитрирования для диапазона Тох < 9 нм (рис. 3.51). Возникновение отрицательного заряда связано с тем, что атомы кремния со связями Si-N заряжены отрицательно из- за различия в сродстве к электрону между атомами азота и кислорода. В более толстых окислах Тох > 9 нм наличие положительного заряда объясняется оборванными связями, создаваемыми включением из- 3.0 ^> £R ^1 о as 2.0 н О го К 1.5 S Я" <Л & 1.0 X CD X X 0.5 О * ■ 1 ■ i. ■ 1 < i " Ограничений, "связанныес \ [ проникновение^: - (avt< 10мВ)\ ■ г, BF24. L /ор ^\ X X \ i i i i i i i i ■ i i Ограничение из-за * корот коканал ьного. эффекта (AL < 5%) -^ ^.^^ограничение * \^^ поД] < 10% ■ -^РгБор bf,-*^^ " \i—*г*~*"^ jJr I^^^^J^-r^ \ - , i . i . i . X 2.0 2.5 3.0 3.5 4.0 X V шА X Вор V BFn Х-- — X \ 1 . 1 . | . X « 4.5 5.0 5.5 6.0 6.5 Тох (нм) Рис.3.50. Зависимость между оптимизированным пиковым значением концентрации азота (Npeak) и быточных атомов азота [70]. При толщиной подзатворного окисного слоя (TJ
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 0.1 0 В ^-0.1 -0.2 ( i i I Отрицательные заряды Положительные заряды > "ill ) 5 10 15 Тох (нм) 20 Обогащенный азотом слой -* увеличении длительности окисления плотность этих дефектов окисла снижается. На рис. 3.52 схематически представлена зонная диаграмма структуры Si—8Ю2-поликремний, иллюстрирующая влияние отрицательного заряда в нитрированном окисле на изменение формы потенциального барьера. На рис. 3.53а представлены зависимости изменения плотности поверхностных состояний ANst, Рис.3.51. Смешение напряжения плоских зон создаваемых под воздействием (ДК™)послеоксинитрированияв^О(при950°С электрического стресса в условиях в течение 20 мин) в случае МОП-структуры с ^ = 5 В и tstt. = 104 с, от эффектив- различной толщиной окисного слоя (TJ ного напряжения на затворе (Vg - V{) во время стресса. ANst имеет максимальное значение при (Vg — V) = IB, когда максимален ток подложки Isub. Как видно из рис. 3.53 в нитрированных образцах в широком диапазоне напряжений (V — V) подавляется как генерация поверхностных состояний, так и захват электронов в окисле. Это связано с уменьшением инжекции электронов из-за изменения формы потенциального барьера, как показано на рис. 3.52. Из сравнения рис. 3.53а и 3.535следует, что при заданной величине Vd максимум ANbt соответствует более высокому напряжению на затворе во время стресса, чем в случае ANst. Это связано с тем, что захват электронов осуществляется в объеме окисла, и в связи с этим необходимо более высокое напряжение на затворе для более глубокой инжекции электронов, в то время как генерация поверхностных состояний происходит непосредственно на границе Рис. 3.52. Схематичная энергетическая зон- РазДела oi-biU2. ная диаграмма, иллюстрирующая инжек- На рис. 3.54 представлены зависи- цию горячих электронов из области канала мости ANst и ANhte от длительности ин- в случае я-МОПТ. Пунктирные линии соот- жекции горячих носителей в режиме ветствуют распределению потенциала в ре- максимального тока подложки Vd *= 5 В, зультате появления отрицательных зарядов, V-Vt= \Ъ. Одинаковый характер вре- индуцированных атомами азота у границы м^нной зависимости ANst и ANbte для раздела SiO?-Si st bt'e F 2 нитрированного и чистого окислов ука- п+-поли-Si Подложка р-типа ;/ $Ю2 Край области
3.5. Улучшение характеристик подзатворных окислов методом нитрирования т 1 1 1 1 г 3 Ь _>*ч Контрольный образец S 2 < J_ J_ Vg-Vt(B) а) 1 1 1 1 Г Контрольный образец Рис.3.53. Изменение (а) плотности поверхностных состояний (ANJ и (б) поверхностной плотности захваченного на ловушки заряда в окисле (ANb) в случае я-МОПТ {L = 0,4 мкм; W= 20 мкм) после стрессового воздействия при Vd = 5 В в течение Tstr = 104 с как функции эффективного напряжения смещения затвора (Vg — V). Зачерненные и светлые кружки на каждом рисунке соответствуют величинам для контрольного образца и образца с оксинитрированным (в N20) под- затворным окисным слоем (Тох = 7,8 нм), соответственно 1012 ю11 U < Контрольный образец Образец, ; оксинитрированный в N20 [ Ю10 bilJ I I 1IIIIII I I IIII1II 101 102 103 104 Продолжительность стресса (с) а) 101: Контрольный образец Образец, оксини-Н трированный в N Q 101 102 103 104 Продолжительность стресса (с) б) Рис.3.54. (а) Поверхностная плотность наведенных поверхностных ловушек (N() и (б) поверхностная плотность захваченных на ловушки электронов (Nit) в случае я-МОПТ (L = 0,4 мкм; W= 20 мкм) во время стрессового воздействия при Vg— К, = 1Ви = 5В как функция продолжительности стрессового воздействия. Зачерненные и светлые кружки на каждом рисунке соответствуют величинам, полученным для контрольного образца и для образца с оксинитрированным (в N20) подзатворным окисным слоем (Т = 7,8 нм), соответственно зывает на единый физический механизм деградации, а именно на диффузию водорода во время стрессового испытания [71]. Таким образом, в результате гЧ20-нитрирования подзатворного окисла его электрофизические свойства изменяются следующим образом. Во-первых, из-за характерного для нитрированного окисла возникновения отрицательного заряда увеличивается эффективная высота барьера для инжекции электронов в окисел. Кроме того, из-за высокой прочности связей Si—N таюке увеличивается энергия
160 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 3x10 PQ > S С I I I I I I I I I □ Контрольный образец А 950°С, 5 мин О 950°С, 20 мин N-МОП TnY = 110А t 300 К Lefl/We(T=0,65/30MKM 101 102 4xl02 Продолжительность стресса (с) Рис.3.55. Относительное уменьшение тока стока (AId /Id) n-МОПТ как функция продолжительности стрессового воздействия (ts(r) в условиях, близких к максимальной величине тока подложки (Isub) при 300К и 85К. Условия стрессового воздействия при 300К: Vd = 5,25 В, Vg = 1,7 В; при 85 К: Vd =5ВиК = 1,7В ю-1 ю- о, с 10- Lcl/We(T= 0,65/15мкм i N-МОП TrtV= 110А п Контрольный образец| А 950 "С, 5 мин О 950 °С, 20 мин i i i i I i i 101 102 4х102 Продолжительность стресса (с) Рис.3.56. Относительное уменьшение тока стока (AIJQ «-МОПТ как функция продолжительности стрессового воздействия (^г) в условиях, близких к максимальной величине тока затвора (Ig) при 300К и 85К. Условия стрессового воздействия при 300К: Vd=V = 5,75 В; при 85К: Vd = V.; = 5,25 В образования поверхностных состояний. Однако наряду с этим в работе [27] показано, что указанные факторы не играют доминирующей роли в повышении стойкости МОПТ к электрическому стрессу. Доминирующую роль в повышении стойкости приборов к воздействию горячих носителей играют диффузионно-барьерные свойства слоя, обогащенного азотом: блокирование диффузии молекулярного водорода, определяющего процесс образования поверхностных состояний и ловушек в окисле, является наиболее значимым фактором, отличающим нитрированный окисел от чистого. Стойкость «-МОП-транзисторов к горячим носителям была исследована при двух наихудших условиях: при пиковом значении тока подложки (Tsub), когда генерируются поверхностные состояния, и при пиковом значении тока затвора (Ig), когда происходит захват заряда на ловушки в оксиде. Из рис. 3.55 [62] следует, что в сравнении с контрольными приборами с чистым окислом срок службы нитрированных «-МОП-транзисторов, испытанных при максимальном IJLVd= 5,25В, V= 1,7В),в4-10раз больше. Заметим, что срок службы я-МОП-транзисторов, нитриро- ваных в среде NH3, на три порядка больше [75]. Это значительное улучшение срока службы в сравнении с приборами, нитрированными в N20, может быть отнесено на счет более высокой концентрации азота (12% (ат.)) против 3-4% (ат.) при нитрировании в N20. Рис.3.56,3.57 [62] иллюстрируют увеличение срока службы я-МОПТ- приборов, испытываемых при максимальном Ig(Vd= Vg= 5,75 В).
3.5. Улучшение характеристик подзатворных окислов методом нитрирования Приведенные результаты подтверждают, что введение азота в окисел уменьшает плотность электронных ловушек и не вносит новые электронные ловушки в Н20-нитрированный окисел в отличие от нитрирования в среде NH3, когда увеличение концентрации водорода в окисле вызывает повышение плотности электронных ловушек. Таким образом, показано, что низкотемпературное нитрирование подзатворного окисла в среде N20 при температуре 900-950°С в 4-10 раз повышает стойкость к воздействию горячих носителей «-канальных транзисторов. При повышении температуры нитрирования концентрация азота на межфазовой границе увеличивается, и стойкость к воздействию горячих носителей может быть повышена. Однако МОПТ с сильно легированным азотом окислом харак- 5x10"' I I 111Ш| 1 I 1ИИЦ 1 I М1Н1| I- Р-МОП т = 110А PQ со ю-1 Ь 10- I 11ИН| I I МИН Lcfi/We(T= 0,5/15мкм 85 К 300 К Н □ Контрольный образец _| А 950 °С, 5 мин О 950 °С, 20 мин IIUll I nml null ю-1 ю° ю1 io2 io3 io4 Продолжительность стресса (сек) Рис.3.57. Относительное увеличение тока стока (Ald/ld) p-MOUT как функция продолжительности стрессового воздействия (^/г) в условиях, близких к максимальной величине тока затвора (Ig) при 300 и 85К. Условия стрессового воздействия при 300 и 85К были одинаковыми: ^ = -7 В и ^, = -1,2 В 2 ^ 1 Ы о о 3^0 < -1 а) ■ i i i i i i i • Контрольные образцы ' ^3_ ° Нитрированные yQ образцы (в N20) & Захват \ электронов Захват N. ^ч дырок \i \ (а) ^^"^ 1 1 1 1 ■ I I I 0-1-2-3-4 -5 -6 -7 V-Vt(B) 8 6 ы о © 4 Т< < L 0 б) т 1 1 1 1 1 1 • Контрольные образцы о Нитрированные образцы (в N20) ■ W-/ - (b) 0 -1 -2 -3 -4 -5 -( V-V,(B) 5 -7 Рис.3.58. Зависимости изменения (a) Not (приведенной поверхностной плотности нормированного на величину q заряда, захваченного на ловушки в объеме окисного слоя) и (б) Nit (поверхностной плотности нормированного на величину q заряда, захваченного на ловушки на границе раздела Si02-Si) от эффективной величины напряжения смешения затвора (V - V) для /ьМОПТ с поверхностным каналом (L = 0,5 мкм; W= 20 мкм) для случаев нитрированного в N20 подзатворного окисного слоя (светлые кружки) и контрольных образцов с чистым подзатворным окислом (зачерненные кружки). Измерения проводились после стрессового воздействия (Vd = -6,0 В; tstr = 104 с)
162 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ теризуются меньшей эффективной подвижностью, чем МОПТ, окисел в которых нитрирован при низкой температуре. Поэтому необходим выбор оптимального уровня нитрирования подзатворного окисла, обеспечивающего приемлемое соотношение между электрическими характеристиками МОПТ, подавлением диффузии бора в подложку и стойкостью приборов к воздействию горячих носителей. Этому условию отвечает нитрирование окисла при температуре 950°С, 5 мин или 900°С, 20 мин, которое дает концентрацию азота 2%(ат.) на границе Si-Si02. /?-МОПТ. Подобные исследования влияния нитрирования в N20 на деградацию параметров транзисторов под воздействием горячих носителей проведены для р-МОТП с поверхностным каналом [76-78]. Анализировались изменения плотности поверхностных состояний 7Vst и плотности ловушек в окисле Nhx после воздействия на /ьМОПТ (Zg = 0,5 мкм, Тох = 7^8 нм) электрического стресса в режимах: Vd= -6,0 В, \Vg— V\ = 0V7 В, fstr= 104с. На рис. 3.58 представлены зависимости ANbtq и AjVstq от эффективного напряжения на затворе (Vg— V), действующего во время стресса. На зависимости A7Vbt от (Vg — V) (рис. 3.58я) можно видеть две характерные особенности: 1) для приборов с чистым окислом наблюдается значительный захват электронов при низких значениях \Vg— V}, соответствующих ин- жекции горячих электронов из района лавинного размножения носителей в при- стоковой области, и значительный захват дырок при высоких значениях \Vg— V\ соответствующих инжекции горячих дырок из области канала; 2) для приборов с нитрированным окислом наблюдается небольшое уменьшение захвата электронов и значительное увеличение захвата дырок по сравнению с приборами с чистым окислом. На зависимости ANst от (Vg — V) (рис. 3.586) можно видеть, что при низких значениях \Vg— V\ генерация поверхностных состояний в нитрированных приборах снижена, а при высоких значениях \Vg—V\ заметной разницы между приборами с чистым и нитрированным окислом не наблюдается. Увеличение захвата дырок на ловушки в нитрированном окисле объясняется уменьшением эффективной высоты барьера для дырок (см. рис. 3.52) из-за вышеупомянутого эффекта образования отрицательного заряда в окисле (см. рис. 3. 51). На генерацию поверхностных состояний, как известно, в значительной степени влияет присутствие водорода в окисле. В ряде работ [27, 77, 81] уменьшение плотности поверхностных состояний в режиме генерации горячих носителей (при низких значениях \V — V\) (см. рис. 3.586) и соответствующее повышение надежности МОПТ, в основном, объясняется блокированием диффузии водорода к границе раздела Si—Si02 слоем окисла, обогащенного Рис. 3.59. Схема, иллюстрирующая реали- азотом. В соответствии с принятой мо- зацию механизма образования ловушек на далью, горячие электроны разрывают границе раздела в результате инжекции но- связи Si—H и захватываются образовав- сителей шимися ловушками, а освободившиеся Высвобождение водорода при захвате носителей, инжектированных в Si02 .Si— Диффузия H,
3.5. Улучшение характеристик подзатворных окислов методом нитрирования 10п гТ"4 Ы' о о- % 1010 V g г- 10ш ю11 ы о о- | z* < ю10 а) .V - g _V- = i ■ ■ ■ . Ту/ ю9 б) i i ■ i i i i i 1 -Vt = -0.1B ^ = -6.0B .fif*^ 1 Контрольные jJr ^s* образцы ^m os^ ^^ ^6®^ Образцы, ^ u<*^ нитрированные i ^ bN2° : ■ ■ 1 10" ANblq,e(CM-2) i 1 i i i i n| i i—i-r-ra-q -7- vt = -6.0B -^ У. = -6.0B V^ ,p J> -jy ~ Контрольные J* S^ \ образцы jt ~ST 2 Лг j¥ 9^ <-/* Образцы, ^r j? нитрированные - yf / bN20 m^ / / 1 l Л \ I 1 l li 1 1 1 1 1 1 1 и 1 J 1010 10" ANht h (cm-2) btq,h v ' атомы водорода диффундируют к поверхности кремния, где благодаря реакции: Si3 = Si — Н + Н° -» Si3 = Si» + H2 возникают поверхностные состояния. Этот процесс схематически отображен на рис. 3.59 [77]. При повышенной концентрации азота вблизи границы Si—Si02 диффузия водорода к поверхности кремния блокируется, в результате чего предотвращается образование поверхностных состояний. Из представленной на рис. 3.59 модели следует, что изменения ANst и ATV^ должны коррелировать, причем в приборах с нитрированным окислом из-за блокировки диффузии водорода к границе раздела значения A7Vst должны быть меньше, чем в приборах с чистым окислом. Эта модель подтверждается хорошей корреляцией между A7Vst и ANbt, как это можно видеть из рис. 3.60л. Эффект блокирования диффузии атомов водорода в обогащенной атомами азота приграничной области также подтверждается и в случае условий стрессового Рис. 3.60. Корреляционная взаимосвязь между воздействия, соответствующего ин- Na (поверхностной плотности нормированного жекции дырок из канала (Vd = -6 В; на величину q заряда, захваченного на ловушки V - V = -6 В) (рис. 3.596). Из зависи- на гРанице Раздела Si0^Si) и ^ (приведенной g „ д *г , , поверхностной плотности нормированного на мостеи ANt от эффективного напря- st ^^ ^ величину q заряда, захваченного на ловушки в жения на затворе, представленных объеме 0кисного слоя) для случаев: (а) инжек- на рис. З.58я, следует, что в режиме ции ГОрячих электронов, образующихся в ре- инжекции в окисел горячих дырок зультате лавинного размножения носителей у при высоком напряжении на затво- границы со стоком (DAHE), и (б) инжекции горе плотность ловушек для дырок в рячих дырок из области канала (СНН) приборах с нитрированным окислом сильно увеличивается после стресса. Это приводит к дополнительной генерации поверхностных состояний (по модели, изображенной на рис. 3.59), вследствие чего различие изменений плотности поверхностных состояний после стресса для приборов с нитрированным и чистым окислом практически исчезает (рис. 3.586). Причина усиленного захвата дырок на ловушках в нитрированном окисле в сравнении с чистым (рис. 3.58л) не может быть объяснена моделью диффузии молекулярного водорода в приповерхностном слое у границы раздела Si—Si02. В настоящее время этот вид деградации, связанный с ростом (NJ при высоких значениях (V — V) (рис. 3.58я), объясняют изменением напряженного состояния окисла при нитрировании; считают [82], что при уменьшении сжимающих на-
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 102 к- ш-4 10( ю-* 0.1 пряжении в окисле, реализуемом при нитрировании, захват дырок на ловушки усиливается. Представленное на рис. 3.58 уменьшение электронного захвата и плотности поверхностных состояний в окислах, нитрированных в среде N20, улучшает надежность^-МОП-приборов при испытаниях при максимальном токе подложки I(V = -1,2 В, Vd= -7В, см.рис. 3.60 [62]). Это находится в заметном противоречии с результатами нитрирования в среде NH3, когда срок работоспособности Р-МОП-приборов может уменьшиться на несколько порядков величины из-за увеличения за- Рис. 3.61. Зависимости эффективности генерации дырок (Isub/Id) от обратной величины напряжения на стоке (l/Vd) для р-МОПТ с хвата электронов [76]. чистым (светлые значки) или с нитрирован ным (темные значки) подзатворным окис лом — при различных значениях эффектив ного напряжения на затворе Ранее [83] сообщалось, что основной причиной деградации параметров /ьМОПТ при уменьшении напряжения питания в масштабируемых приборах является генерация поверхностных состояний, создаваемых при инжекции горячих дырок из канала (Vd = V). Чтобы определить, является ли инжекция дырок доминирующей причиной деградации при снижении напряжения питания, в работе [77] рассмотрена зависимость эффективности генерации дырок, определяемой отношением тока подложки к току стока Isub/Id, от обратной величины напряжения на стоке Vdl (рис. 3.61). Как видно, с увеличением напряжения на затворе наклон зависимостей уменьшается. Это говорит о том, что эффективность генерации горячих дырок при высоких значениях напряжения на затворе снижается при уменьшении напряжения на стоке. Таким образом, при термическом нитрировании окисла в среде N20 инжекция дырок из канала не является доминирующей причиной деградации приборов, работающих при пониженном напряжении питания. 3.5.5. Термическое нитрирование подзатворных окислов МОПТ в среде окиси азота (NO) Нитрирование подзатворного окисла в среде N20 позволяет обеспечивать высокую надежность и приемлемые характеристики р- и ^-канальных транзисторов. Однако результаты исследований [28, 84, 85, 90] показали, что эффективность использования окиси азота NO вместо закиси азота N20 выше как в плане улучшения электрических характеристик МОПТ, так и в плане повышения стойкости к воздействию электрических стрессов. Кроме того, использование NO для нитрирования позволяет снизить термический бюджет и обеспечить повышение воспроизводимости и однородности толщины подзатворного окисла.
3.5. Улучшение характеристик подзатворных окислов методом нитрирования В работе [84] представлены результаты исследований р- и п- канальных транзисторов, подзатворный окисел в которых нитрировали методом быстрого термического отжига в среде N0. Максимальная толщина окисла в исследованиях составляла 150 А; неоднородность толщины по пластине не превышала 1%. Эффективность введения азота в систему Si-Si02 в среде N0 в сравнении с N20 и зависимость распределения азота в окисле от длительности быстрого отжига представлены на рис. 3.62. Максимальная концентрация азота в окисле при нитрировании в N0 в течение 10 с оказывается выше, чем при нитрировании N20 в течение 100 с. Кинетика изменения толщины окисла при нитрировании в NO представлена на рис. 3.63. При температуре отжига 1000°С изменение толщины 1023 101 11111111111111111111 ь-1000°С - термообработка SiO, | в N,0 и в NO N:100cbN2O N: 10 с в NO N: 40 с в NO N: 100 с в NO О: 100 с в NO I nullinVfViWiVbnin О 0.01 0.02 0.03 0.04 Глубина (мкм) Рис. 3.62. Концентрационные профили распределения азота (N) по глубине подзатвор- ного окисного слоя (Si02), нитрированного в среде NO при температуре 1000 °С в течение 10, 40 и 100 с. Приводится также концентрационный профиль распределения азота в окисла не превышает ЗА независимо si02-aioe, нитрированном в среде N20 при от начальной толщины окисла. Это температуре 1000 °С в течение 100 с указывает на механизм самоограничения роста окисла при введении в него большого количества азота. Характеристики я-МОПТ после нитрирования в NO. Ток стока «-канального транзистора с нитрированным подзатворным окислом незначительно (2-3%) превышает ток стока МОПТ с чистым подзатворным окислом при напряжении на затворе Vg выше 2 В; при напряжении Vg < 2 В различие в токах еще меньше (рис. 3.64.) С увеличением длительности отжига в NO более 10 с токи транзисторов с нитрированным и чистым окислами Рис. 3.63. Кинетика изменения толщины практически идентичны. Однако с уве- 8Ю2-слоев, нитрированных в NO, при различением длительности отжига повы- личных исходных толщинах окисных слоев шается пороговое напряжение Vt — от как функция продолжительности термообра- исходного значения 0,71 В до 0,78 В 6otkhbN2 при длительности отжига 40 с; это указывает на возрастание фиксированного заряда в окисле. Величина подпорогового ^-фактора для всех приборов составляла 88—89 мВ/дек. Крутизна МОПТ в линейной области при малых напряжениях на затворе незначительно снижена отно- °< t* о ч о о и, О X о о се X X В t=j ,г> Н 90 80 70 60 50 40 г—1 г 1 г г L 1 . 1 . 1 . 1 . 1 . 1 i l i l i , ..♦■••"• ■• j 1000°С - термообработка j Si02 в NO "H k 4 Mill 1 . 1 . 1 . 1 i 1 i 1 i 1 i 1 • 1 20 40 60 80 Время термообработки в NO (с)
АЛ 66 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 0.75 0.60 К " SiO W/L = 50мкм/10мкм -| ■Sio! + NO(1000°C, Юс) ■ SiO2 + NO(1000°C,20c) V = 5В • SiO, + NO(1000°C,40c) 0 0.5 1 1.5 2 2.5 3 3.5 |VJ(B) Рис.3.64. Зависимости произведения \1}'Т0Х от абсолютной величины напряжения на стоке \VJ для «-МОПТ (L/W = 10 мкм/50 мкм) при различных напряжениях на затворе (Vg = 0; 2; 3; 4 и 5 В). Измерения проводились на образцах с подзатворным окисным слоем (Si02), нитрированным в атмосфере NO (1000 °С) при разной продолжительности (10, 20 и 40 с). Для сравнения приводятся данные и для случая чистых слоев Si02 сительно значений для МОПТ с чистым окислом, но при (V — V) > 2 В крутизна увеличивается с ростом длительности! отжига в NO (tafJ) (рис. 3.65, 3.66). Эффективная подвижность в МОПТ с нитрированным окислом в области малых £е#либо равна (при tan> 10 с) значениям jneff для случая с чистым окислом, либо незначительно снижена, но не более, чем на 4% при максимальном tan = 40 с. В области высоких значений ^подвижность электронов оказывается выше значений для случая чистого окисла (рис. 3.67). Такое поведение ^eff(Ee/J) идентично вариантам нитрирования в NH3 и N20. Стойкость /1-МОПТ к воздействию электрических стрессов. Исследование надежности «-МОПТ осуществлялось в режиме воздействия горячих носителей при максимальном токе подложки, когда доминирует генерация поверхностных состояний (^ = 7 В, Vg = 2 В). На рис. 3.68 приведены данные о деградации тока стока в линейной области при различной длительности нитрирования. Как видно, нитрирование подзатвор- 4.0x10Z 3.5х102 З.ОхЮ2 < 2.5х10-2 § 2.0x10"2 Н Z? 1.5х102 1.0x102 5.0х10"3 SiO, SiO, + NO(1000*C, 10c) SiO, + NO<1000*C,20c) SiO~ + NO(1000°C,40c) W/L I Vd = 0.1В \ = 50мкм/10мкм"3 -1 0 а) |V|-|VJ(B) 8.5х10"3 6.4х10-3 ;4.3х103 о в °2ЛхЮ-3 0.0х10( [ /C^v с г"^*ч Г 1 S102 С 1— sio2 Ь 1 Si02 W/L = 50mkm/10mkm-| Ч |Vdl = 0.1BJ + NO(1000°C, 10Sv 4 + NO(1000°C, 20c) ^Я Г 1 » SiO2 + NO(1000°C,40c) j -10 12 3 4 5 6 |V|-|VJ(B) 6) Рис.3.65. (а) Зависимости |/J Tox от | Kj-| V\ и (6) |gj Tox от | KJ-| V\ для я-МОПТ (50 мкм • 10 мкм) с обычным 8Ю2-подзатворным окислом и Si02, нитрированным в NO, — в линейной области / (Vd) характеристик (| VJ =0,1 В) 40 9 35 2 и зо ■* 2 25 HS 20 d 15 10 1 ~т Z 1 7 / Z А - ш sio2 ; J» -_ ^^ \ / W/L=50mkm/10mkm : vg - v, : =4х108В/м -_ ox Z Si02+NO Si02+NO Si02+NO 1000°С.10с 1000°С.20с 1000°С.40с Рис.3.66. Иллюстрация связи между произведением gm Tox и процессом нитрирования подзатворных слоев (Si02: N) при различной его продолжительности для «-МОПТ (IV/L = 10 мкм/50 мкм) и при высоких значениях напряженности электрического поля (4 МВ/см)
3.5. Улучшение характеристик подзатворных окислов методом нитрирования 16' Рис.3.67. Зависимости эффективной подвижности электронов от эффективной напряженности электрического поля для п- МОПТ (W/L = 50 мкм/10 мкм) с обычным и нитрированным в NO подзатворным Si02- слоем ного окисла значительно уменьшает деградацию тока стока, и тем сильнее, чем больше длительность нитрирования. Деградация параметров я-МОПТ при воздействии горячих носителей в режиме максимального тока подложки связана с генерацией поверхностных состояний акцепторного типа [86]. Значительное превосходство приборов с нитрированным окислом иллюстрируется на рис. 3.69 зависимостью изменения плотности поверхностных состояний A7VSS от плотности заряда, инжектированного в окисел при воздействии стрессового туннельного тока по Фаулеру-Нордгейму. Снижение генерации поверхностных состояний в нитрированной структуре Si-Si02 объясняется уменьшением деформации атомных связей на границе раздела, что способствует повышению их устойчивости при воздействии стрессов [87]. Характеристики/i-МОПТ после нитрирования NO. Нитрирование подзатворно- го окисла N0 приводит к незначительному снижению тока стока /ьМОПТ. Как при низких, так и при высоких значениях Vg с увеличением длительности нитрирования степень деградации Id растет; при увеличении длительности нитрирования с (рис. 3.70). Абсолютная величина порогов 12 10 8 6 4 2 - о 1 ♦ - А ■ - Стрес - W/L и ■■ 101 , , SiO, SiO2 + NO(1000°C, 10 c) SiO2 + NO(1000°C,20c) SiO2 + NO(1000°C,40c) 'С ПРИ Umax = 50 мкм/0,8 мкм л О ♦ ♦ ♦ А ш О ■ 102 103 г\ J н ^3 1 • 1 ] -] ^ щ ♦ j ■ 1 ,"| 104 Продолжительность стресса (с) Рис.3.68. Деградация тока стока (AId/Id) под стрессовым воздействием горячих носителей из канала «-МОПТ как функция продолжительности стрессового воздействия, которое осуществлялось в условиях максимального тока подложки Vd — 7 В; Vg = 2 В). Измерения проводились в линейной области //^-характеристик Рис.3.69. Приращение поверхностной плотности состояний на границе раздела Si02/Si, приходящихся на единицу энергетического зазора в середине запрещенной зоны (ANJ, в МОП-структурах с обычным и нитрированным в NO 8Ю2-слоем, как функция инжектированного заряда в 8Ю2-слой в результате туннелирования по Фаулеру-Нордгейму (при уровне туннельного тока 10 мА/см2 при положительном напряжении смещения затвора) — в случаях различной продолжительности термообработки в NO г 10 до 40 с спад Id изменяется с 5 до 17% >го напряжения МОПТ после нитриро-
168 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 0.20 0.16К '% 0.12 'Si02 ' ' Ш/ь'=50мкм/1Ймкм SiO2 + NO(1000°C, 10с) Si02 + NO(1000°C, 20с) 1уе1^2 SiO2 + NO(1000°C |V| = 4B Рис. 3.70. Зависимости произведения |/J* Tox от абсолютной величины напряжения на стоке \VJ для /ьМОПТ {L/W= 10 мкм/50 мкм) при различных напряжениях 85 ■ ■ I ' ' ■ I ' ■ — Si02 --SiO2 + NO(1000°C, 10c) SiO2 + NO(1000°C,20c) SiO2 + NO(1000°C,40c) W/L = 50mkm/10mkm Vd = 0,lB --...Г.; -i i i I i i i I i i i L 0.08 0.23 0.39 t(MB/cm) 0.54 0.70 Рис. 3.71. Зависимости эффективной подвижности дырок от эффективной напряженности электрического поля для /?-МОПТ (W/L = н7мт1юре7^ 50 МКМ/Ш МКМ) С обычным и нитрированным проводились на образцах с подзатворным в N0 подзатворным слоем Si02 окисным слоем (Si02), нитрированным в атмосфере NO (1000 °С) при разной продолжительности (10, 20 и 40 с). Для сравнения приводятся данные также и для случая чистых слоев SiO0 вания увеличивается с 0,97 до 1,15 В, что указывает на рост плотности фиксированного заряда в окисле. Величина подпоро- гового ^-фактора составляет 82 мВ/дек. Эффективная подвижность в нитрированных образцах ниже, чем у МОПТ с чистым подзатворным окислом во всем диапазоне значений V, при длительности нитрирования 10 с снижение подвижности составляет 1,25% (рис. 3.71). Причиной ухудшения характеристик /?-МОПТ после нитрирования является образование поверхностных состояний донорного типа [88]. Стойкость /ьМОПТ к воздействию электрических стрессов. Деградация параметров /ьМОПТ исследовалась при „ „ „,„ Л инжекции горячих носителей в окисел Рис.3.72. Относительная деградация пико- ,rr, n ^ ,т/., Л ,. * Л ~ /А / ч в режиме \V\ = 7 В, \VA « 0,5-1,0 В, ко- вого значения крутизны (Ag/gJ в результа- ^ ' а ' *' ' ' ' те стрессового воздействия горячих носи- тоРомУ соответствует максимальный телей из канала как функция величины Vg TOK затвора. Замечено, что именно в при стрессовом воздействии доя />-МОПТ. этом режиме, приводящем к интенсив- Стрессовое воздействие осуществлялось ному захвату электронов на ловушках, при постоянной величине ^=7Ви фикси- происходит максимальная деградация рованной продолжительности (1600 с). Ток параметров /ьканальных МОПТ. От- стока и крутизна измерялись в линейной об- НОСительное снижение максимальной ласти (I J - , ) крутизны МОПТ в зависимости от напряжения на затворе во время стресса представлено на рис. 3.72. Как видно, при длительности нитрирования 10 с деградация снижается на 35% в сравнении со случаем чистого подзатворного окисла. С увеличением длительности нитриро- И" р L р- L L Г Г" И г_ h г*1 1111111 ••. : "#. : if». : /* : /1 / // •>; ТГГ •• А, * Стресс при Vd W/L = i i i 1 i i i 1 1 1 | 1 -♦ - --♦-- .. ...А... X %%*9 \ ♦/♦. \/ = 7В, 1600 50 мкм/0,8мк 1 1 1 1 1 1 1 1 1 1 1 | 1 1 SiO, ■ ■ 1 ■ SiO2+NO(1000°C, 1 'J н j ЮсН j SiO2+NO(1000°C,40c)H vv » \ ч •> 4W* ~ T'*»" сек *^ * M 1 1 1 1 1 1 ж "H 4 ^ 1 ^ »H j iii"i 1 2 3 |V|-|V,|(B)
3.5. Улучшение характеристик подзатворных окислов методом нитрирования 169 |(мВ >м < « К X Оч гнап Я fc* и 90 75 60 45 30 15 ,<1 "_ 1 1 1 1 1 1 1 - 1000°С-термообработка Si02 в NO - Г • Контрольный образец Л "I I■ ЮС ш : - ♦ 40 с • - * 80 с о - о Г •• ': -~ о — L£-. • • • * * - У*™ * * щ J =+100мА/см2 : -15 У^ Т ~52А " г ох _30 ' ' ' ' ' ' ' ' 0 50 100 150 200 250 300 Продолжительность стресса (с) вания деградация снижается в еще большей степени. Такое повышение стойкости /7-МОПТ к воздействию горячих носителей, по-видимому, связано с фактом пренебрежимо малого захвата зарядов на ловушки. Это иллюстрируется рис. 3.73, где сравниваются МОП-конденсаторы с нитрированным и чистым окислами по величине захватываемого заряда во время стресса при постоянном туннельном токе по Фаулеру- Нордгейму. Подводя итог вышеизложенному, можно сказать, что нитрирова- рИс. 3.73. Изменения напряжения на затво- ние подзатворного окисла в среде ре, требующиеся для подцержания постоянной NO является достаточно техноло- плотности тока на уровне 100 мА/см2, как функ- гичным методом обеспечения на- ция продолжительности стрессового воздействия дежности р- и п- канальных МОПТ в слУчае МОП-структур с обычным и нитриро- с электрическими характеристика- ванным в N0 слоем Si^ " ПРИ Ручной про- должительности термообработки в NO ми, не уступающими характеристикам МОПТ с чистым подзатворным окислом. В сочетании с низким термическим бюджетом, воспроизводимостью и однородностью толщины окисла этот метод нитрирования превосходит рассмотренные ранее. 3.5.6. Эффективная подвижность носителей в МОПТ с подзатворным окислом, легированным в N20 или NO В предыдущих разделах, в которых анализировалось влияние термического нитрирования подзатворного окисла в среде N20 или NO, выявилось существенное различие как в эффективности введения азота в структуру Si—Si02, так и в зависимостях электрических характеристик МОПТ от концентрации азота в окисле. Эффективным средством изучения некоторых электрофизических свойств границы раздела является исследование зависимости эффективной подвижности носителей от эффективного поперечного поля в окисле. В работе [85] подобное исследование выполнено для «-канальных транзисторов с подзатворным окислом, термически нитрированным в среде N20 или NO. Было выявлено две причины, благодаря которым МОПТ с подзатворным окислом, нитрированным в NO по току стока, превосходит МОПТ, нитрированный в N20. Первая причина связана с уменьшением микрорельефа границы раздела Si-Si02, а вторая — с уменьшением кулоновского рассеяния носителей из-за большей удаленности кулоновских центров от поверхности кремния. Эффективная подвижность является величиной, зависящей от плотности поверхностных состояний, плотности фиксированного заряда в окисле, уровня механических напряжений на границе раздела и от параметров микрорельефа по-
170 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Л н о о X ю tl о [i : подвижность, определяемая кулоновским >^ рассеянием , |iph: подвижность, определяемая рассеянием на фотонах _0_ Суммар \ |ipir: подвижность,! \ определяемая ^рассеянием на У \ микрорельефе ' \ поверхности Низкая температура \ Высокая температура ^&v \ ная М"еП=Ц"с + й~'|1 + ц!пг \ подвижность -и 1У -п . , \ 1 с,т Правило Маттиессена\ Эффективная напряженность эл. поля верхности кремния. Значения ^определялись из измеренных значений тока стока и плотности носителей в инверсионном слое Qinv: ^-(W/L)Qinv- (3-П) Как представлено на рис. 3.74, це1Т зависит от трех доминирующих механизмов рассеяния, а именно, от рассеяния: на кулоновских центрах (цс), на фоно- нах (|nph) и на микрорельефе поверхности кремния (jiimr). Эффективная подвижность связана с указанными компонентами подвижности правилом Маттиессена. Значения цс, црЬ, \xmr доминируют в области низких, средних и высоких значений напряженности ЕеФ соответственно. Как указано на рис. 3.74, \xph пропорциональна Е;р3 и является единственной компонентой, значительно зависящей от температуры. В области низких температур juefT не зависит от japh и определяется доминирующими компонентами цс и |дтг В области высоких температур |idf в основном определяется величиной црН. Изменяя температуру, можно целенаправленно изменять вклад каждого из механизмов рассеяния в величину neff определять цс, црК и цтг. Сравнение образцов, нитрированных в N20 и NO, осуществлялось по зависимостям цс, jiph и \хш от концентрации азота в окисле. На рис. 3.75 представлены зависимость крутизны gm* от эффективного напряжения на затворе К*, нормализованные к эффективной толщине окисла Тохеф для приборов с чистым и нитрированным в N20 или N0 окислом (приведенная поверхностная плотность атомов азота в окисле CN = 2,4-1014 см2). Хотя поведениеgm* и jneff, вообще говоря, не является вполне адекватным, все же хорошее соответствие между током стока Id в короткоканальном и #т* Рис.3.74. Схема, иллюстрирующая правило Маттиессена, вычисления результирующей эффективной подвижности с учетом полевой зависимости трех основных составляющих подвижности носителей =/(£) в инверсионном слое МОП-структур 2.0е-5 х 1.5е-5 (См * 1 .Ое-5 X £ И 5.0е-6 * s 0.0 . . i ■ i 0.0 Е* = ■ 1 ""Г"]- ГТ'ТГ'рГТТ '■|"'|Т"ГТ"Г"JTTTT' «*^ <а> п-МОПТ Г^^Ли L = 200 МКМ -о- Si02 ^СхЬь - -ш- N0(2.4) ^^VHi -Лг- N20(2.4) ^Ь> ,. I .... I .... I .... I ... . 0.1 0.2 0.3 0.4 0.5 = (VVt)/Tox I6/™] Рис. 3.75. Зависимости произведения gmT0X от эф- в короткоканальном и gm в длин- фективной напряженности электрического поля в ноканальном МОПТ означает, что подзатворном окисном слое E=(Vg- Vt)/Tox для характеристики масштабирован- л-МОПТ с длиной канала L = 200 мкм ных МОПТ все еще зависят от цеГ
3.5. Улучшение характеристик подзатворных окислов методом нитрирования С учетом этого замечания возможно использовать исследование (де{Г для практических целей, сформулированных выше. На рис. 3.76 представлены зависимости jneff(£^) электронов для образцов с чистым и нитрированным подзатвор- ным окислом. Подвижность в МОПТ с чистым подзатворным окислом хорошо соответствует универсальной зависимости \xe{f(EefJ), характеризуемой зависимостью japh от Eeff с показателем степени, равным -0,3 [89]. В нитрированных образцах этот показатель степени меньше -0,25 и зависимость jie{r(i^#) более слабая. Возможной причиной отклонения от универсальной зависимости могут быть аномальные значения плотности поверхностных состояний, фиксированного заряда в окисле, уровня механических напряжений и более развитый микрорельеф поверхности кремния. Для выявления конкретной причины указанного отклонения были проведены измерения juefr в диапазоне температур 225—425К (рис. 3.77). При температуре 425К вклад рассеяния на фоно- нах является доминирующим и отклонения от универсальной зависимости минимальны как для случая N20, так и для NO. 800 Be] 1 500 о о- а CD S Л о Подв* 200 • i i 300 К - 1 ■ ■ 0.05 1 1 1 1 1 1 1 1 1 1 1 \. Рассчитываемая и,. crP^v на базе универсальной »щ^^ модели ^SjSfcsJ _о_ SiO, ^^L4 -*- NO ^§Jt -+- N20 ISJk Cn = 2.4x10,4/cm2- ^ для нитрированных окислов ■ l • • • • 0.1 0.5 1 Ecff [МВ/см] Рис. 3.76. Зависимости эффективной подвижности электронов от эффективной напряженности электрического поля (ЕеЛ) при 300К о 5° 1000 s о « о X О & ^ |=; г, л Е- о о X й g С 100 225 К rf^XVo^ ^s/ С-ч^н^Р*^^ Г ^^^4teft>««_ >vHl клл мю к i^t4Buw_ ч&к -о— Si02 V^ftfe^ ' ^ нго \\ Cn = 2.4x10I4/cm2 425 К 0.1 1 Eeff (МВ/см) Рис.3.77. Зависимости jnefT от Eeff при различной температуре для МОПТ с подзатворными окисными Идентичность jiph для вариан- слоями, нитрированными в среде NO или N20(C^ = тов, обозначаемых далее как 2,4 • 1014 см2). Для сравнения приводится также слу- «Si02», «N20» и «NO», означает, чай чистого Si02 что роль изменения деформации атомных связей на границе раздела в результате нитрирования незначительна. Это означает также, что нитрирование не изменило уровня механических напряжений на границе раздела по отношению к исходному варианту с чистым окислом, так как в противном случае изменился бы спектр частот оптических и акустических фононов, ответственных за рассеяние. Таким образом, увеличение отклонения от универсальной зависимости в области низких температур, представленное на
W\ 72 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ CQ ЕЛМВ/см] Рис. 3.78. Зависимости подвижности, обусловленной рассеянием на поверхности (|asr) от £е#для МОПТ с различными нитрированными подза- творными 8Ю2-слоями рис. 3.77, где вклад рассеяния на фононах незначителен, означает, что основной причиной отклонения |ieff(i?e#) от универсальной зависимости в нитрированных образцах являются кулоновское рассеяние и рассеяние на микрорельефе поверхности. Учитывая полученный результат, в работе [85] с использованием правила Маттиессена и универсальной зависимости для jnph рассчитали зависимости \ieff(EefJ) для нескольких значений концентрации азота в окисле (рис. 3.78). Было обнаружено, что подвижность, определяемая рассеянием 7000 1х1012см- на микрорельефе поверхности, для нитрированных образцов выше, чем для образцов с чистым окислом. Кроме того, значения jisr для образцов, нитрированных в N0, выше, чем для образцов, нитрированных в N20. Важно отметить, что с ростом концентрации азота в окисле значения ц5Г для NO-образцов увеличиваются, в то время как jnsr для 1Ч20-образцов jisrHe зависит от концентрации азота. На основании этих результатов сделан вывод о различии параметров микрорельефа границы раздела кремния с чистым окислом и окислом, нитрированным в NO и в N20. Действительно, исследование границы раздела этих образцов на просвечивающем электронном микроскопе показало, что амплитуда микрорельефа уменьшается в порядке следования образцов: «Si02», «N20», «NO» — здесь принятые обозначения соответствуют: «Si02» — чистому подзатворному слою Si02; «N20» — слою Si02, нитрированному в N20, и «NO» — слою Si02, нитрированному в N0. Используя правило Маттиессена и определенные выше значения jixmr и (iph, в работе [85] рассчитали значения juc. На рис. 3.79 представлены зависимости цс от плотности суммарного заряда на поверхностных состояниях и на ловушках (в случае поверхностной концентрации носителей в инверсионном слое Ns>jnv = 1-Ю12 см2). Указанные зависимости для образцов, нитри- Рис.3.79. Зависимости подвижности, обуслов- рованных в NO и N20, имеют раз- ленной кулоновским рассеянием на заряженных личный характер: для NO-образцов центрах (цс) от плотности заряженных центров на зависимость насыщается уже при 0 12 3 4 5 Плотность поверхностных зарядов на границе раздела [хЮ11 см2] границе раздела Si02/Si плотности заряда 4-Ю11 см2, в то
3.6. Низкотемпературное формирование подзатворных диэлектриков с использованием плазмостимулированных процессов в режиме «отдаленной» плазмы время как для 1Ч20-образцов подвижность продолжает резко падать. Дальнейшие исследования показали, что эффект экранирования кулоновского потенциала в «NCb-образцах выражен слабее, чем в «N20»-o6pa3uax. Это иллюстрируется рис. 3.80, на котором представлены зависимости \ic от поверхностной плотности носителей в инверсионном слое nsinv. Более сильная зависимость (\хс от nsjnv для NO-образцов означает меньшее влияние кулоновского рассеяния на це{Г. Из зависимостей, приведенных на рис. 3.80, 104 со Ъ. 103 • i i i | 1 NO ^ О -'' "''' ■" ■ .141 1012 п . (см2) s,mv v 7 А А" i-N2° / • N20 (2.4) ■ N20(2.7) A N20(3.1) О N0(1.3) V N0(1.8) О NO (2.4) А NO (4.6) 5х 101J Рис.3.80. Зависимости подвижности jlic (определяемой кулоновским рассеянием на заряженных центрах) от поверхностной плотности носителей в следует, что в NO-образцах куло- инверсионном слое (nsinv) новские центры находятся дальше от границы раздела, чем в N20-o6pa3uax. Анализ ИК-спектров поглощения слоев окисла, нитрированных в среде NO и N20, показал, что основными связями в «ТЧ20»-образцах являются связи O-Si-N, а в «NO-образцах — связи Si3—N. Тогда с учетом того факта, что кулоновское рассеяние в «N20»- образцах значительнее, чем в «NCb-образцах, следует вывод: кулоновские центры создаются атомными конфигурациями O-Si-N. С помощью послойного анализа было показано, что в N20-o6pa34ax концентрация связей O-Si-N максимальна на границе раздела, в то время как в NO-образцах максимум связей O-Si-N расположен на несколько моноатомных слоев глубже в окисле. Этими результатами экспериментальных исследований объясняется различие в зависимостях эффективной крутизны МОПТ от эффективного напряжения на затворе (см. рис. 3.75). Выполненные в работе [85] исследования позволили установить, что более высокий ток стока МОПТ, в котором подзатворный окисел нитрирован в среде N0, обусловлен увеличением эффективной подвижности благодаря двум факторам: более «гладкой» границей раздела, что обеспечило снижение рассеяния на микрорельефе, и большей удаленностью кулоновских центров от поверхности кремния, что обеспечило снижение кулоновского рассеяния. 3.6. Низкотемпературное формирование подзатворных диэлектриков с использованием плазмостимулированных процессов в режиме «отдаленной» плазмы Вышерассмотренные методы нитрирования подзатворных окислов — отжигом в NH3 или N20 или окислением кремния в N20 или N0 — наряду с обеспечением повышенной надежности приборов и предотвращением проникновения бора в область канала имеют свои недостатки. Нитрирование в среде NH3 из-за необходимости проведения этапа повторного окисления характеризуется повышенным
ш\ 74 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Катушка зажигания Х^ плазмы 1=» Инертный газ: Не JL окисляющая смесь: 02. Трубка с плазмой N,0 Газораспределительное кольцо: газ-источник: SiH4 окисляющая смесь: 02,N20 Рис. 3.81. Схематичный чертеж установки осаждения с отдаленной плазмой термическим бюджетом, неприемлемым для глубокосубмикрон- ных приборов. При нитрировании с использованием N20 также необходимо увеличивать температуру и/или длительность процессов из-за снижения скорости окисления. В меньшей степени, но подобная ситуация имеет место и при нитрировании в среде NO, когда необходимо получить повышенную концентрацию азота на границе раздела Si-Si02. Применение метода плазмо- стимулированного окисления кремния в среде N20 + 02 с последующим плазмостимулиро- ванным осаждением Si02, ис- Табл. 3.5. Этапы создания подзатворного диэлектрика МОПТ с использованием плаз- мостимулированных процессов Процесс Режим Результаты процесса 1 .Плазмостимули- рованное окисление в режиме «отдаленной» плазмы 2. R-PECVD- осаждение Si02 3. Нитрирование границы раздела Si-Si02 4. Нитрирование границы раздела Si02- поликремний 5. Быстрый термический отжиг Температура подложки: 300°С; Давление: 0,4 Па; Состав газа в зоне зажигания плазмы: Не: 02 = 10:1; Длительность: -15—30 сек Температура: 300°С; Давление: 0,4 Па; Состав газа в зоне зажигания плазмы: Не : 02 = 10:1; Состав газа в нисходящем потоке: Не : SiH4 = 50:1 Температура: 300°С; Давление: 0,4 Па; Состав газа в зоне зажигания плазмы: Не : N2 = 2,5:1; Длительность: ~90 сек Температура: 300°С; Давление: 0,4 Па; Состав газа в зоне зажигания плазмы: Не : N2= 10 : 1; Длительность: -10-20 мин Температура: 900°С; Длительность: -30 с; Давление: < 1 атм; Газ - инертный Формируется пассивирующий слой - 0,5 нм Формируется слой Si02 Скорость осаждения: 2,5—5,0 нм/мин; Состав Si02 — стехиометрический Низкое содержание водорода и ОН-групп Создание обогащенной азотом границы раздела Si-Si02; Плотность атомов азота до (7-8)-1014см-2 Создание - 2 молекулярных слоев Si3N4Ha поверхности подзатворного окисла для подавления диффузии бора Снижение концентрации субокислов на границе раздела Si-Si02; Уплотнение слоя Si02; Снижение концентрации связанного водорода
3.6. Низкотемпературное формирование подзатворных диэлектриков с использованием плазмостимулированных процессов в режиме «отдаленной» плазмы пользующих режим обработки пластин в отдаленной плазме (remote PECVD, или R-PECVD), позволяет создавать на границе Si-Si02 контролируемую концентрацию азота при низкой температуре 300°С. Заданная плотность атомов азота, определяемая только соотношением потоков газов Не, N20 и 02, изменяется в диапазоне от (2V7)-1012 см-2 (1%(ат)) до (7-Я5)-1014см-2 (1-2 монослоя) при концентрации азота в максимуме распределения от НО21 до 5-Ю21 см-3 [91]. Плазмостиму- лированные процессы в режиме «отдаленной» плазмы отличаются от обычно используемых плазменных процессов следующими особенностями: 1) раздельным возбуждением газов носителя и реагентов, вводимых в реактор либо через плазменную трубу, либо через ин- рис. 3.82. Концентрационные профили жектор в нисходящем потоке (рис. 3.81)[91]; распределения атомов азота (N) по глу- 2) расположением кремниевых пластин вне бине в образцах, подвергаемых нитри- области свечения плазмы; 3) однонаправлен- рованию в N2/He-raia3Me после процесса ным переносом реагентов в нисходящем по- быстрого окисления (15 с), осуществляемого с помощью техники «отдаленной» 106 . ед.) азота (произв о Концентрация атомов о о о \ Вторичные ионы: SiN~ SiO, Si-подложка & Aiv) 02 (15 сек) + N2 (120 сек) М А\\\) 0,(15 сек) + N,(90 сек) k | Y«C .(и)О2(15сек) + Ы2(60сек) \^ f \ЛкС (0O2 (15 сек)+ N,(30 сек) 0 5 10 15 20 25 30 Глубина (нм) токе, исключающем возможность их попадания в зону возбуждения плазмы. С использованием R-PECVD-техно- 02-плазмы. Исследуемые образцы далее покрывались слоем Si02 толщиной ~5 нм с помощью PECVD-метода. Концентра- логии возможны различные комбинации ционные профили определялись с им- плазмостимулированных процессов окис- пользованием масс-спектрометрии вто- ления, нитрирования границ раздела Si— ричных ионов Si02 и 8Ю2-поликремний, осаждения Si02 и завершающего быстрого термического отжига (табл. 3.5) [92, 93]. При нитрировании поверхности кремния с последующим осаждением окисла концентрационный профиль азота имеет вид, представленный на рис. 3.82. При последующем нитрировании поверхности окисла распределение концентрации азота имеет максимумы как на внешней, так и на внутренней границе окисла (рис. 3.83). Для удаления водорода в связях Si-H диэлектрик отжигается в инертном газе при температуре 900°С в течение 30 с. (Однако в структуре диэлектрика остается сильно связанный водород в связях SiN-H (10— 15%(ат)), для удаления которого необходим отжиг при температуре 1200°С [94].) Нитрирование поверхности окисла рассматриваемым методом может также выполняться при снижении давления в камере до 0,133 Па, что вызывает перевод плазменной обработки в режим послесвечения (after-glow) [95]. В этом режиме концентрация ионов азота на поверхности окисла на много порядков выше, чем при обработке в режиме «отдаленной» плазмы. Режим плазменной обработки в послесвечении может быть использован для формирования нескольких монослоев нитрида кремния на поверхности окисла. Рассматриваемый метод нитрирования подзатворного окисла обеспечивает снижение туннельных токов через диэлектрик. На рис. 3.84 представлены зависимости плотности туннельного тока по Фаул еру- Норд гейму от напряжения на
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 102: g 1022 о со а « о 8 ю21 « 5 н 5 Ю20 Я о 101' Вторичные 1 Si02 К .-, Г *• •_/ • % °° V 1 ■ ■ ■ ■ 1 ■ ■ ■ ■ 1 ионы: CsN+ Si-подложка (ii) 'N-O-N'-структура /i) 'O-N'-структура • • о • oO 00o° ■ ■ ■ ■ 1 ■ I ■ 1 1 I ■ ■ 4 1 IQI 1 1 1 4 6 8 Глубина (нм) 10 12 Рис. 3.83. Концентрационные профили распределения атомов азота (N) по глубине в образцах: (i) — с наружной поверхностью 8Ю2-слоя, подвергнутой нитрированию в N2/He-roia3Me; (ii) - в случае комбинированного нитрирования как границы раздела, так и наружной поверхности Si02-arcon в 1М2/Не-плазме. Концентрационные профили определялись ВИМС-методом о н Л н о о к н о ю-3 ю-4 ю-5 ю-6 ю-7 ю-8 ю-9 1Ч2/Не-плазма . Инжекция из подложки (n-Si) Т = 4.5 нм ox-eq 0)О2(15сек)_ (ii)O2(15ceK) + N,(30ceK)^ (ш)О2(15сек) + Ы2(60сек)^ (iv) О, (15 сек) + N2 (90 сек)^ (v)O2(15ceK) + N2(120ceK)^ 12 3 4 5 Напряжение V (В) Рис. 3.84. Зависимости плотности туннельного тока через подзатворный диэлектрик (Jfg) от напряжения смещения затвора (Vg) при различной продолжительности процесса нитрирования. Эквивалентная толщина подза- творного диэлектрического слоя составляла затворе для МОП-структуры с толщиной окисла Тохщ = 4,5 нм [91]. Важно отметить, что в данном случае нитрирование осуществлялось при давлении в камере р = 0,4 Па, т.е. в режиме «отдаленной» плазмы, когда к поверхности окисла переносятся в нисходящем потоке только нейтральные атомы азота и возбужденные молекулы N2. Уменьшение плотности туннельного тока, как видно из рис. 3.84, происходит по мере увеличения длительности плазмохимической обработки и насыщается при формировании монослоя нитрида кремния в течение 90 с. Туннельный ток при инжекции носителей из затвора уменьшается в 10 раз в сравнении с чистым окислом и в 50—60 раз — при инжекции из подложки. Рассматриваемый метод позволяет избежать накопления бора, диффундирующего из/?+-затвора в подзатворный окисел. По оценкам авторов работы [91], для предотвращения диффузии бора в окисел из сильнолегированного (5-1015 см2) затвора при температуре 900— 1050°С достаточно формирования 1—2 монослоев атомов азота (7-И4-1014 см2) на поверхности окисла. Для повышения надежности МОПТ с эквивалентной толщиной окисла 1,8- 3,5 нм достаточно слабого нитрирования с плотностью атомов азота (2—5)* 1012см2 (1%(ат)) [12]. Имеется мало сведений об электрических характеристиках МОПТ с подзатворным диэлектриком, нитрированным рассматриваемым методом. Известно, что подвижность носителей при использовании этого метода нитрирования несколько ниже, чем в приборах с чистым окислом. Тем не менее, использование плазмости- мулированных процессов в режиме «отдаленной» плазмы для формирования подзатворных диэлектриков, считается перспективным благодаря возможности создавать
3.7. Формирование подзатворных окислов различной толщины. Окисление кремния, локально легированного азотом слои с заданным изменением состава по толщине структуры, а также благодаря обеспечению надежности приборов, снижению туннельных токов утечки и исключению проникновения бора из /?+-поликремниевого затвора в объем окисла. 3.7. Формирование подзатворных окислов различной толщины. Окисление кремния, локально легированного азотом При изготовлении сложных СБИС возникает необходимость с целью оптимального распределения задержки распространения сигнала и рассеиваемой мощности в различных цепях СБИС использовать МОПТ с различной толщиной подзатворного окисла. В табл. 3.6 для ряда поколений СБИС представлены диапазоны значений напряжений питания и соответствующие значения толщины подзатворного окисла; в любом случае при этом напряженность электрического поля в окисле не превышает 5 МВ/см. Для формирования на одном кристалле подзатворных окислов различной толщины используется локальная ионная имплантация азота в кремниевую подложку с тем, чтобы уменьшить скорость роста окисла при термическом окислении. Табл. 3.6. Напряжение питания VDD и толщина подзатворного окисного слоя Тох для ряда технологических поколений МОПТ (по данным SIA-Roadmap'97) Технологическое поколение 0,25 мкм 0,18 мкм 0,15 мкм 0,13 мкм 0,10 мкм 0,07 мкм 0,05 мкм ^(В) — для цепей СБИС с низкой потребляемой мощностью 1,8-2,5 1,5-1,8 1,2-1,5 1,2-1,5 0,9-1,2 0,6-0,9 0,5-0,6 ^(В) СБИС — для цепей с высоким быстродействием 3,3 2,5 1,8 1,8 1,5 1,2 0,9 Тох (нм) 3,6-6,6 3-5 2,4-3,6 2,4-3,6 1,8-3 1,2-2,4 1-1,8 Имплантация осуществляется с энергией ионов 20—50 КэВ и дозой Н014-И-1015 см2 через предварительно сформированный окисный слой толщиной 24 нм. С целью исключения преципитации Si3N4 перед удалением предокисла выполняют операцию быстрого термического отжига. Постимплантационный отжиг проводится в режиме 800°С, 20 с + 1070°С, 5 с. После удаления предварительного окисла выращивают подзатворныи окисел толщиной 30-75 А[98]. Снижение скорости роста начинается при имплантируемой дозе более 1-Ю14 см2 (рис. 3.85) [97]. При дозе, равной 1-Ю15 см2, скорость окисления падает настолько сильно, что толщина окисла не превышает 2 нм. С увеличением температуры окисления снижение скорости роста окисла более значительно (рис. 3.86, 3.87). Отмечается, что при этом улучшается однородность толщины окисла [98]. Необходимо доба-
щ\ 78 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 12 X о о Ж К 3 Время окисления 800°С Г 120, 25) О 101 I I I I I ill I I I I I и "О 1013 1014 1015 Доза имплантированного азота (см2) вить, что сток-истоковые р-п- переходы, сформированные после выращивания подзатворного окисла рассматриваемым методом, характеризовались низкой плотностью тока насыщения (1015А/мкм2 [97]). При дозе 110м см2 имплантация ионов азота приводит к частичной аморфизации кремния, а при дозе 1-Ю15 см2 в кремнии образуются преципитаты Si3N4 и ухудшается микрорельеф поверхности. В связи с этим, при Рис. 3.85. Толщина подзатворного окисного слоя (Гох), реализации данного метода получаемая термическим окислением в печи (800 °С), управления скоростью роста как функция дозы имплантации азота в Si-подложку окисла доза имплантации азо- при различной продолжительности процесса окисле- та не превышает 2*1014 см2 [97]. ния В указанной работе этот метод использован для изготовления СБИС КМОП с длиной затвора 0,18 мкм и двумя значениями толщины подзатворного окисла — 3 нм и 4 нм. В табл. 3.7 [97] приведены номинальные значения порогового напряжения, тока стока в режиме насыщения и тока утечки /ья-переходов для р- и «-канальных транзисторов с указанной толщиной окисла. Табл. 3.7. Параметры МОПТ с различной толщиной подзатворного окисла, изготовленных на одном кристалле с использованием локальной имплантации азота Напряжение питания (VDD) 2,5 В 1,8 В Толщина подзатворного окисла (Тох) 4 нм 3 нм «-МОПТ Idi0n (при Ioff= 100 пА/мкм) Ток утечки К р-МОПТ Idt0a (при Iojf= 100 пА/мкм) Ток утечки 0,46 В 0,75 мА/мкм 6-10-,6А/мкм2 -0,5 В 0,38 мА/мкм 1-10-,5А/мкм2 0,4 В 0,54 мА/мкм 9-10-16А/мкм2 -0,45 В 0,24 мД/мкм 3-10-|5А/мкм2 Надежность подзатворного окисла, сформированного после имплантации азота, исследовалась в работе [99]. Показано, что при выборе режима имплантации азота необходим компромисс между уровнем надежности окисла и диапазоном регулирования скорости окисления. Оценка надежности подзатворных окисных слоев осуществлялась по величине предельной плотности накопленного в окисле до пробоя заряда QBD в результате инжекции (рис. 3.88). МОП-структуры с толщиной подзатворного окисла 32 А и с дозой имплантации азота 1-1015 см2 характеризовались наименьшими величинами (?д0как в случае положительной, так и в случае отрицательной полярностей
3.7. Формирование подзатворных окислов различной толщины. Окисление кремния, локально легированного азотом _16 Г§12 о <я X К В I 900°С -J I | I I I I | I I L Доза имплантации азота (см2) - - - - j |__| | 10 20 30 40 Продолжительность окисления (мин) 10 5,6 ^2 1050°С Доза имплантации азота (см2) 5х1014 lxl0lf 60 90 Длительность RTO-процесса (с) подложку азота - Контрольный образец (без имплантации) ■ Доза имплантации и энергия: 1х10|4/см2 и 30 кэВ - Доза имплантации и энергия: 5хЮ14/см2 и 30 кэВ - Доза имплантации и энергия: 1х1015/см2 и 50 кэВ Инжекция из подложки Н Рис. 3.86. Толщина подзатворного окисного рис.3.87. Толщина подзатворного окисного слоя (TJ, получаемая термическим окис- слоя (TJ, выращиваемого методом быстрого лением в печи (900 °С), как функция про- термического окисления RTO (1050°С), как должительности процесса окисления при функция продолжительности RTO-процесса различных дозах имплантированного в Si- при различной дозе имплантированного в Si- подложку азота напряжения на затворе (при ин- жекции носителей из/?-подложки или при инжекции из я+-затвора, соответственно). С повышением дозы имплантации ионов азота значение QBD увеличивается, достигая уровня контрольных образцов МОПТ с чистым окислом. Наблюдаемая деградация надежности окисного слоя не связана с внедрением азота в подзатворный окисный слой (во время его выращивания), поскольку внедрение азота, как известно, приводит к улучшению надежности подзатворных окисных слоев [100]. Деградация надежности окисных слоев, по-видимому, обусловлена дефектами подложки, индуцированными процессом имплантации, которые не полностью удалялись во время последующей Ю1 I02 Qbd(Kji/cm2) 104 Рис. 3.88. Зависимости кумулятивной вероятности (F) пробоя подзатворных окисных слоев образцов от накопленного в них заряда (QBD) при различных условиях имплантации азота (N2+) в Si-подложку (постимплантационный термоотжиг проводился в термообработки [101]. Кроме того, атмосфере N2 при 1050°С в течение 30 мищтолщи- деградация надежности может на экранирующего окисла составляла 700 А). Токи быть связана с микронеоднород- стрессового воздействия составляли 2 и 0,3 А/см2 ностями толщины окисного слоя, Д^ случаев инжекции из подложки и затвора, соот- что может являться результатом ветственно(7^.= 32А;Л = 5-105 см2). Данные пред- неравномерного распределения ™НЫ В Beft6y™OBC™x координатах: Ig(-lg(l - F)) азота в кремниевой подложке. *°
ш\ 80 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Контрольный образец (без имплантации) N2+ доза имплантации и энергия: 1 х 10,4/см2 и 30 кэВ 99.991 99.9 99 95 3 90 Н 80 X 70 g 50 О 30 & 20 С 10 PlT 5 0.1 0.01 I I I I I I I I I I I I I I I I I Инжекция из затвора Инжекция из подложки" i i i i i 11 100 (Хп(Кл/см2) Иная картина наблюдается при использовании более толстых слоев (75 А) подзатворного окисла. В этом случае МОП-структуры после имплантации азота характеризовались слабой деградацией QBD при отрицательном напряжении на затворе (рис. 3.89) в отличие от приборов с толщиной подзатворного окисного слоя 32 А Значительная деградация приборов с подзатворными окисными слоями толщиной 32 А свидетельствует о том, что тонкие подза- творные окисные слои оказываются более чувствительными к структурным нарушениям поверхности кремния после имплантации азота. Следует добавить, что имплантация Рис.3.89. Зависимости кумулятивной вероятности (F) пробоя подзатворных окисных слоев от накопленного заряда (QBD) при дозе и энергии имплантации азота (N2+) в Si-подложку: 1 • 1014 см 2 и 30 кэВ. Постимплантационный ионов азота может быть использована термоотжиг проводился в атмосфере N2 при Для повышения стойкости МОПТ к 1050°С в течение 30 мин. Плотность тока стрессовым воздействиям, возникаю- стрессового воздействия составляла 0,3 А/см2 щим при плазмохимической обработ- при обеих полярностях (т.е. в случаях инжек- ке пластин. В работе [102] проведено ции заряда как из затвора, так и из подложки), исследование влияния имплантации Толщина подзатворного окисла и площадь за- ионов азота перед терМическим окис- твора составляли, соответственно: 7L = 75 А и А ^ 1Л , ' ох „ лением на стойкость подзатворного А = 5 • 10 см. Результаты представлены в вей- булловских координатах: Ig(-lg(l-F)) =AQBD). °™Cm К возДеиствию технологических процессов плазмохимического травления. Обнаружено, что даже при малых дозах имплантации 2Т013 см2 обеспечивается эффективное подавление захвата электронов и дырок в окисле. 3.8. Подзатворные слои оксинитрида кремния, изготавливаемые методом быстрого термохимического осаждения из газовой фазы Современная технология формирования подзатворных окисных слоев основана на широком использовании техники окисления в печах. Однако метод выращивания термических слоев Si02 в печах имеет определенные недостатки, которые ограничивают их использование в современных приборных структурах. Основными из этих недостатков являются: высокая температура окисления; низкая скорость формирования; расходование материала подложки (Si); кинетические закономерности роста, затрудняющие управление и контроль формирования тонких окисных слоев; проникновение дефектов подложки в объем окисного слоя во время его роста; диффузионное перераспределение легирующих примесей во время проведения высокотемпературных операций, приводящее к расширению профилей легирующих примесей в области канала (например, бора). Метод быстрого
3.8. Подзатворные слои оксинитрида кремния, изготавливаемые методом быстрого термохимического осаждения из газовой фазы термического окисления является более предпочтительным для использования в производстве субмикронных приборов; однако слои, выращенные этим методом, страдают многими недостатками, присущими термически выращиваемым в печах окислам. С учетом этих недостатков, химическое осаждение из газовой фазы (CVD) предоставляет определенные преимущества по сравнению с методом выращивания термических окисных слоев в печах. CVD-технология характеризуется отсутствием потребления кремния подложки и, следовательно, дефекты подложки не проникают в окисный слой. Это обстоятельство приводит к более высокому проценту выхода годной продукции, к более высоким значениям напряжения пробоя и меньшему захвату носителей на ловушки. Метод быстрого термохимического осаждения из газовой фазы (RTCVD) является развитием CVD-метода при низком давлении (LPCVD), когда последний сочетается с быстрой термической обработкой. При формировании окисла RTCVD-методом реакция осаждения инициируется быстрым разогревом подложки после установления стационарного газового потока в отличие от обычного CVD-процесса. В обычной LPCVD-технологии стенки реакционной камеры имеют высокую температуру, поэтому взаимодействие реагентов и осаждение слоев происходит как на поверхности кремниевых пластин, так и на стенках реактора. Стенки реактора в процессе RTCVD остаются холодными, и нагревается только кремниевая пластина. Это устраняет осаждение окисла на стенках и, следовательно, снимает проблему образования микрочастиц в атмосфере реакционной камеры, приводящих к дефектам слоев окисла. И наконец, RTCVD-технология позволяет обеспечивать малую длительность термической обработки пластин одновременно с возможностью обработки при высокой температуре. Кроме того, этот метод позволяет осуществлять прецизионное управление толщиной осаждаемого слоя окисла, его составом и структурой. Помимо этого, RTCVD-технология позволяет формировать in-situ многослойные структуры с обеспечением высокого качества границ раздела. В LPCVD-технологии для осаждения окисных слоев широко используются силан и кислород. Однако полученные этим методом слои Si02 содержат ги- дроксильные группы, которые могут быть удалены только путем термообработки при высокой температуре (1000 °С, 30—40 мин) [103,104]. Такая дополнительная термообработка увеличивает суммарный термический бюджет, что неприемлемо для субмикронных приборных структур. Также широко в LPCVD-технологии используется смесь дихлорсилана и закиси азота, при этом получают слои окисла, имеющие хорошую однородность и чистоту, но характеризуемые значительным захватом электронов на ловушки в окисле и наличием «слабых» мест в окисле , что связывалось с присутствием хлора. Для формирования оксинитридных слоев RTCVD-методом используют смесь силана (SiH4) и закиси азота (N20) [105], что обеспечивает высокое качество под- затворных диэлектриков в сравнении со случаем использования дихлорсилана (SiCl2H2) вместо SiH4. Анализ состава таких слоев показал, что эти слои можно формировать с высокой скоростью осаждения, сохраняя при этом хорошую стехиометрию и однородность распределения атомов кремния и кислорода по толщине слоя. Исследования показали, что эти RTCVD-оксинитридные слои, осажденные при 800°С, характеризуются низкой величиной концентрации поверхностных со-
щ\ 82 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ стояний (Z>„) и высоким значением предельной напряженности электрического поля [105—110]. Было установлено, что некоторые характеристики оксинитрид- ных слоев значительно улучшались при использовании предварительной или последующей термообработки в атмосфере кислорода и были сопоставимы со свойствами термических окисных слоев; однако это достигалось за счет увеличения термического бюджета. Поэтому в работе [111] исследованы характеристики слоев, полученных RTCVD-методом непосредственно после осаждения, включая влияние на их электрические свойства и надежность температуры осаждения давления и отношения молярных долей силана и закиси азота (S/N). 3.8.1. Формирование слоев оксинитрида кремния Слои оксинитрида кремния осаждались в LP-RTCVD-реакторе с холодными стенками с использованием реакции SiH4, (10%-ной смеси в Аг) с N20 и NH3npn температуре 800 и 900°С и общем давлении 3 и 9 мм рт.ст. Перед операцией осаждения in-situ поликремния осуществлялся 15-секундный отжиг в 02 при 900°С и атмосферном давлении. Этот кратковременный окислительный процесс способствовал удалению водорода без заметного изменения концентрации азота на границе раздела Si-O-N-поликремний [112]. На рис. 3.90 [111] приводятся зависимости скорости осаждения от обратной абсолютной температуры (1000/Т) при различных величинах соотношений молярных концентраций SiH4 и N20: S/N = 0,5 %; 1% и 2% (при общем давлении 3 мм рт.ст.). Скорость осаждения слоев оксинитрида RTCVD-методом приблизительно в 50 раз превышает скорость роста термических окисных (Si02) слоев. Высокая скорость осаждения весьма важна для техники индивидуальной обработки пластин и обеспечивает значительное уменьшение общего термического бюджета по сравнению с технологией термического выращивания окисных слоев. В неко- ^ ю3 К °< 102 10° ю-1 800°С RTCVD S/N=2% RTCVDS/N=I% RTCVD S/N=0.5% Термическое окисление ' I ■ ■ ' ■ I ' ■ 0.85 0.9 1000/T (К) 0.95 Рис. 3.90. Скорость роста подзатворных окисных слоев как функция абсолютной температуры при различных величинах молярного отношения силана (SiH4) к окиси азота (N20)-S/N, равных 0,5; 1,0 и 2,0%. Осаждение осуществлялось при давлении 3 мм рт. ст. £ н* Н(а к S X СХ ент X Кон jj NH/N2O = 0,02 t i ■U ^. . л Кислород ^ tT Азот^'*^"Ччу\\ - A*v-* \ **"^** Ч I Водород '"""^-^ \\ 11 111 i i 11 11 111111 i i I i i i i 11 i tsrK»i_i-i_ 0 100 200 300 Глубина (А) - ~ 1 ■ ■ ■ 60 £ 4—' рода о 40 § к X 20 | X онце п & г\ 400 Рис. 3.91. Распределения по глубине концентрации атомов азота, кислорода и водорода в оксинитридных диэлектрических слоях, формируемых при молярном соотношении NH3/N20 = 0,02. Концентрация оценивалась методом масс-спектрометрии вторичных ионов (ВИМС)
3.8. Подзатворные слои оксинитрида кремния, изготавливаемые методом быстрого термохимического осаждения из газовой фазы торых случаях [113,114] в состав газовой смеси вводят аммиак для управления скоростью осаждения и концентрацией азота в слое SiOxNyB более широком диапазоне. На рис. 3.91, 3.92 [114] приводятся типичные распределения концентраций О, Si и N по толщине слоев оксинитрида кремния. Было установлено, что концентрация азота распределена равномерно по всей толщине слоев без какого-либо концентрационного пика на границе раздела SiOxNy/Si [114]. Этот результат отличается от случая термического нитрирования слоев двуокиси кремния, у которых 80 ^^ *R И 60 s я сЗ & 40 X 3 20 о 1 - - "^ " - ^ - - 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 NH3/N20 = 0.2 Кислород *ч» \ Кремний У^ Азот ■ i I i i i \ \ \ \ i ^ч 1 1 1 1 1 1 1 1 ■ 1 ■ 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ■ 0 50 100 150 200 Глубина (А) 250 300 Рис. 3.92. Распределения по глубине концентрации атомов кислорода, кремния и азота в оксинитрид- ных диэлектрических слоях, формируемых при молярном соотношении NH3/N20 = 0,2. Концентрация оценивалась методом спектроскопии рентгеновских фотоэлектронов (XPS) наблюдался концентрационный пик азота на границе раздела с кремнием. Водород был также обнаружен в слое оксинитрида с уровнем концентрации от 0,4 до 2%(ат) в зависимости от соотношения NH3/N20 в реакционной камере. Градиент концентрации водорода в оксинитриде уменьшался в направлении к границе с кремнием. 3.8.2. Электрофизические характеристики оксинитридных слоев (SiOxNy), формируемых RTCVD-методом Электрические характеристики слоев SiOxNy измерялись с использованием МОП-конденсаторных структур и МОПТ. На рис. 3.93 [111] представлены зависимости плотности токов утечки через окисные слои от напряженности электрического поля при различных температурах осаждения (900, 850, 800°С). Слои SiOxNy осаждались при общем давлении 3 мм рт.ст. и при соотношении расходов силана и закиси азота S/N = 0,5%. Можно видеть, что RTCVD-слои характеризовались повышенной плотностью токов утечки в сравнении с термически выращенным слоем Si02. Более высокая проводимость осажденных слоев может быть объяснена комбинацией следующих причин: более низким барьером на границе раздела Si-Si02; локальным увеличением напряженности электрического поля на границе раздела 8Ю2-поликремний; наличием дополнительного механизма тока утечки, обусловленного неоднородностью состава слоя SiOxNy. Высота потенциального барьера оценивалась примерно равной 2,6 эВ для случая PTCVD-слоев, осаждаемых при 800°С и при давлении 3 мм рт.ст. в случае S/N = 0,5%. Несмотря на то, что PTCVD-слои характеризуются повышенным током утечки, они все же могут вполне удовлетворять многим требованиям для целого ряда приложений, поскольку обеспечивают такое преимущество, как снижение термического бюджета. Из рис. 3.93 [111] видно, что слои SiOxNy, осажденные
184 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ о о н Л н о о к н о О 2 4 6 8 10 12 Напряженность эл. поля (МВ/см) I 0.1 0.08 0.06 0.04 Ь 0.02 0 г 3mmpt.ct.:S/N = 0.5% h Vfb-измерялось CV-методом i i i i I i i i i I i i i i I _L при 800 и 850°С, характеризуются примерно одинаковым уровнем тока утечки, тогда как слои, осажденные при 900°С, имели почти на порядок больший ток утечки. Как можно видеть из рис. 3.90 [111], скорость осаждения SiOxNy-ajioeB при 900°С намного выше, чем при 850 или 800°С. Такая высокая скорость осаждения может способствовать получению слоев SiOxNy с меньшей плотностью и/или с большей пористостью, что, в свою очередь, может Рис. 3.93. Зависимости от напряженности элек- приводить к большему току утечки, трического поля плотности тока утечки через Действительно, эксперименты по подзатворный окисный слой в случаях его фор- траВлению этих слоев в 2,5%-ном МИ^о^И^ПРИ Разли™хТемператуРе (800, 850 астворе HF ПОКазали, что скорость и 900 С). Соотношение S/N= 0,5% и давление в ' травления слоев, осажденных при газовой смеси составляло 3 мм рт. ст. «,™^, 900 С, в два раза превышала скорость травления слоев, осажденных при 800°С, что может свидетельствовать о меньшей их плотности. Для оценки плотности заряда в этих слоях был измерен сдвиг напряжения плоских зон (VFB) относительно перепада потенциалов, соответствующего разнице работ выхода электронов из материала затвора и подложки в зависимости от температуры осаждения (рис. 3.94) [111]. Можно видеть, что слои, выращенные при 900°С, имели более Рис. 3.94. Величины отклонения напряжения высокую плотность заряда в окисле плоских зон (VFB) относительно перепада потен- по сравнению со слоями, осажден- циалов (WgJ, соответствующего разнице работ ными при 85о и 800°С. Были также выполнены эксперименты по стрессовому воздействию инжек- ции электронов из поликремниевого затвора в режиме постоянного тока для оценки различия в свойствах ловушек RTCVD-окисных слоев. Для сведения, к минимуму генерации электронных ловушек была выбрана небольшая плотность тока (/= 0,001 А/см2).Стартовые величины напряжений для всех окисных слоев были одинаковы. На рис. 3. 95 представлены зависимости изменения напряжения на затворе (Vg), необходимого для поддержания постоянства тока (/=0,001 А/см2), от плотности инжектированного заряда (З^для различных температур осаждения RTCVD-окисных слоев (900, 850 и 800°С). Все кривые зависимости (Vg(QF) обнаруживают тенденцию к одинаковому наклону после определенного начального участка. Это позволяет предположить, i i i i i термическое ^ 800°С 850°С 900°С> RTCVD ОКИСЛЕНИЕ выхода (W), как функция температуры осаждения. Отношение S/N= 0,5%; давление в газовой смеси 3 мм рт. ст.
3.8. Подзатворные слои оксинитрида кремния, изготавливаемые методом быстрого термохимического осаждения из газовой фазы что скорость образования ловушек является одинаковой для всех слоев, и различие между ними связано с начальной плотностью ловушек. Следовательно, слои SiOxNy, выращенные при 900°С, характеризуются более высокой концентрацией ловушек. Действительно, в работе [115] было установлено, что при более высоких скоростях осаждения содержание атомов кремния в слоях SiOxNy растет. Поскольку скорость осаждения возрастает с увеличением температуры, то более высокая степень деградации (в слоях, выращен- AVg(B) 1.5 1 0.5 0 i ■ i ■ l ■ ■ i ■ l ■ ■ ■ ■ > ■ ■ i ■ l ■ ■ ■ • 3 —O— 900°C, S/N = 0.5% J —A— 850°C, S/N = 0.5% j —O— 800°C, S/N - 0.5% \ ■ if /*> m J = 0.001 А/см2 \ LiX,^-*^ 100 мкмх ЮОмкм 7J^ инжекция из затвора- 1 III II 1 Till 1 1 1 1 1 T"l 1 Г IT IT" 0 0.05 0.1 0.15 0.2 0.25 Qf(Kji/cm2) Рис. 3.95. Зависимости изменения напряжения смещения затвора, необходимого для поддер- . жания постоянства тока инжекции из затвора ных при более высокой температуре) (/ = 0Д)1 А/см2) при стрессовом воздействии, объясняется увеличением содержа- от величины накопленного заряда (QF) при раз- ния атомов кремния. личной температуре RTCVD-осаждения под- Так как увеличение давления так- затворных оксинитридных слоев. Отношение же приводит к возрастанию скорости S/N=0,5%, давление в газовой смеси составляло осаждения, то можно ожидать, что Зммрт. ст. при этом также будут формироваться окисные слои худшего качества. Для проверки этого предположения были выполнены измерения токов утечки для образцов с RTCVD-окисными слоями, выращенных при различных давлениях. Как можно видеть из рис. 3.96 [111], при повышении давления ток утечки МОП-структуры увеличивается. При этом предельная напряженность электрического поля умень- 103 101 - 0,5%, 800°С, 6,5 мм рт.ст., Тох=132 Д - 0,5%, 800°С, 10 мм рт.ст., Тох=158 А| - 0,5%, 800°С, 3 мм ртаст., Тох=87 А -Термическое Тох= 105 А окисление 0 2 4 6 8 10 12 14 Напряженность эл. поля (МВ/см) & « о Л н о « § ц к нна <D 1Ш о X X 99.99" 99.9- 99- 95- 90- 80- 70- 50- 30- 20- 10- 5" 1- 1- 0 —О— RTCVD 0.5%, 800°С, 3»мм рт. ст. —•— RTCVD 0.5%, 800°С, 10 мм рт. ст. А Термическое окисление 1 1 45-образцов • О 1 330x330 мкм2 Ъ О I 2 4 6 8 10 12 14 1 Напряженность эл. поля (МВ/см) _ " - - - - - - " 6 Рис.3.96. Иллюстрация влияния давления газовой смеси (3; 6,5 и 10 мм рт. ст.) на зависимость плотности тока утечки (Jeg) от напряженности электрического поля (Е) в RTCVD- оксинитридных слоях, осажденных при 800°С и S//V= 0,5% Рис.3.97. Иллюстрация влияния давления газовой смеси на пробойные характеристики оксинитридных слоев, представленные вейбулловской зависимостью кумулятивной вероятности пробоя подзатворного окисла (F) от напряженности электрического поля (Е): «lg(-lg(l-F)) vs E». Подзатворные оксини- тридные слои осаждались RTCVD-методом при 800°С при молярном соотношении SiH4 и N20, равном S/N= 0,5%
ил 86 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 0Q > I ю4 103 102 101 1. ... 1 .... 1 : Давление = 3 ■ Температура = • ... i .... i . . мм рт. ст. = 800°С • • ■ i i I i i ■ i I i ■ • ■ I ■ ■ • ■ i I i i j ] ■я т—i [inn i i ' ' 1 шается с увеличением давления, как показано на рис. 3.97 [111]. На основе полученных результатов, а также в связи с необходимостью снизить термический бюджет, в работах [111,114] были выбраны температура осаждения, равная 800°С и давление 3 мм рт.ст. На рис. 3.98 [111] представлены данные по зависимости отклонения величины напряжения плоских зон (VFB) относительно потенциала работы выхода электронов (Vms) от от- Рис.зЖ Отклонения напряжения плоских ношения расходов силана и закиси зон (VFB) относительно перепада потенциалов азота S/N. По мере увеличения S/N (VgJ, соответствующего разнице работ выхо- эта разница (VFB- Vms) увеличивается, да (WJ, как функция молярного отношения что указывает на возрастание плотно- SiH4/N20 (S/N). Условия осаждения: 800°С; Сти заряда в объеме слоя SiOxNy. При 3 мм рт. ст. S/N = 2% и ниже достигается номинальное значение VFB, что свидетельствует о высоком качестве слоев SiOxNy. Измерение токов утечки на образцах с такими слоями показало, что ток утечки этих слоев уменьшается по мере уменьшения S/N с 2 до 0,5% благодаря снижению концентрации атомов кремния и водорода в слоях SiOxNy 0.02 0.03 0.04 0.05 0.06 Молярное отношение (S/N) 14 8 12 о ^ .„ о 0 о. Е о о 8 из S 6 <D ^ А к 4 J 2 0 i i i i i i i . + RTCVD 2% Тох = 44А И |-1 ; .ян. , EiL^^l 0 5 10 15 2 Напряженность эл. поля (МВ/см) 14 S 12 о *о .~ о Ю о- С о о 8 со S 6 5 4 Кол о —i—i—i—i—i—i—i— . + RTCVD 0,5% Тох = 44А 1 ; . ■ ■ ■ ■ « 0 5 10 П 1 к, : 15 2 Напряженность эл. поля (МВ/см) 14 1 S 12 о *о ,л о 10 о. с „ о 8 CQ 5 6 1) ^ А я 4 Кол о L i i i i i i i | . + RTCVD 1%T0X = 47A J И 1 №1 -1 к^Ц 1 ■ н. и, , biiinMiin* 1 1 0 0 5 10 15 20 Напряженность эл. поля (МВ/см) 14 8 12 о VD о ю а С о о 8 CQ 5 6 £ 4 Кол 0 0 1 1 1 1 1 1 1 L + RT0 1%T0X = 52A Г *1 г Ы Р«в*| I ■ '..'.. * рЫщт > U 1 051 ' ' ' 10" ' ' 45 2 0 Напряженность эл. поля (МВ/см) 1 Рис. 3.99. Гистограммы распределения числа случаев пробоя в зависимости от напряженности электрического поля при различных величинах молярного отношения SiH4/N20 (S/N). Подзатворные оксинитридные слои осаждались методом быстрого термохимического осаждения из газовой фазы (RTCVD) при 1050°С. Контрольные образцы выращивались RTO-методом в 02 при давлении 760 мм рт. ст.
3.8. Подзатворные слои оксинитрида кремния, изготавливаемые методом быстрого термохимического осаждения из газовой фазы На рис. 3.99 [111] представлены гистограммы распределения предельной напряженности электрического поля в режиме быстрой линейной развертки напряжения со скоростью 2 В/с (для случая аккумуляции носителей в приповерхностном слое подложки) для образцов, полученных при различных значениях S/N = 2, 1 и 0,5% (площадь электрода составляла 4-104 см2). В случае S/N = 0,5% количество низковольтных пробоев было равно нулю и минимальная величина напряженности электрического поля пробоя у них была выше, чем у контрольных образцов с окисными слоями, выращенными методом быстрого термического окисления (RTO). 3.8.3. Электрические характеристики МОП-структур и МОПТ с подзатворным слоем SiOxNy Детальное исследование электрических характеристик МОП- структур и МОПТ с подзатворным слоем SiOxNy, выращиваемых RTCVD-методом, выполнено в работе [114]. В этом исследовании при осаждении слоев SiOxNy в состав реакционной смеси дополнительно вводили аммиак с соотношением расходов NH3/ N20 от 0,02 до 1,00. При этом концентрация азота в слое, измеренная ВИМС-методом, составляла 0,2-11%(ат). Измерения, выполненные на МОП-конденсаторах и п- и р- канальных МОПТ, показали, что плотность фиксированного заряда (Щ и поверхностных состояний (Dit) увеличивается, а пороговое напряжение (V) падает с увеличением концентрации азота и водорода в слое оксинитрида. На рис. 3.100 и 3.101 [114] приводятся зависимости исходной плотности фиксированного заряда Nm и исходной плотности поверхностных состояний (Nsso) на границе раздела окси- нитрид/Si от концентрации азота. Наблюдается увеличение Nf от 10м см2 до 2-1012 см2 при увеличении концентрации азота (а также и концентрации водорода). Окис- х10"25 £Р S 20 е £ 15 аЗ П a i° а го о В о О ч С -5 i i i | i i i | i i i j i i i | i i i | i : о Подложка р-типа Г —в— Подложка п-типа \ 7^ 0 2 4 6 8 Концентрация азота (ат. 1 1 ■ . i . 10 %) 12 Рис. 3.100. Поверхностная плотность исходного фиксированного заряда (Лу как функция концентрации азота (Су) в подзатворном окисном слое в случаях МОП-структур с Si-подложками/ь и «-типа хЮ" Q 30 25 20 15 10 5 0 i i | i i i | i i i | i i i | i i i | i —©— Подложка р-типа —в— Подложка п-типа 0 2 4 6 8 10 12 Концентрация азота (ат. %) Рис. 3.101. Исходная плотность поверхностных состояний (Nsso) как функция концентрации азота (CN) в подзатворном окисном слое МОП-структур с Si-подложками р- и «-типа
м\ 88 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Я 0.5 h 0h с се К <D ■ О CQ 2 о о 0.5 -1 I ' ■ ■ l l ' ■ ' I ■ ' ■ l ■ ■ ■ о п-канал —в—р-канал I ■ ■ ■ 1 0 2 4 6 8 10 Концентрация азота (ат. %) 12 Рис. 3.102. Пороговое напряжение (1^) как функция концентрации азота (CN) в случаях п- и /^-канальных МОПТ Ъ 500 5. 450 § 400 н S 350 х JJV 1 300 3 g 250 1 200 £ * 150 •е- ^ 1ПП .1 i i i i i i I i i i i L ^\\ f \ Чу ^-^ -2.3 ат. % N : 11.0 ат. % N J . IOOxIOOmkm : \ Vd = MB z \ Т = 300 К : / ^- v -. - i.... 5-105 Эффективное *^^ : ^^*v> "» 1 • 106 1.5 -106 2-106 поперечное эл. поле (В/см) лы, полученные термическим нитрированием в среде NH3, также имели аналогичные величины Nf (5-1010 см2 + 2-1012 см2) в зависимости от времени и температуры нитрирования и режима последующей термообработки [116—122]. В исследованных слоях оксинитрида кремния наблюдалось увеличение Dit от 3-1010 см2 эВ-1 до 5-Ю11 см-2 эВ-1 при возрастании концентрации азота и водорода. Увеличение Dit было более значительным при концентрациях азота, превышающих 3%(ат.), когда Dit увеличивалась свыше 7- 10ю см-2 эВ1. Такое большое увеличение Dit при концентрациях азота свыше нескольких атомных % ранее также наблюдалось в случае термического нитрирования окисла [115]. Характерно, что в нитрированных окислах, формируемых с помощью других методов, увеличение Nf также сопровождается аналогичным увеличением Dit [118, 119, 120]. При использовании LP- RTCVD-метода создания нитрированного подзатворного окисла наблюдалось значительное уменьшение порогового напряжения в п- и/?-канальных МОПТ при увеличении концентрации азота и Рис. 3.103. Зависимости эффективной подвижности электронов (jaeff) от эффективной поперечной составляющей напряженности электрического поля для случая «-канальных МОПТ с подзатвор- ными оксинитридными слоями и с обычными водорода в оксинитридных ело- термическими окисными слоями (контрольные ях, как показано на рис. 3.102. га- образцы) нее также установлено уменьшение напряжения плоских зон (VFB) в слоях, сформированных методом гШ3-нитрирования с применением быстрой термической обработки [119, 123]. Уменьшение К^или Vt указывает на увеличение общего положительного заряда, локализованного в объеме слоя оксинитрида кремния и/или на границе раздела SiOxNy/Si. По-видимому, наблюдаемое увеличение Nfn Dit, как и уменьшение VFB и Vn обусловлено соответствующим увеличением концентрации атомарного водорода и гидроксильных групп [119, 123]. На рис. 3.103 [113] представлена зависимость эффективной подвижности носителей «-МОПТ от напряженности эффективного поперечного электрического поля для двух значений концентрации азота в оксинитриде — 2,3 и 11% (ат.) Пиковое значение подвижности сильно падает при увеличении концентрации азота,
3.8. Подзатворные слои оксинитрида кремния, изготавливаемые методом быстрого термохимического осаждения из газовой фазы в то время как в области высокой напряженности электрического поля спад подвижности значительно слабее. В /^-канальном транзисторе спад подвижности при увеличении концентрации азота происходит равномерно при всех значениях эффективного поля [111], соответствующие зависимости крутизны МОПТ, нормализованной к единичной емкости подзатворного диэлектрика, представлены на рис. 3.104, 3.105 [114]. Приведенные результаты по- 50 40 .8 30 J, 20 ^ 10 Е ад 0 -10 ; 2 •fyt—t »"'|">» 1 «" J Т Т""1 "|" Г «..«".j, ,'..,,.,.-. —О—-термический окисел р v —В ■ 0.2 ат. % N ^ /<*Г^Ч — «- - 2.3 ат. % N Хг->\ ~-Х--3.0ат. %N jT "V - •+• -8.0ат. %N ; I . ^ \. тй.~ - 11 ат. % N - |'/*^^л Vd=100MB j I \v т = зоок " 1 ^чч5^>А*>* . « . „ .1 . . . t . .. .... 1 . . it . . . " 0 2 4 6 8 10 (VR-Vt)/Tox(MB/CM) Рис. 3.104. Зависимости максимальной крутизны, нормализованной на величину емкости подза- казывают, что для RTCVD-метода творного окисного слоя (gJCJ, от эффективной формирования нитрированного напряженности электрического плоя ((Vg - V)/ TJ подзатворного окисла предельная для «-канального МОПТ при температуре 300К концентрация азота в нем не должна превышать 3%(ат.), что совпадает ъ о о и Е 00 15 10 5 0 I.1 п —О— термический окисел ■ —О- 0,2 ат. %N I -^- 2,3 ат. %N — 1С— 3,0 ат. %N ■ w . . 4- • 5,5 ат. % N J (V — А— 8,0 ат. %N ; \о*^з vd = -i00MB : \ ?§Ч т = зоо к : 0 2 4 6 8 1 (V -Vt)/Tox(MB/cM) 0 с оптимальным диапазоном концентрации азота, выявленным из анализа соответствующих зависимостей Ditn Nf Обсуждение возможных механизмов рассеяния носителей в МОПТ как п-, так и /?-типа приведено в работе [113]. Стойкость к воздействию электрического стресса МОПТ с подза- творным диэлектриком, полученным RTCVD-методом, оценивалась по величине сдвига порогового на- Рис. 3.105. Зависимости максимальной крутизны, пряжения, изменению крутизны нормализованной на величину емкости подза- во всем диапазоне напряжений на творного окисного слоя (gJCJ, от эффективной затворе и изменению плотности напряженности электрического поля ((Vg - V)/TJ поверхностных состояний. Элек- тя ^канального МОПТ при температуре 300 К трический стресс производился в режиме: Vd = 5 В, a Vg соответствовало максимуму Isub, длительность стрессового воздействия 10000 с. Результаты исследований показали, что при увеличении концентрации азота в оксинитриде стойкость приборов к воздействию стресса повышается, однако при увеличении концентрации азота свыше 3% стойкость не улучшалась. Вышеописанные результаты дают основание полагать, что слои оксинитрида кремния, осажденные с помощью RTCVD-технологии при низком давлении, являются перспективной альтернативой нитрированным термическим окислам для использования их в качестве подзатворного диэлектрика в МОПТ. Такие слои формируются при сравнительно меньшем термическом бюджете, что позволяет уменьшить перераспределение примеси в канале.
щ\ 90 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 3.9. Двухслойный подзатворный диэлектрик нитрид кремния-двуокись кремния Двухслойные диэлектрики типа «нитрид/окисел» были изучены в целом ряде работ [124—144] (1983—1990 гг.) в качестве более надежных подзатворных слоев, обеспечивающих большую электрическую емкость. Такие слои были использованы для изготовления ИС ДОЗУ [126, 132, 135, 142-144] и ЭСППЗУ [124,125] благодаря низкой дефектности и высокой стойкости относительно величины накопленного заряда (QBD). Хотя тонкие двухслойные подзатворные диэлектрические слои типа нитрид/ окисел («N/0») и обеспечивают потенциальную возможность увеличения тока стока МОПТ благодаря повышенной диэлектрической проницаемости нитрида кремния, эти слои не получили до сих пор широкого применения в массовом производстве БИС. Причиной этого являются низкая стойкость к окислению тонких нитридных слоев, осажденных LPCVD-методом, и их низкая надежность при стрессовом воздействии горячими носителями. В работе [145] были предложены методы улучшения свойств двухслойных «0№>-слоев, основанные на их уплотнении с помощью высокотемпературных быстрых термических процессов (RTA и RTN) и на низкотемпературном плазмостимулированном осаждении Si3N4 и нитрировании нижнего слоя Si02 в режиме «отдаленной» плазмы; также была показана эффективность этих методов для повышения стойкости МОПТ к электрическому стрессу. На рис. 3.106 [145] приводятся зависимости тока стока МДПТ (Q от физической толщины двухслойного под- затворного диэлектрика нитрид/ окисел. Как видно, в этом случае наблюдается увеличение тока стока (fd) примерно на 20% в сравнении с МОПТ с подзатворным термическим окислом с эквивалентной толщиной. С другой стороны, надежность МДПТ с двухслойным подзатворным диэлектриком очень низка [146], как это можно видеть из рис. 3.107. Известно, что толстые слои нитрида кремния во время стрессового воздействия горячими носителями легко захватывают на ловушки значительное количество электронов. Для того чтобы уменьшить количество захватываемых 5 4 f ~~ 3 2 L шш^шш Si,N. <<0N>> ^ s-3hm >. NA 3 hm » 4 T <0.\ \ 1 1 Sl02 \\ ДЧбнм I. - увеличивался на 20% \ \ п-МДПТ \% W/L = 10 mkm/ 1,0 mkm v > V, = 3B V|-vt = 3B 1 1 1 1 1 % 6 8 К Физическая толщина подзатворного изолирующего слоя (нм) ) ) Рис. 3.106. Зависимости тока стока (Q от фи зической толщины подзатворного диэлектри ческого слоя («ON» - состоящего из двух слоев: электронов, весьма эффективным Si3N4 и Si02; «О» - одного слоя Si02) в случае оказывается уменьшение толщины «-канального МДПТ. Толщина нижнего слоя Si02 слоя нитрида кремния, осаждаемо- в «ON»-структуре составляла 3 нм. Величины L т т*/^\п-\ т, ^ l"Djl™ia -> ™l- "wiwiwidi id го LPCVD-методом, как это можно измерялись при V. = 3 В и К — V, = 3 В. ~ . ло ~ , - d 8 ' видеть из рис. 3.108л. Этот эффект
3.9. Двухслойный подзатворный диэлектрик нитрид кремния-двуокись кремния 150 п-МОПТ W/L= 10мкм/1,0мкм | «о» 7 нм] 150 3 S 50 п-МДПТ W/L = 10 1 «ON» О О 5нм JAN бнм Перед стрессом Г ^ мкм/1,0мкм УТ1осле * ^ / стресса / Условия / стресса / fvd = 5.0B / J '«"""AX /, L 1000 сек б) 2 V (В) Рис. 3.107. Иллюстрация деградации тока стока /d (как функции Vg) в результате стрессового воздействия горячих носителей в случае я-МДПТ с подзатворным изолирующим слоем типа «О», «ON» и «ONO» (см. рис. (а) (б) и (в), соответственно). Толщина слоя «О» (чистый Si02) составляла 7 нм, a «ON» представлял собой двухслойную структуру: Si02 (5 нм) и Si3N4 (6 нм). Подзатворный изолирующий слой «ONO» состоял из трех слоев (Si02/Si3N4/ Si02), что достигалось путем осуществления операции реокисления «0№>-слоя при 900°С в течение 30 мин. Длина затвора L = 1,0 мкм. Стрессовое воздействие реализовывалось в условиях максимального тока подложки (/smax) и при Vd = 5 В в течение 1000 с. В случае использования «ON»- и «ONO»-CTpyKTyp наблюдалось значительное смещение величины порогового напряжения (V) почти на 1 В даже в случае сравнительно умеренного стрессового воздействия, как, например, при Vd = 5 В. В случае «О» наблюдалось лишь очень небольшое смещение Vt. 400 300 S 200 < 100 о( -100 ( п-МДПТ W/L= 10мкм/1,0мкм - Условия V= 5.0 В стресса: 'submax a 1000 сек Щ Знм // // ~ толщина нижнего «О» 5 нм с // окисла ■ i i ) 2 4 6 8 TSi3N4 (HM) 1 ^ 20 PQ (Г) о 2 10 О 4 с «О» 5 нм k I J ) 2 б) р-МДПТ W/L= 10 мкм/ 1,0 мкм а Условия V = -5.0 В А стресса: sub.m.\x \ 1000 сек ХТолшина нижнего \ окисла \ 3 нм Д V «ON» 5 нм \ \ *S. V 1 ^^^# 4 6 8 TSi3N4 (HM) Рис. 3.108. Примеры изменения степени деградации (смещения) величины порогового напряжения (Vt) в зависимости от толщины слоя Si3N4 в системе «ON» для я-канальных (а) ир-канальных МДПТ (б). Толщина нижнего Si02 составляла 3 или 5 нм. Длина затвора Lg = 1,0 мкм. Стрессовое воздействие осуществлялось в условиях максимального тока подложки 4ь,тах в течение 1000 с; при этом Vd = +5,0 В для л-МДПТ и Vd = -5,0 В для /ьМДПТ. В случае приборных структур с толщиной слоя нитрида кремния, равной 6 нм, наблюдалось значительное положительное смещение величины порогового напряжения (V). В случае р-МДПТ образовывалось большое число поверхностных состояний, когда слой нитрида кремния был тонким (3 нм) — см. рис.(б)
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Перед [ре-окислением После ре-окисления ■ре-окисление| •N-3 нм 0-5 нм ▲ Толстый нитрид — -ре-окисление| N-6 нм -0-5 нм Исходная 800°С 800°С 900°С ПОО°С «ON»-CTpyKTypa 30 мин 60 мин 60 мин 1 мин -В ПЕЧИ- RTO обусловлен главным образом опустошением ловушек в результате туннельного переноса зарядов. Таким образом, оказывается необходимым, чтобы слои нитрида кремния имели толщину менее 4 нм, о чем также говорится в работе [146]. Однако по мере уменьшения толщины слоев нитрида кремния значительно возрастает интенсивность процесса генерации поверхностных состояний во время стрессового воздействия, особенно в случае /ьканальных МОПТ (см. рис. 3.1086). Это является первой проблемой, которая должна быть решена при использовании тонких двухслойных диэлектриков нитрид/окисел. Обычно для уменьшения туннельных токов утечки через подзатворный диэлектрик [128] и снижения плотности поверхностных состояний используют процесс окисления двухслойного диэлектрика [147]. Слои нитрида кремния характеризуются меньшей высотой туннельного барьера, поэтому окисные слои, расположенные сверху и снизу тонкого слоя нитрида кремния, эффективно препятствуют туннелированию [128]. На рис. 3.109 показано изменение п ~ *ЛП тж ~ толщины двухслойного диэлек- Рис. З.Ю9. Изменение эквивалентной толщины под- затворного диэлектрического слоя (70Х,„*) после про- тРика в зависимости от режи- ведения операции повторного окисления для раз- мов окисления как для случая личных «ОТЧ»-образцов. Толщина нижнего 8Ю2-слоя тонкого (3 нм), так и толстого составляла 5 нм. Толщина 813гЧ4-слоя была равной (6 нм) слоя нитрида в исходной 3 или 6 нм. Эквивалентная толщина подзатворного структуре нитрид/окисел. В слу- диэлектрического слоя, соответствующая случаю од- чае толстого (6 нм) слоя нитрида нородного 8Ю2-слоя, оценивалась с помощью C(V) 0бщая толщина диэлектрика не измерении изменяется при всех режимах реокисления (повторного окисления) — даже после окисления в печи при 900°С или в установке быстрого окисления (RTO) при I Ю0°С. Однако при использовании тонкого слоя нитрида (3 нм) толщина двухслойного диэлектрика значительно изменяется после окисления. Таким образом, 3-нм слой нитрида кремния, осажденного LPCVD- Рис. ЗЛЮ. Схема, иллюстрирующая воздействие методом, не является стойким к различных технологий уплотнения и реокисления процессу окисления. Увеличе- (T*oX,J на эквивалентую толщину структуры Si02/ ние толщины диэлектрического Si3N4 («NO») слоя ПрИВОдИТ к снижению тока ПОСЛЕДОВАТЕЛЬНОСТЬ ТЕХНОЛОГИЧЕСКИХ ОПЕРАЦИЙ уплотнение ре-окисление нижний LPCVD- окисел нитрид SiO, 5 нм Si,N4 3 нм в печи |600°С, 30 мин! RTA (Аг) П00°С I мин в печи |800°С, 30 мин! ItTN (NH j П00°С I |_ l мин I J в печи |800°C, 30 мин * ТИП СТРУКТУРЫ «ON» «ON-O» «ON-RTA» «ON-RTA-O» «ON-RTN» «ON-RTN-O» 6.2 10.5 6.0 lO.l 5.6 6.0
3.9. Двухслойный подзатворный диэлектрик нитрид кремния-двуокись кремния 193* X стока и короткоканальным эффектам, поэтому недостаточная стойкость тонкого слоя нитрида кремния к окислению является второй проблемой при использовании тонких структур в качестве подза- творных диэлектрических слоев. Таким образом, для того чтобы стало возможным использование тонких двухслойных структур (нитрид/окисел) в качестве подзатворного диэлектрического слоя в МДПТ, необходимы определенные улучшения качества ультратонких нитридных слоев для обеспечения Рис. 3.111. Изменение эквивалентной толщины Перед реокислением _ BMav^N 3 нм Ogg^o5HM L О Уплотнение RTA (Ar) RTN(NH,) 1100°С, 1 мин 1 i После реокисления Без уплотнения гД==^| RTA(Ar)| | RTN (NH,) | 1 1 Уплотненные «ОЫ»-слои 800°С 900°С 30 мин 30 мин -условия реокисления в печи- стоикости к окислению и повышения стойкости к стрессовому воздействию. В работе [145] эта задача решена методом нитрирования в режиме быстрой термической обработки (RTN). Использование подзатворного диэлектрика (Toxcv) в зависимости от режима реокисления «(Ж»-образцов при различной технологии уплотнения и без нее (светлые символы). Исходные «ON»-образцы включали 8Ю2-слой толщиной 5 нм и Si3N4-cnoft толщиной 3 нм, которые подвергались операции уплотнения путем быстрого термоотжига в атмосфере ар- этого метода позволило подавить гона (RTA(Ar)) или быстрого термонитрирования увеличение толщины подзатвор- в атмосфере аммиака (RTN(NH3)) при 1100°С в ного диэлектрика при повторном течение 1 минуты окислении и уменьшить деградацию параметров МДПТ, вызываемую горячими носителями и, кроме того, обеспечить хорошую нагрузочную способность по току у приборов, изготовленных по 0,35-мкм технологии. Варианты формирования составного подзатворного диэлектрика представлены на рис. 3.110. Результаты исследования электрофизических характеристик подзатворных диэлектриков показали, что только в случае образцов, уплотненных RTN-методом, эквивалентная толщина двухслойного диэлектрика не изме- ^ 1.5 4) S и О * 1 1>0.5 < 0 а) л-МДПТ W/L= 10мкм/1,0мкм л Условия ГVd,= 50B >\ стресса ] 1sub+max X 1 1000 сек V^-o -о 1 1 1 1 «ON» «ON-RTN» «ON-RTN-O» «О» ^ 1.5 S t-3 S о О I • < 6) ° />-МДПТ W/L= 10мкм/1,0мкм . >v Условия JVd 7 "50В ^^ч^ стресса | sub+max ^ч,^^ L 1000 сек ■ \ V —о «ON» «ON-RTN» «ON-RTN-O» «O» Рис. 3.112. Зависимости величины плотности наведенных при отжиге поверхностных состояний (нормализованных на величину максимального тока подложки): Nit/Isubmax от условий уплотнения (денсификации), приводящих к определенным типам структуры подзатворного диэлектрика: «ON», «ON-RTN», «ON- RTN-O» и «О» (см. рис. 3.110 [145])
Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ ПОО 80 h 60 40 h 20 1 «ON» h 1 \ ^ И 0 / Si/\ N V. 50 100 150 a) Время распыления (мин) 00 80 60 40 7,0 1 1 Л «ON-RTN» S \ Y/Ш О / Si/Ч 50 100 150 б) Время распыления (мин) в) Время распыления (мин) Рис. 3.113. Концентрационные профили распределения по глубине атомов Si, О и N, измеренные методом спектроскопии оже-электронов (AES) в различного типа образцах: «ON», «ON-RTN»h «ON-RTA» (см. рис: (а), (Ь) и (в), соответственно). Расшифровка типов образцов дается на рис. 3.110 [145]. (а) - «ON»: 3-нм Si02 на 5- нм Si3N4. (б) - «ON-RTN»: 3-нм Si3N4 на 5-нм Si02 после операции RTN (1100°С); концентрация азота на границе раздела составляла 16%. (в) - «ON-RTA»: 3-нм Si3N4 на 5-нм Si02 после операции RTA (1100°С) нилась после окисления даже при температуре 900°С (рис. 3.111). В то же время плотности поверхностных состояний и ловушек в объеме оставались в пределах, допустимых для МОПТ. Результаты исследования стойкости р- и я-МДПТ с двухслойным диэлектриком к воздействию электрического стресса (Vd = 5 В, Vg соответствовало максимуму тока подложки, длительность стресса 1000 с) показали, что только образцы, уплотненные RTN-методом, характеризовались незначительной генерацией поверхностных состояний и ловушек в окисле (рис. 3.112). Для выяснения причин улучшения надежности МДПТ, прошедших операцию уплотнения, в работе [145] исследовались профили распределения концентрации Si, О и N (полученные методом электронной Оже- спектроскопии) в двухслойных диэлектриках нитрид/окисел (рис. 3.113): перед термическим уплотнением (я), после RTN-процесса (б) и после RTA-процесса (в). Необходимо заметить, что концентрация азота в исходных образцах перед операцией их уплотнения была меньше, чем следовало ожидать, исходя из стехиометри- ческого состава Si3N4. Это связано с тем, что на ранних стадиях осаждения происходит обогащение слоя нитрида кремния атомами кремния. Этот эффект обогащения нитрида кремнием является причиной его недостаточной способности противостоять процессу окисления. По всей вероятности это обстоятельство также способствовало образованию большого количества свободных (оборванных) связей в обогащенной кремнием области в результате инжекции горячих носителей. При этом оборванные связи могли проявлять себя в качестве центров захвата зарядов или как поверхностные состояния [148]. В том случае, когда уплотнение осуществляется в инертной среде (RTA), часть атомов азота, по-видимому, диффундирует из слоя нитрида, что также приводит к обогащению этого слоя кремнием, как это можно видеть из рис. 3.1 \3в. При проведении уплотнения в среде NH3 (RTN), наоборот, наблюдалось значительное увеличение концентрации азота. В этом случае концентрация азота увеличивалась не только у
3.9. Двухслойный подзатворный диэлектрик нитрид кремния-двуокись кремния поверхности, но и была относительно равномерно распределена по всей толщине слоя нитрида, достигая на границе раздела Si- подложка/диэлектрик уровня 16% (см.рис.З.ПЗб). Таким образом, применение RTN-процесса для уплотнения и нитрирования двухслойного диэлектрика обеспечивает повышение стойкости МДПТ к воздействию горячих носителей и неизменность толщины диэлектрика при термическом окислении. Высокое значение эффективной диэлектрической проницаемости и хорошее качество составных диэлектриков нитрид/ окисел делает их перспективными для использования в качестве подзатворных диэлектрических слоев в КМОП СБИС. Как упоминалось, процесс осаждения слоя Si3N4 и нитрирования нижележащего Si02 выполнялся в едином цикле плазмохимической обработки в режиме отдаленной плазмы (Remote-PECVD) [149]. Слой Si02 толщиной 2,6 нм выращивали в сухом кислороде при температуре 800°С. Осаждение слоя Si3N4 осуществляли методом R-PECVD с использованием силана и азтота в качестве реагентов. После осаждения нитрида кремния двухслойная структура отжигалась в атмосфере Не при 900°С в течение 30 с с целью удаления водорода из слоя нитрида кремния, что обеспечивало получение диэлектрика с хорошими характеристиками. Эквивалентная толщина диэлектрика (по двуокиси кремния) составила 3,5 нм. Распределение концентрации азота в двухслойном диэлектрике и подложке представлено на рис. 3.114. Концентрация азота равномерно 1Е+23 lE+22f В 1Е+21 о, 1Е+20 £ 1Е+19 1Е+18 Si3N4 1 1 1 Si02 \ л* c900°C-RTA \ «. без RTA —i—i—i—i—i—i—1—1— Si .-.WalArwwwJ -1—1 1——*J 17 25 34 42 59 Глубина (А) Рис. 3.114. Концентрационные профили распределения по глубине атомов азота в двухслойном под- затворном диэлектрике Si3N4/Si02 (0,8 нм/4,0 нм) в случае проведения операции быстрого термоотжига (RTA) при 900°С в течение 30 с и без нее. Измерения были проведены с помощью масс- спектроскопии вторичных ионов (SIMS) 10.0 ад 1.0 + 0.1 ° «N/0» п окисел 0.3 0.6 0.9 Е_(МВ/см) W/L = 20 мкм/0,8 мкм Окисел = 3.5 нм 1000 Продолжительность стресса (с) Рис. 3.115. Зависимости деградации нормированной величины максимальной крутизны (AgJgJ от продолжительности стрессового воздействия (Vg — Vt = -2,1 В; Vj= -7,5 В). На вставке приведена зависимость эффективной подвижности дырок от нормальной составляющей эффективной напряженности электрического поля в/7-МОПТ для обычного подзатворного окисного слоя и для случая двухслойного диэлектрика «N/0». Стрессовое воздействие осуществлялось в условиях максимального тока подложки
196 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ снижается от границы Si3N4-Si02 к границе Si—Si02. После отжига при температуре 900°С в течение 30 с на границе Si—Si02 появляется пик концентрации азота. Связи Si—О заменяются связями Si—N, вследствие чего уменьшаются деформации связей и снижаются напряжения на границе раздела благодаря меньшему размеру атомов азота в сравнении с кислородом [150]. На рис. 3.115 представлены на вставке зависимости эффективной подвижности дырок от напряженности поперечного поля: для транзисторов с двухслойным диэлектриком и с чистым однослойным окислом значения jneff идентичны. Это указывает на преимущество низкотемпературного метода R-PECVD-осаждения слоя нитрида кремния, обеспечивающего неизменность характеристик границы раздела Si-Si02. Кроме того, благодаря накоплению азота вблизи границы раздела в процессе отжига структуры при 900°С (см. рис. 3.114) транзистор с двухслойным диэлектриком характеризуется повышенной стойкостью к воздействию горячих носителей. На рис. 3.115 представлена зависимость деградации максимальной крутизны Agm от длительности электрического стресса, осуществляемого в режиме максимального тока подложки. Повышение надежности транзистора обеспечено релаксацией межфазовых напряжений на границе раздела Si—Si02, вызванной заменой связей Si—О связями Si—N. Благодаря своим достоинствам, описанные методы формирования двухслойных диэлектриков являются перспективными для использования в КМОП СБИС с длиной затвора менее 0,25 мкм. 3.10. Формирование подзатворных слоев нитрида кремния методом струйного осаждения из газовой фазы В рамках программы поиска альтернативных материалов и технологий изготовления подзатворных диэлектрических слоев для МОПТ исследователи из Йельского университета (США) в сотрудничестве с Jet PROCESS Corporation (JPC) разработали процесс синтезирования слоев нитрида кремния на основе «струйного осаждения из газовой фазы» (JVD = Jet Vapor Deposition) [151—163]. Было установлено, что электрофизические свойства этих JVD-слоев нитрида кремния, осаждаемых непосредственно на поверхность кремниевых подложек при комнатной температуре, по целому ряду ключевых параметров вполне приближаются к аналогичным показателям, характерным для термических слоев Si02. Полученные слои нитрида кремния характеризуются более низкими, чем Si02, значениями плотности токов утечки через подзатворный диэлектрик при одинаковой эквивалентной толщине в диапазоне ультратонких слоев (< 5 нм). Более высокая величина диэлектрической постоянной JVD-слоев нитрида кремния (почти в два раза превышающая 8 для термических 8Ю2-слоев) позволяет использовать более толстые подзатворные диэлектрические слои, обеспечивающие характеристики транзисторов, аналогичные характеристикам МОПТ с подзатворным окислом. Ряд других особенностей JVD-слоев нитрида кремния, как например, высокие диффузионно-барьерные свойства и стойкость к окислению, также делают их привлекательными в качестве подзатворных диэлектрических слоев. Несмотря на многочисленные попытки использовать слои нитрида кремния, получаемые CVD-методами, в качестве подзатворного диэлектрика в МДПТ,
3.10. Формирование подзатворных слоев нитрида кремния методом струйного осаждения из газовой фазы все они терпели неудачу из-за неудовлетворительных свойств границы раздела нитрид кремния/Si, а также из-за высокой концентрации ловушек в объеме этих слоев. Поэтому оказалось совершенно неожиданным то, что JVD-метод позволил получить слои нитрида кремния с улучшенными свойствами, пригодными для использования в качестве подзатворного диэлектрика МДПТ. Краткое описание JVD- метода. JVD-метод основан на использовании газовой струи, истекающей с большой скоростью в область с низким вакуу- 20-200 мм рт. ст. и Расходомер Манометры 2—4 мм рт. ст.) СВЧ-излучатель „ -?П\ Область образования ■ *"* плазмы ^Небольшое кварцевое сопло „ -Большое кварцевое сопло Стенки камеры Поток газа Осаждаемый слой Разряжение 1,4-2,4 мм рт. ст. Рис. 3.116. Схематичный чертеж установки со сдвоенной концентрической системой сопел высокоскоростного истечения ионизированной смеси газов для мом, где и происходит процесс осаждения нитрида кремния (U.S. Patent 5 336 672) осаждения слоя. Как можно видеть из рис. 3.116, струя газа-носителя истекает из сопла, находящегося внутри камеры, которая откачивается высокопроизводительным механическим насосом. Было установлено, что когда перепад давления превышает критическую величину (обычно > 2), поток газа-носителя, истекающий из сопла, приобретает сверхзвуковую скорость, примерно равную 1 км/с (при 25°С) [152, 164]. Поток газа-источника, содержащего силан, подается к выпускному отверстию сопла и далее — к поверхности подложки. Поскольку осаждаемые частицы обладают такой же сверхзвуковой скоростью, что и газ-носитель (гелий), то кинетическая энергия этих частиц (которая пропорциональна их массе) может достигать величины 1 эВ, что способствует улучшению качества формируемых слоев. Для осаждения слоев нитрида кремния в работе [151] использовалась установка с коаксиальным двойным соплом, как схематично показано на рис. 3.116. Перед смешиванием потоки газа-носителя и газа-источника проходят через область СВЧ-возбуждения. При этом плазма образуется лишь в канале газа-носителя, поскольку давление во внутреннем канале газа-источника поддерживается достаточно высоким, что препятствует образованию плазмы и преждевременному разложению силана. Возбужденные молекулы азота (а также и атомарный азот), обладающие большой энергией после прохождения ими области плазменного разряда, а также молекулы силана доставляются сверхзвуковой струей гелия к подложке, где формируется слой нитрида кремния. Из-за большой кинетической энергии частиц отпадает необходимость в преднамеренном повышении температуры подложки. Равномерность толщины осаждаемого слоя на достаточно большой площади подложки достигается путем сканирования последней относительно сопла. В последней конструкции JVD-установки используется сочетание как вращательного, так и поступательного движений подложки, что позволило достичь равномерности по толщине в пределах ±5% по всей площади 6-дюймовой (~ 150 мм) пластины. Скорость осаждения и состав слоев регулируется изменением соотно-
|Tl 98 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ шений SiH4/He и SiH4/N2 в соответствующих газовых потоках наряду с их скоростью, что оказывало сильное влияние на свойства осаждаемых слоев. Изготовление образцов и их характеристики. Было установлено, что слои нитрида кремния с наилучшими электрофизическими характеристиками получаются при низкой скорости осаждения, равной 1—3 А/мин в случае использования одноструинои исследовательской установки [151]. После осаждения нитрида кремния пластины отжигались в сухом азоте при 800°С в течение 30 мин. В качестве электрода затвора использовали А1 и Si-n+. Изготовление МДП-емкостных структур заканчивалось обработкой либо в форминг-газе (5%Н2 + 95%N2), либо в водяном паре при 400°С в течение 30 мин. С использованием подзатворного слоя нитрида кремния, осажденного JYD-методом, были изготовлены ИС, результаты исследования которых опубликованы в работе [164]. Характеристики конденсаторов металл-нитрид-полупроводник (МНП) и транзисторов с подзатворным слоем нитрида кремния (МНПТ). Высокочастотные C{V)- кривые МНП- структур с JVD-слоями нитрида кремния с эквивалентной толщиной 4,5 нм не имеют заметного гистерезиса при сканировании в обоих направлениях по оси напряжений. Эти результаты сравнимы с качеством МОП-структур и намного лучше тех, которые обычно наблюдались в других МНП-структурах, изготовленных с использованием обычного CVD- метода осаждения нитрида кремния. Плотность поверхностных состояний не превышала уровень 2-10ю см2 эВ1, что соответствовало обычному уровню для МОП- структур. На рис. ЗЛИ а приводится семейство вольт-амперных кривых для тока стока (Id(Vd)) МНПТ с эквивалентной толщиной диэлектрика 3,8 нм, а на рис. 3.1176 по- Рис. 3.117. Приборные характеристики л-ка- казано соответствующее семей- нального МНПТ с эквивалентной относительно ство характеристик приведенной Si02 толщиной подзатворного диэлектрическо- крутизны (gm /Cox) от нормиро- го слоя Toxeq = 3,8 нм в сравнении с данными для ванного напряжения на затворе контрольного образца МОПТ: а - вольт-амперные (V — V)/Tox. Для сравнения также характеристики тока стока Id(Vd)\ б- зависимости приводятся соответствующие за- нормированной максимальной крутизны (gJCJ висимости для контрольного об- от эффективной напряженности электрического а МОПТ. Можно видеть, что поля (К - К) / Т 8 '" ох в диапазоне малых значении на- 4.5 4.0 3.5 5 3.0 CQ ъ 2.5 «5 О Ъ 2.0 ~ 0.5 0.0 Г Нитрид кремния г Г / *' г n-канал /у' \ № 1 /jf^^ 1^^щшшшшш1Ш1 - тох eq 3,3 нм шлллг = 3,8 нм . Vg - Vt = 2.0 В Vg-Vt=1.5B Vg-Vt=1.0B Vg - Vt = 0.5 В i 1 i ■_ ~] "~J JL-L -1 J H J 0.0 a) 0.5 1.0 1.5 2.0 2.5 3.0 Vd(B) Нитрид кремния - Tixe = 3,8 нм Контрольный окисел = 3,3 нм Vd = от 0.1 до 0.9 В Шаг = 0.2 В т-^улу;- б) 0 2 4 6 8 10 12 (V -V,)/T0X(MB/cm)
3.10. Формирование подзатворных слоев нитрида кремния методом струйного осаждения из газовой фазы -140 -120 -100 и °0.0 1 1 1 1 1 1 1 — Нитрид кремния - То 1 Контрольный окисел = г р-канал jf ^. г Jy' 1 1 =4,0 нм = 4,4 HMj^. Vg-Vt = -2B J Vg-Vt = -1.5B 1 Vg-Vt = -1.0B 1 Vg - Vt = -0.5 B J \Г*\ 1 1 1 .1 1 i 1 1 1 1 1 -0.5 a) -1.0 -1.5 Vd (B) -2.0 -2.5 -3.0 00 70 60 50 40 ,30 I 20 10 0 г I [ I 1 -I—i- / •Фч j 1 •_ ~~l /V _l • 1 ' l"-l—1—1—1—«—1—1 .. Нитрид кремния - Tox eq=4,0 нм J >Ч— Контрольный окисел = 4,4 нм Н \\ Vd = от -0.1 до -0.9В ■] V4 \\ Шаг = -0.2 В J %Ч \ >ч >v /7-канал 1 . I ■".■" 1 . "1 Г 1 . 1 1 б) (Vg-Vt)/Tox(MB/cM) пряженности электрического поля крутизна МНПТ оказывается меньше, а в области высоких значений — больше, чем для случая МОПТ с подзатворным слоем Si02. Подобная зависимость крутизны от напряжения на затворе наблюдается в МОПТ с подзатворными диэлектрическими слоями из оксинитрида [165], что может быть связано с захватом носителей на медленные состояния вблизи границы раздела SiOxNy—Si. При малой напряженности электрического поля Е уменьшение крутизны объясняется близостью значений плотности ловушек и плотности подвижных носителей в канале. При высоких значениях Е плотность носителей в канале значительно превышает плотность ловушек, и поэтому ток в канале не чувствителен к захвату носителей на ловушки. Кроме того, возможно, что характер латерального распределения ловушек приводит к сглаживанию «электронной шероховатости» границы раздела, оказывающей влияние на рассеяние подвижных носителей, увеличивая тем самым их подвижность в области больших электрических полей. Это может иметь место, если захват носителей происходит под воздействием сильных полей кулоновских центров, а после захвата носителей происходит частичная нейтрализация этих полей. На рис. 3.118я приводятся выходные ^(^-характеристики для /ьканальных приборов, а на рис. 3.1186показаны семейства кривых зависимости приведенной крутизны #т/Сохот приведенной величины напряжения на затворе (Vg - Vt)/Tox. Из рис. 3.118#хорошо видно, что крутизна в случае /^-канальных МНП-транзисторов по сравнению с обычными р-МОТП оказывается также меньшей в области небольших электрических полей и большей в области сильных электрических полей. Поскольку слои нитрида кремния, получаемые CVD-методами, обычно характеризуются очень высокой концентрацией объемных ловушек, то полученные в работе [151] высокие результаты для JVD-слоев были неожиданными. По результатам измерения сдвига напряжения на затворе при стрессовом Рис. 3.118. Приборные характеристики р-канального МНПТ с эквивалентной относительно Si02 толщиной подзатворного диэлектрического слоя Toxeq = 4,0 нм в сравнении с данными для контрольного образца МОПТ: а — вольт-амперные характеристики тока стока Id(Vd); б - зависимости нормированной максимальной крутизны (gm/C0X) от эффективной напряженности электрического поля (V — V)/Tox
200 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 4\J 30 робоя случаев п К) о ело F 10 0 1 1 1 1 1 Напряжение на затворе отрицательное площадь прибора - 29,1 мкм2 _ ■T =4,2нм I ox eq ' ■ " Г ■Д** а) 0 5 10 Напряженность эл. поля при прс 100 пробоз случаев о Число ■TovciI = 4,1hm ^fi " Площадь прибора = 1,3' Ю ■ см2 ^^ . Постоянное эл. поле = 13,5 МВ/скш' I г о о о о о 10' 102 103 б) Время (с) ■ «9 i 15 2 )бое (MB/cv ерэо© 104 0 0 ю5 sio-s о рю-7 о С 10 s Т—I—|—I—I—I—I—|—I—I—I—I—| Е = 6,2 МВ/см Обычный CVD-слой нитрида Е = 5,3 МВ/см Е = 5,6 М В/см 4 5 1000/T(K-') Рис. 3.120. Температурные зависимости (в аррениусовских координатах) плотности тока, протекающего через слой нитрида (полученного JVD-методом) при различной напряженности электрического поля (5,0; 5,6 и 6,2 МВ/см). Можно видеть, что в случае JVD-слоев нитрида температурная зависимость плотности тока оказывается заметно слабее, чем для обычных CVD- Si3N4-^oeB воздействии на МНП-структуры в режиме постоянного тока была сделана оценка плотности объемных ловушек (10й см-2) в слое Si3N4, которая оказалась сравнимой с плотностью, характерной для качественных термических слоев Si02, но намного меньше, Рис. 3.119. Характеристики пробоя МНП- чем в случаях слоев нитрида кремния, структур с нитридным слоем, полученным выращиваемых CVD-методами. методом «струйного осаждения» (JVD) и ш рис 3.119д приводится гисто- имеющим Трп = 4,2 нм: (а) гистограмма рас- ox'eq г грамма распределения напряженности пределения числа случаев пробоя в зависи- * г г г ^ ,ттгт мости от последовательно увеличивающейся электрического поля пробоя для МНП- напряженности электрического поля; (б) ку- структур с эквивалентной толщиной мулятивная вероятность пробоя как функция слоя Si3N4 4,2 нм, а на рис. 3.1195 пред- продолжительности прикладывания элек- ставлены результаты по времязависи- трического поля постоянной напряженности мому пробою при очень высокой на- (13,5 МВ/см) пряженности электрического поля, равной 13,5 МВ/см. Эти данные также сопоставимы с результатами, характерными для высококачественных термических слоев Si02. Вольт-амперные характеристики МНП-структур очень хорошо описываются закономерностью туннельного тока по Фаулеру-Нордгейму с высотой барьера, равной 2,1 эВ. Обычно для слоев нитрида кремния, формируемых CVD-методами, доминирующая компонента тока связывается с механизмом проводимости Пула- Френкеля. Поэтому то обстоятельство, что для JVD-слоев нитрида кремния
3.10. Формирование подзатворных слоев нитрида кремния методом струйного ~~ .щ осаждения из газовой фазы \s^J})) = 6,5 12 3 4 5 Напряжение на диэлектрике (В) Рис. 3.121. Расчетные зависимости плотности туннельного тока в МДП-структурах в случаях слоев нитрида кремния и термической двуокиси кремния для четырех значений Т = 10; 20; 30 и 40 А основным механизмом проводимости является режим туннели- рования по Фаулеру-Нордгейму, по-видимому, связано со значительно меньшей концентрацией ловушек в объеме, поскольку для реализации механизма проводимости по Пулу-Френкелю требуется достаточно большая концентрация ловушек в объеме диэлектрика. Тот факт, что доминирующим механизмом проводимости в случае JVD-слоев является туннели- рование, также подтверждается относительно слабой температурной зависимостью тока через соответствующие МНП-структуры, как это можно видеть из рис. 3.120. Наоборот, CVD-слои нитрида кремния характеризуются намного более сильной зависимостью тока от температуры. В работе [151] проведено сравнение свойств JVD-слоев нитрида кремния со свойствами термических окисных слоев в диапазоне толщин, соответствующих «ультратонким слоям» (< 5 нм). Из теоретических соображений следует, что в случае доминирующей роли туннельного механизма в переносе носителей по сравнению с вкладом механизма Пула-Френкеля, ток утечки затвора должен быть существенно меньше у нитридных слоев, чем у слоев Si02 при одинаковых значениях эквивалентной толщины Т [158]. На рис. 3.121 представлены расчетные зависимости плотности тока затвора от падения напряжения на диэлектрике при различных значениях 7^eq(l; 2; 3 и 4 нм). Значение диэлектрической постоянной (е) для нитрида кремния принималось равным 6,5 в соответствии с результатами экспериментальной оценки. Заметим, что точки максимального изгиба на каждой из представленных кривых соответствуют переходу от прямого туннелирования к туннелированию по Фаулеру- рис. 3.122. Экспериментальные зависимости плот- Нордгейму (через треугольный ности токов утечки через слои нитрида кремния барьер — непосредственно в зону (полученных JVD-методом), имеющих три различ- проводимости). Можно видеть ных значения эквивалентной толщины {Toxeq = 21; при этом, что при одинаковых 29 и 39А) в сравнении с термическими слоями SiQ2, Ъ 21 ~ 0- •—> ад _1 - й "2" Ы1 _Ч - fe_4- оЗ о -5- о о -7- X i ё -8- t? 11 9 - ( D? д3 J^°f\\ 1J ^ jdr^ 21AVT /gp^jT J^^л ^r JjJr^TjT 4®A^ ^^ ff ^djjI^JZ $ jrl Jtffi J^ -dfijT ^r 29A _Ж jKT: rtirjr _jr jF jfT39a □ Окисел В JVD-нитрид i | i , . | i | . , , ) 1 2 3 4 5 6 Напряжение на диэлектрике (В) значениях Тохщтоки утечки через имеющими аналогичные толщины
|fi02 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 60 "Г Т" Т - JVD-нитрид 3.5 нм +Vg - JVD-нитрид 3.5 нм -Vg - CVD ONO 3.7 нм +Vg - CVD ONO 3.7 нм -Vg 6 8 10 12 14 16 18 Эффективная напряженность эл. поля (МВ/см) слои Si3N4 оказываются заметно меньше, чем через Si02, особенно в области доминирования механизма прямого туннелирования, где различие в токах утечки составляет несколько порядков. На рис. 3.122 приводятся экспериментальные зависимости плотности тока затвора от падения напряжения на слое диэлектрика при трех различных значениях Тохщ (2,1; -2,9 и -3,9 нм) слоя нитрида кремния. Представленные зависимости явно Рис. 3.123. Сравнение зависимостей кумулятивной свидетельствуют о существенно вероятности пробоя от эффективной напряженно- меньших токах утечки через слой сти электрического поля для JVD-слоев нитрида нитрида кремния по сравнению с кремния и «ONO-слоев одинаковой эквивалентной эквивалентными им по толщине толщины (Тохщ = 3,7 и 3,5 нм) при разной полярно- термическими слоями окисла, сти напряжения смещения поликремниевого элек- что находится в качественном со- трода. «ONО»-слои выращивались CVD-методом гласии с предсказаниями теории. В области малых значений напряженности электрического поля токи утечки через слой нитрида кремния несколько выше расчетных, что, по-видимому, связано с неполным устранением роли механизма проводимости по Паулу-Френкелю в этих слоях нитрида кремния. Причиной того, что туннельный ток в слоях нитрида кремния, вопреки более низкой высоте барьера, оказывается намного меньше, чем в Si02 при равных значениях Тохщ, является большая физическая толщина (примерно вдвое больше, чем в случае Si02). Это в значительной степени компенсирует эффект, связанный с разницей в высоте барьеров. Следует добавить, что ток утечки в JVD-слоях Si3N4 на порядок ниже, чем в трехслойной структуре CVD Si02—CVD Si3N4—Si02 при одинаковой эквивалентной толщине. Кроме того, JVD — Si3N4 имеют преимущество перед трехслойным диэлектриком и по величине предельной напряженности электрического поля (рис. 3.123). Описанный метод струйного осаждения из газовой фазы успешно использован для формирования двухслойного подзатворного диэлектрика Si02-Si3N4 [166]. Введение нижнего слоя Si02 привело к значительному увеличению крутизны МДПТ не только в области высоких напряжений на затворе, но и в области низких напряжений. 3.1 I. Альтернативные подзатворные диэлектрики с высокой диэлектрической проницаемостью Подзатворный диэлектрик на основе двуокиси кремния в течение более 30 лет являлся единственным материалом, удовлетворяющим требования к МОП- приборам. При переходе к глубокосубмикронным проектным нормам, когда тол-
3.11. Альтернативные подзатворные диэлектрики с высокой диэлектрической проницаемостью щина окисла приближается к порогу туннельной прозрачности (Тохщ = 2,3 нм), двуокись кремния необходимо заменить диэлектриком с высокой диэлектрической проницаемостью, что позволяет увеличить его физическую толщину при неизменной эквивалентной (по отношению к Si02) толщине. Помимо уменьшения компоненты тока прямого туннелирования увеличение физической толщины диэлектрика позволяет снизить его дефектность в сравнении с ультратонкими слоями Si02. Однако увеличение толщины подзатворного диэлектрика с высокой проницаемостью имеет ограничение, связанное с двумерным характером распределения напряженности электрического поля. При увеличении отношения толщины диэлектрика к длине затвора растет доля краевого электрического поля, что приводит к ослаблению управляющей способности затвора контролировать плотность заряда в канале МДПТ и, соответственно, к усилению короткоканального эффекта. Ниже приведены примеры использования ТЮ2 и Та205 в качестве подзатворного диэлектрика. Подзатворный диэлектрик на основе ТЮ2. Тонкие слои двуокиси титана характеризуются высокой диэлектрической проницаемостью — от 4 до 86 [167—169]. При осаждении методом пиролиза металлорганического соединения тетраизо- пропоксититана при пониженном давлении и температуре 380°С слои ТЮ2 имеют поликристаллическую структуру и диэлектрическую проницаемость, равную 30 [170]. Ширина запрещенной зоны Ti02 составляет 3,0—3,2 эВ [171], т.е. примерно в три раза меньше, чем для Si02. Таким образом, слои двуокиси титана могут быть использованы в МОПТ в качестве подзатворного диэлектрика с относительно высоким значением физической толщины, исключающим протекание тока прямого туннелирования. Однако проблема термоэлектронной эмиссии может быть достаточно серьезной, особенно при неблагоприятном положении энергетических зон кремния и Ti02. В работе [172] сообщается, что ток утечки через слой Ti02 определяется термоэлектронной эмиссией с величиной барьера 1 эВ [172], который, как предполагают, представляет собой разницу в положении дна зоны проводимости обоих материалов. Не конкурируя с Si02 в области больших толщин подзатвор- ных диэлектриков по ряду параметров и технологичности, слои Ti02 потенциально позволяют создать подзатворный диэлектрик с эквивалентной толщиной менее 10 А В работе [170] выполнено исследование МОПТ с подзатворным диэлектриком Ti02 толщиной 190 А. Обнаружено, что при использовании затворов из поликремния и алюминия наблюдается высокий ток утечки через диэлектрик особенно после последующих термических обработок. Минимальный ток утечки достигнут с платиновым затвором. Также обнаружено, что для слоев Ti02, осажденных методом пиролиза, характерна высокая степень шероховатости границы платина — Ti02: при толщине слоя ТЮ2 500 А отклонение от средней линии профиля составляет 36 А Подвижность электронов в канале МДПТ с толщиной подзатворного диэлектрика 190 А, оцененная по результатам измерений крутизны, составила 160 см2/В-с, т.е. почти в три раза ниже, чем в МОПТ с подзатворным слоем Si02. Предельная напряженность электрического поля не превышала 3 MB/ см. Плотность поверхностных состояний увеличивается от 5-1010 см 2эВ' в центре запрещенной зоны до 1,5-1012 см~2-эВ-1 на краях. Необходимо отметить, что характеристики подзатворного диэлектрика в сильной степени зависят от метода его формирования. Так, использование JVD-метода
204 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ для осаждения нитрида кремния, считавшегося неперспективным материалом в качестве подзатворного диэлектрика, позволило обеспечить характеристики МДПТ, близкие к тем, которые характерны для МОПТ. Также этим методом получен высококачественный подзатворный диэлектрик Ti02 с барьерным слоем Si3N4 (со стороны электрода затвора), что позволило на два порядка снизить ток утечки в сравнении с термическим окислом. Подзатворный диэлектрик на основе Та205. Пятиокись тантала (s « 25) является перспективным диэлектриком для замены Si02 в качестве подзатворного диэлектрика МДПТ благодаря совместимости процессов его формирования с кремниевой технологией. Основными технологическими проблемами, связанными с использованием Та205 в качестве подзатворного диэлектрика в МОП, являются: 1) создание слоя Та205 и формирование у него при помощи соответствующей термообработки необходимых электрофизических свойств и 2) формирование буферных слоев со стороны подложки и затвора, исключающих взаимодействие с ними слоя Та205 и обеспечивающих необходимые параметры границы раздела с кремниевой подложкой. Формирование слоя Та205 осуществляется в реакторе термического CVD- осаждения с использованием в качестве источника Та(ОС2Н5)5 при температуре 410—450°С и давлении -80 Па. Поскольку это вещество является металлооргани- ческим, то его использование делает необходимым проведение после осаждения слоя Та205 процедуры термообработки в кислороде при температуре 800°С в течение 30 с для того, чтобы уменьшить содержание углерода, а также заполнить кислородные вакансии [175]. В результате этой операции значительно снижается плотность ловушек в объеме и уменьшается ток утечки через слой Та205 в МДП- структуре. Операция уплотнения придает слою Та205 необходимые электрофизические свойства и является критической технологической операцией для получения оптимальных результатов. Сообщалось об использовании с целью уплотнения слоя Та205 плазменной обработки в среде NO, N20 или 02 [176, 177]. В работе [178] наилучшие результаты были получены с использованием RTA-процесса в атмосфере 02. Важным фактором выбора материала подзатворного диэлектрика является предельно допустимая температура, до которой сохраняются его характеристики. В работе [179] выполнены исследования изменения элементного состава Та205 при воздействии вакуумного отжига. Обнаружено, что в диапазоне температур до 800°С Та205 остается в высокоокисленном состоянии, а распределение концентраций Та, О, С, Ti и N в структуре Ta205/ TiN/Si02 остается неизменным до и после отжига. При необходимости использовать термообработку при температуре выше 800°С рекомендуется использовать буферные слои, предотвращающие межфазовое взаимодействие и восстановление Та205. Создание буферного слоя со стороны подложки осуществляется либо до осаждения Та205, либо во время проведения процесса осаждения и последующего уплотнения. Помимо предотвращения образования силицида тантала использование буферного слоя позволяет сформировать необходимые характеристики границы раздела с кремнием. Чаще всего в качестве буферного слоя применяют ультратонкий слой Si02 (10 А), выращиваемый при температуре 650—850°С при
3.11. Альтернативные подзатворные диэлектрики ^nif^S с высокой диэлектрической проницаемостью ^^Jjlj давлении < 1 мм рт.ст. [177], или слой оксинитрида, создаваемый плазмостиму- лированным нитрированием в среде N20 или NO, или термическим нитрированием в среде NH3 при температуре 960°С в течение 60 с [176]. В работе [178] буферный слой создавали во время уплотнения Та205. Сформированный на границе раздела Si-Ta205 буферный слой Si02 обеспечивает высокую поверхностную подвижность носителей, а также предотвращает образование силицида тантала. Однако формирование на границе раздела буферного слоя Si02 может приводить к существенному уменьшению эффективной диэлектрической проницаемости двухслойной структуры Si02/Ta205, что отрицательно сказывается на электрических характеристиках подзатворного диэлектрика. Действительно, образовавшаяся двухслойная диэлектрическая структура ведет себя подобно двум последовательно включенным емкостям. Общую их емкость можно представить в следующем виде: 1 тяа Тпл t<2L=t^^ (зл2) т т 1SiQ2 1Та205 £-Si02 £7b205 "Si02 где С — общая емкость двухслойной структуры 8Ю2/Та205(приходящаяся на единицу площади); sr — обобщенная эффективная диэлектрическая проницаемость этой электрической структуры ; Teff— эквивалентная (относительно Si02) толщина этой структуры (Si02/Ta205). На основании (3.12) можно записать: 1 1 (3.13) т = т 1eff JSi02 + 71 1Щ05 (3.14) Эксперимент - Теория В соответствии с соотношением (3.13), зависимость величины 1/ег (получаемой из С(К)-измерений) от отношения толщин слоев Si02 и Та205 (измеряемых с помощью электронной микроскопии поперечного сечения) должна быть линейной, как показано на рис. 3.124. Действительно, экспериментальные точки ложатся очень близко от теоретической прямой линии, соответствующей экстраполируемой величине собственной диэлектрической проницаемости Та205, равной 25. Следовательно, проблема заключается в обеспечении заданной толщины промежуточного слоя Si02 с учетом оптимального баланса между качеством границы раздела, свойствами переноса носителей и эффективной диэлектрической проницаемостью. Формирование затвора к Та205. В качестве материала для электрода затвора к Та205 нельзя использовать поликремний, поскольку эти материалы взаи- O.lOi 0.09 0.08 . 0.07 0.0б| 0.05 0.041 5% 10% 15% 20% 25 25% Т5ю/Чо5(%) Рис. 3.124. Иллюстрация влияния 8Ю2-слоя на общую диэлектрическую постоянную (sr) двухслойной структуры Si02/Ta205
^06 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ модействуют друг с другом при достаточно высокой температуре в соответствии с тройной фазовой диаграммой, представленной на рис. 3.125. Всегда, когда последующие технологические процессы выполнялись при температуре, превышающей 500°С, наблюдалось образование силицидов тантала, что приводило к ухудшению диэлектрических свойств и к появлению микрорельефа границы раздела с формированием ослабленных по отношению к токам утечки и пробою мест. Поскольку во всех случаях необходимо проводить высотемпературную термообработку для активирования примесей в областях истока и стока, то возникает необходимость формирования между поликремниевым затвором и слоем Та205 эффективного буферного слоя. Используются различные методы создания этого слоя: осаждение CVD Si02 толщиной 10 А [177], быстрое окисление в 02 или нитрирование в N20 при 800°С в течение 60 с или окисление в печи при той же температуре в течение 30 мин [176]. В работе [178] в качестве барьерного слоя и одновременно в качестве электрода затвора использовали нитрид титана UN, который ранее уже применяли в технологических маршрутах изготовления гигабитных ДОЗУ при формировании верхнего электрода конденсатора. В связи с тем, что работа выхода электронов для TiN равна 4,7 эВ, то его уровень Ферми будет соответствовать середине запрещенной зоны как для кремния п\ так и/г-типа, что обеспечивает получение симметричных значений порогового напряжения МОПТ. Кроме того, применение металлического затвора из TiN исключает проблему обеднения поликремниевого затвора, значение которой увеличивается при уменьшении толщины подзатворного диэлектрика. Тем не менее, наличие поликремниевого слоя является обязательным во всех случаях для того, чтобы предотвращать окисление слоя TiN и его растрескивание во время последующих термообработок, связанных с реализацией соответствующих технологических операций [173]. Поликремниевый слой также проявляет себя в качестве буферного слоя, уменьшающего механические напряжения. Присутствие поликремния сверху слоя TiN позволяет применять процессы плазмохимического травления, которые используются в стандартной технологии формирования области затвора, за исключением возможности использования НВг, несовместимого с TiN. Поэтому для формирования рисунка затвора была использована смесь С12 и 02. При этом следует тщательно выбирать и следить за соотношением С12/02, поскольку слишком высокое содержание 02 приводит к увеличенной селективности в отношении TiN, а также вызывает образование TiO, что может заблокировать процесс травления. Тем не менее, использование умеренных концентраций 02 позволяет оптимизировать процесс травления области затвора и хорошо контролировать этот процесс. Положительным результатам плазмохимического травления затвора способствует также то, что селективность травления для Та205 намного выше, Рис. 3.125. Тройная фазовая диаграмма систе- чем в случае Si02. мы «Si-O-Та» для 700°С [182]
3.11. Альтернативные подзатворные диэлектрики -%л-/^ с высокой диэлектрической проницаемостью ^^J))) «я Он 10 10" ю-5 10-' 10- ^ Положительное смещение ■ Отрицательное смещение 0 0,5 1 1,5 2 2,5 3 3,5 4 Эффективная напряженность эл. поля (МВ/см) Формирование областей затвора имеет, помимо вышеуказанных, другие сопутствующие проблемы. Например, стандартная технологическая операция удаления фоторезиста может быть несовместима с использованием TiN-слоев. Действительно, такая смесь H202/H2S04, как хорошо известно, активно взаимодействует с TIN. Такое подтравливание под маскирующим слоем может приводить к отслоению затвора во время последующих термине- Рис- 3126- Вольт-амперные характеристики МДП- ских операций. Для более четкого структуры «Si02/Ta205» с эквивалентной толщиной формирования топологического диэлектрического слоя Гад = 6 нм рисунка области затвора, а также для создания защитного слоя при ионной имплантации областей истока и стока возможно применение жесткой маски. При изготовлении образцов МДПТ в работе [ 178] были использованы жесткие маски Si02 наряду с применением антиотражающего покрытия из неорганического материала для более четкого формирования топологического рисунка области затвора. Электрические характеристики МДПТ. Используя рассмотренные выше технологические операции, изготовили МДП-структуры с эквивалентной толщиной диэлектрика 6 нм. Термический бюджет этих операций соответствовал термическому бюджету стандартного технологического маршрута изготовления КМОП-структур. Было установлено значительное уменьшение токов утечки (рис. 3.126). Использование подза- Плотность тока затвора (А/см2) р р р о о з 1и о 1 1 ' 1 ' , 1 ■ ■ | | 1"! | | Г - Si021.7HM -luj дпЦ|1 £<сгЕГ^ Т =1.8нм - JTU ox, eq - "п S1022.4hm Q^g^&e^&aJ3' '□?®лоО Т =2.4нм" - и © ° °х еч О - Сплошные линии - п Si02 _ моделирование О SiON/Ta.O- i . i . i . э .0 0.5 1.0 1.5 2 Напряжение на затворе (В) > > 0 Рис. 3.127. Зависимости плотности токов утечки затворов МДПТ с обычным подза- творным слоем Si02 (□) и с двойным диэлектрическим слоем типа «SiON/Ta205». Более низкий уровень токов утечки в приборных р^ 3.128. Выходные /„(^-характеристики структурах с Та205-слоем предоставляет зна- мдпт с подзатв0рНЫМ диэлектрическим чительные преимущества, в особенности в слоем sio/TO с Т = 4;0 нм и длиной случае приборов памяти канала 0 ,5 мкм 600 ^ 500 | 400 W 300 3, ^°200 100 0.2 0.4 0.6 0.8 1 У, (В) 1.2 1.4
^08 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ L =0,35 мкм ^W=10mkm ^ Т =2,8 нм jS 1 ox,cq ' S Г // Г // Vg = 3,0Bl .— 2,5 В 1 2,0 В 1 1,5 В | /^ 1,0 В 1£ г- 1 ! 1 \ 1 1 2 Напряжение на стоке (В) 10° ю-2 ю-4 ю-6 ю-8 10-ю 10"12 L = 0,35 мкм е W = 10 мкм Vd = 2,0В -10 12 3 Напряжение на затворе (В) Рис. 3.129. Id(K) характеристики я-МОПТ Рис. 3.130. Подпороговые характеристики (Lg = 0,35 мкм) с двойным подзатвор- Ij(Vg) я-МОПТ (L = 0,35 мкм) с двойным ным диэлектрическим слоем Ta205/Si02 подзатворным диэлектрическим слоем (ТоХ.еа=2>%™) Ta205/Si02(Toxc =2,8нм) творного диэлектрика с эквивалентной толщиной 2,0 нм должно показать еще большие преимущества Та205 по сравнению с чистым Si02, поскольку при таких малых толщинах усиливается эффект прямого туннелирования через слой Si02 (рис. 3.127) [180]. Были изготовлены 0,15-мкм МДПТ с эквивалентной толщиной подзатворного диэлектрика, равной 4,0 нм. При этом их формирование осуществлялось в рамках промышленного технологического маршрута изготовления КМОП-приборов с учетом вышеуказанных замечаний. На рис. 3.128 приводятся ^(^-характеристики изготовленных МДПТ. На рис. 3.129 и 3.130 приводятся типичные Id(Vd) и подпороговые ^(^-характеристики МДПТ с длиной затвора Lg = 0,35 мкм и Тохщ = 2,8 нм (физическая толщина диэлектрика 10 нм), изготов- Табл. 3.8. Режимы технологических операций изготовления образцов я-МДПТ (к рис. 3.129 и 3.130). № образцов 1. Окисление 2. Термообработка 3. CVD-осаждение Та205 (толщина) 4. Регулирование величины \{ (имплантация В+) 5. Формирование сток-истоковых областей (имплантация As+) 6. Г5Ю2(нм) 7. Т (нм) N1 Кипячение bHN03 700°С; 30 мин в N2 15 нм 30 кЭв; 4-1012см-2 40 кЭв; 1,6-1015 см-2 1,7 4,9 Режимы N2 <- 800°С; 30 мин в N2 <- <— <- 2,1 3,3 N3 Сухой 02: 800 °С — 15 нм <— .<- <- 4,1 N4 Погружение в HF — <- <г~ <- <г- 10,6
3.11. Альтернативные подзатворные диэлектрики «м^ с высокой диэлектрической проницаемостью y^^JS/ о.з Ь -"—i—'—г W/L = ЮОмкм/ЗОмкм -ч 1 г- 0.0 а) ю-3 ю-5 3 ю-' о н м 10' 0.5 1.0 1.5 2.0 Напряжение на стоке (В) 2.5 ю-1 ю-1 1 1— [ V 1 - ^ —1 1 г 1 1 Т7777.1 V, = 1 В j ♦^\^=50мВ] /S = 87 мВ/дек ] ' W/L = 100 мкм/30 mkmJ • • 1 ■ ■ ■ 1 б) 0.0 0.5 1.0 1.5 Напряжение на затворе (В) Рис. 3.131. Кривые зависимостей: (а) — Id( Vd) и (б) Id(Vg) ДЛЯ МДПТ с Та205-слоем при 7^=1,8нм ленных с использованием режимов, приведенных в табл. 3.8 [181]. Были достигнуты: весьма большой ток стока, равный 0,74 мА/мкм (при Vg = Vd = 3,0 В) и очень крутой наклон субпороговых /d( JQ-характеристик, соответствующий 5= 76 мВ/декаду. В работе [180] получены приборы с эквивалентной толщиной диэлектрика 1,8 нм (рис. 3.131). В работе [180] также были представлены данные о подвижности электронов в «-канальных МДПТ (рис. 3.132). Как видно, подвижность носителей в транзисторах с подзатворным слоем Та205 и с подзатворным чистым окислом подчиняется универсальной зависимости |ieff от эффективного электрического поля, что указывает на идентичность механизма рассеяния носителей в этих приборах. 600 £ 500 Ъ 400 £ зоо о X | 200 0Q § юо 0 0.0 1 А А , 0.5 cff 1 1 1 A Si02 3.8 нм □ Si02 2.4 нм О Та205,Тохсч=1.8нм _ —— Модель □ ^З^Е^д- i i i 1.0 1.5 2.0 = (Vgs + VtV6Tox(MB/CM) Рис. 3.132. Подвижность электронов в «-канальных МОПТ с подзатворным слоем Та205 (Тохщ =1,8 нм) как функция эффективной напряженности электрического поля. Для сравнения приводятся соответствующие зависимости \хе (EefJ) в случае п- МОПТ с обычным подзатворным Si02-cnoeM (Toxeq — 3,8 и 2,4 нм). Приборы с Та205 слоем демонстрируют аналогичный тип зависимости |ие(^), характерный для приборов с обычными 8Ю2-слоями. Заряд в инверсионном слое оценивался на основе измерений Cgds (емкости затвора относительно истока/стока) 0.3 1—i—i—i 11111 1—i—i—i 1111 Уровень тока инжекции: 0,01 А/см2 ( _j i i i i i 111 j i i i i i 11 ю-2 ю-1 ю° Плотность инжектированного заряда (Кл/см2) Рис. 3.133. Сдвиг величины порогового напряжения (Л V) как функция плотности инжектированного заряда (Qinj). Положительная величина сдвига Vt означает, что имеет место захват на ловушки отрицательных зарядов (электронов) в подзатворном диэлектрическом слое и/или на границе раздела с Si-подложкой
2 i 0 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ Важным качеством подзатворного диэлектрика также является его надежность — ключевой фактор при эксплуатации приборов определенного класса. Для образцов, полученных в режимах, указанных в табл. 3.8, было исследовано смещение порогового напряжения Vt при воздействии инжекции электронов в подза- творный диэлектрик. На рис. 3.133 показаны зависимости смещения порогового напряжения (AV) от величины инжектированного в подзатворный диэлектрик заряда электронов [181]. Электроны инжектировались из инверсионного слоя под воздействием положительного напряжения на затворе при уровне инжектируемого тока, равного 0,01 А/см2. Очень большой положительный сдвиг, превышающий 0,25 В, наблюдается в образцах, в которых буферный слой со стороны подложки отсутствует. Наоборот, в образцах с подзатворным диэлектриком, имеющим структуру Ta205/Si02, сдвиг порогового напряжения A Vt незначителен. Таким образом, использование Та205 в качестве подзатворного диэлектрика позволяет создавать КМДП-приборы с длиной затвора менее 0,18 мкм. С технологической точки зрения можно утверждать отсутствие каких-либо непреодолимых проблем, связанных с использованием подобных диэлектрических материалов с высокой величиной диэлектрической проницаемости. Представленные данные свидетельствуют о том, что Та205 является многообещающим материалом для подзатворного диэлектрика в перспективной технологии изготовления МДПТ и в будущих поколениях технологии изготовления МДПТ ЛИТЕРАТУРА 1. Paulson W.M. et al., «Performance and Reliability of Scaled Gate Dielectrics», Materials Reliability in Microelectronics, VII- Symposium' 1997, San-Francisco, California, eds: Clement JJ.etal., pp. 77-88. 2. Rinaldi N.F., «A simple analytical model of thermal oxidation of silicon», Solid-State Electron., 1999,v.43,N2,pp.409-411. 3. Ghandhi S.K., «VLSI Fabrication Principles», N.Y., Wiley, 1983. 4. Sze S.M., «VLSI Technology», N.Y., McGraw-Hill, 1988. 5a. Massoud H.Z., Plummer J.D., Irene E.A., «Thermal oxidation of silicon in dry oxygen: growth rate enhancement in the thin-film regime», J. Electrochem. Soc, 1985, v. 132, N11, pp.2685- 2693. 5b. Massoud H.Z., Plummer J.D., Irene E.A., J. Electrochem. Soc, 1985, v. 132, N11, pp.2693- 2700. 6. Deal B.E., Grove A.S., «General relationship for the thermal oxidation of silicon», J.Appl. Phys., 1965, v. 36, pp.3770-3778. 7. Gorantla S., Muthuvrukatraman S., Venkat R., IEEE Trans.Electron. Dev., 1998, v. 45, pp. 336-338. 8. Красников Г.Я., Зайцев Н.А.,« Физико-технологические основы обеспечения качества СБИС», М., 1999, часть I. 9. Massoud H.Z., Plummer J. D., Irene E.A., «Thermal oxidation of silicon in dry oxygen. Accurate determination of the kinetics rate constant», J. Electrochem. Soc, 1985, v. 132, N7, pp. 1745- 1751. 10. Massoud H.Z., Plummer J.D., « Analytical relationship for the oxidation of Si in dry oxygen in
ЛИТЕРАТУРА 211 the thin-film regime», J.Appl. Phys., 1987, v. 62, pp.3416-3423. 11. Wilk G., «Surface Preparation, Growth and Characterization of Ultrathin Gate Dxides for Scaled CMOS Applications», Proc. SPIE, Microelectronics Device Technology, v. 3212, 1997, October, pp. 42-51. 12. Depas M. et al., «Ultra-thin Gate Oxide Yiefd and Reliability», VLSI Tech. Dig., 1994, pp. 23- 24. 13. Simmons G., J.Appl.Phys., 1963, v. 34, p. 1793. 14. Pfiester J. R., Parrollo L. C, and Baker F K., IEEE Electron Dev. Lett., v.U, p.247 (1990). 15. Morimoto T, Momose H. S., Ozawa Y, Yamabe K. and Iwai H., IEDM Tech. Dig., (1990), p. 429. 16. Momose H. S., Morimoto T, Ozawa Y, Tsutchiaki M., Ono M., Yamabe K. and Iwai H., ibid., p. 359(1991). 17. Uchiyama A., Fukuda H., Hayashi T, Iwabuchi T and S. Ohno, ibid., p.425 (1990). 18. Sung J. M., Lu С Y, Chen M. L., and Hillenius S. J., ibid., p. 447 (1989). 19. Baker F K., Pfiester J. R., Mele T C, Tseng H., Tobin P J., Hayden J.D., Gunderson С D., and Parrillo L. C, ibid., p. 443 (1989). 20. Ito T, Arakawa H., Nozaki T, and Ishikawa H., J.Electrochem. Soc, 1980, v. 127, p.2248. 21. Aoyama T. et al., «Nitrogen Concentration Dependence on Boron Diffusion in Thin Silicon Oxynitrides Used for Metal-Oxide-Semiconductor Devices», J. Electrochem. Soc, 1998, v. 145, N2, pp.689-693. 22. Aoyama T. et al., «Boron Diffusion Through Fure Silicon Oxide and Oxynitride Used for Metal- Oxide-Semiconductor Devices», J. Electrochem. Soc, 1993, v. 140, N 12, pp.3624-3627. 23. Suzuki K., «Analytical Model for Threshold Voltage Shift Due to Impurity Penetration through a Thin Gate Oxide», IEEE Trans, on Electron Dev, 1997, v.44, N9, pp. 1386-1392. 24. Morimoto T. et al., «Effects of Boron Penetration and Resultant Limitations in Ultra Thin Pure- oxide and Nitrided-oxide Gate-films», IEDM, 1990, pp. 429-432. 25. Subramanian C. et al., «Reverse Short Channel Effect and Channel Length Dependence of Boron Penetration in PMOSFETs», IEDM, 1995, pp. 423-426. 26. Leonarduzzi G.D., Kwong D.-L., «Improving Performance with Oxynitride Gate Dielectrics», Semicond. Internat., 1998, July, pp.225-228. 27. Matsuoka T. et al., «Hot-carrier-induced Degradation of N20- oxynitrided Gate Oxide NMOSFET's», IEEE Trans.Electron Dev, 1996, v. 43, N 9, pp. 1365-1375. 28. Wristers et al., Appl. Phys. Letters, 1996, v. 68, pp. 2094-2096. 29. Hasegawa E. et al., «The Impact of Nitrogen Profile Engineering on Ultra-thin Nitrided Oxide Films for Dual-gate CMOS ULSI», IEDM, 1995, pp. 327-330. 30. Baumvol I.J.R. et al., J. Electrochem. Soc, 1996, v. 143, p. 1426. 31. Vasquez R.P. Madhukar A., J. Appl. Phys., 1986, v.60, p. 234. 32. Morrow B.A., Cody LA., Lee L. S.M., J. Phys. Chem., 1975, v.79, p.2405. 33. Baumvol I.J.R. et al., «Thermal Nitridation of Si02 Films in Ammonia», J. Electrochem. Soc, 1996,vl43,N9, pp.2938-2952. 34. Arakawa T, and Fukada H., Electron. Lett., 30, p.361 (1994). 35. Dutoit M., Bouvet D., Mi J., Novkovski N. and Letourneau P, J., Microelectron, J. (1995), v.25, p.539. 36. Wright P J., Kermani A., and Saraswat K. C, IEEE Trans. Electron Devices, v.37, p. 1836 (1990). 37. Bhat M., Yoon G. W, Kwong D. L., Arendt M. and White J. M., Appl. Phys. Lett., (1994), v.64, pp.2116-2118. 38. Ann J., Ting Wand Kwong D. L., IEEE Trans. Electron Devices Lett., EDL-13, p.l 17 (1992).
EJ2 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 39. Hori T, «Demands for submicron MOSFET's and nitrided oxide gate-dielectrics,» Extended Abstracts of the 21th Conf. on Solid State Devices and Materials, Tokyo, pp. 197-200,1989. 40. Yankova A., L. Do Thanh, and Balk P., «Effects of thermal nitridation on the trapping characteristics of Si02 films,» Solid-State Electron,, vol. 30, no. 9, pp. 939-946, 1987. 41. Kaga T. and Hagiwara T, «Short- and long-term reliability of nitrided oxide MOSFET's,» IEEE Trans. Electron Devices, vol. 35, pp. 929-934,1988. 42. Hori T and Iwasaki H., «Improved hot-carrier immunity in submicrometer MOSFET's with reoxidized nitrided oxides prepared by rapid thermal processing,» IEEE Electron Device Lett., vol. 10, pp. 64-66, 1989. 43. Gupta A., Pradhan S. and Rocnker K. P., «Hot-carrier-induced degradation in nitrided oxide MOSFET's,» IEEE Trans. Electron Devices, vol.36, pp. 577-588, 1989. 44. Momose H. S., Kitagawa S., Yamabe K. and Iwai H., «Hot carrier related phenomena for n- and p- MOSFETs with nitrided gate oxide by RTP,» IEDM Tech. Dig., pp. 267-270, 1989. 45. Dunn G. J. and Scott S. A., «Channel hot-carrier stressing of reoxidized nitrided silicon dioxides,» IEEE Trans. Electron Devices, vol. 37, pp. 1719-1726,1990. 46. Morimoto T, Momose H. S., Ozawa Y, Yamabe K. and Iwai H., «Effects of boron penetration and resultant limitations in ultra thin pure oxide and nitrided-oxide gate-films,» in IEDM Tech. Dig. pp. 429-432, Dec. 1990. 47. Momose H. S.,. Morimoto T, Yamabe K. and Iwai H., «Relationship between mobility and residual-mechanical stress as measured by Raman spectroscopy for nitrided-oxide-gate MOSFETs,» in IEDM Tech. Dig. pp. 65-68, Dec. 1990. 48. Hori T and Jwasaki H., «Improved transconductance under high normal field in MOSFET's with ultrathin nitrided oxides,» IEEE Electron Device Lett., 1989,vol.l0, pp. 195—197. 49. Wu A. T, Chan T. Y, Murali V, Lee S. W, Nulman J and Garner M., «Nitridation induced surface donor layer in silicon and it's impact», IEDM Tech. Dig., Dec, 1990, pp. 429—432. 50. Hori T. and Iwasaki H., «The impact of ultrathin nitrided oxide gate dielectrics on MOS device performance improvement,» IEDM Tech. Dig., 1989, pp. 459—462. 51. Momose H. S., Takagi S., Kitagawa S., Yamabe K. and Iwai H., «Field dependent mobilities at RT and 77K for n- and p-MOSFETs with nitrided gate oxide by RTP,» 20th IEEE Semicond. Interface Special. Conf, Ft. Lauderdale, FL, Dec. 1989, p. I. 5. 52. Iwai H., Momose H. S., Takagi S., Morimoto T, Kitagawa S., Kambayashi S., Yamabe K. and Onga S., «Analysis of an ONO gate film effect on n- and p-MOSFET mobilities,» Submitted to VLSI Symp. Technol., Honolulu, June 1990. 53. Dori L., Sun J., Arienzo M.,Basavaiah S., Taur Y and Zichermann D., «Very thin nitride/oxide composite gate insulator gate insulator for VLSI CMOS,» Dig. Techn. Papers, VLSI Symp. on Technol., Karuizawa, May 1987, pp. 25—26. 54. Hwang H., TingW, Kwong D.-L. and Lee J., «Electrical and reliability characteristics of ultrathin oxynitride gate dielectric prepared by rapid thermal processing in N20,» in IEDM Tech. Dig., Dec. I 990, pp. 421-424. 55. Uchiyama A., Fukuda H., Hayashi T, Iwabuchi T. and Ohno S., «High performance dual-gate sub-halfmicron CMOSFETs with 6 nm-thick nitrided Si02 films in an N20 ambient,» in IEDM Tech. Dig., Dec 1990, pp. 425-428. 56. Lo G, Q., Ting W, Ann J. and Kwong D.-L., «P-channel MOSFET's with ultrathin N20 gate oxides,» IEEE Electron Device Lett., 1992, vol. 13, pp. 111-113. 57. Liu Z., Krick J., Wann H., Ко P. and Ни С, «The effect of furnace N20 anneal on MOSFETs,» in IEDM Tech. Dig., Dec. 1992, pp. 625-628,. 58. Momose H.S. et al., «Electrical Characteristics of Rapid Thermal Nitrided- oxide Gate n- and
p- MOSFET's with Less Than 1 Atom % Nitrogen Concentration», IEEE Trans. Electron Devices, 1994, vol. 41, N4, pp. 546-552. 59. Chu T.Y. et al., «Thickness and compositional nonuniformities of ultrathin oxide grown by rapid thermal oxidation of silicon in N20», J. Electrochem. Soc, 1991, v. 138, p. L13. 60. Ann J., Ting W, Kwong D.L., «Furnace nitridation of thermal Si02 in pure N20 ambient for ULST MOS Application», IEEE Electron Device Letters, 1992, v.13, pp.117-119. 61. Hwang H. et al., «Furnace N20 oxidation process for submicron MOSFET device application», Solid-State Electron., 1993, v.36, p.749. 62. Ma Z.-J. et al., «Optimization of Gate Oxide N20 Anneal for CMOSFET's at Room and CryogenicTemperatures», IEEE Trans. Electron Devices, 1994, v.41, N 8, pp. 1364—1372. 63. Yoon G., Epstein Y, «Characterization of High Quality Nitrided Gate Dielectric Films Manufactured in Reduced Pressure Furnace for Ultralarge Scale Integration Complementary Metal Oxide Semiconductor Applications», J. Electrochem. Soc, 1998, v. 145, N 5, pp. 1679— 1683. 64. Okayama Y et al, «Nitrogen Concentration Optimization for Down-scaled CMOSFET with N20- based Oxynitride Process», Symposium'98 on VLSI Technology Digest, 1998, pp. 220— 221. 65. Lai C.S. et al., «Improvement of Reliability of Metal-Oxide Semiconductor Field-Effect Transistors with N20 Nitrided Gate Oxide and N20 Polysilicon Gate Reoxidation», Jpn.J.Appl. Phys., 1998., v.37, Pt.l, N 10, pp. 5507-5509. 66. Perron L. et al., «Electron Mobility in Highly Doped MOSFET's with Standard and Nitrided Gate Oxide», ESSDERC95, pp. 113-116. 67. Momose H.S. et al., «Relation between mobility and residual-mechanical-stress as measured by Raman spectroscopy for nitrided-oxide-gate MOSFET's», IEDM Techn. Dig., 1990, p. 90. 68. Wu A.T et al., «Nitridation induced surface donor layer in silicon and its impact on the characteristics of n- and p-channel MOSFET's», IEDM Techn. Dig., 1989, p. 271. 69. Yoon G.W et al., «Effects of growth temperature on TDDB characteristics of N20- grown oxides», IEEE Electron Device Letters, 1992, v. 13, pp. 606-608. 70. Joshi A.B. et al., «High-field breakdown in thin oxdes grown in N20 ambient», IEEE Trans. Electron Devices, f993, v.40, p. 1437. 71a. Ruggles G.A., Monkowski J.R., «An investigation of fixed charge buildup in nitrided oxides», J. Electrochem. Soc, 1986, v. 133, p. 787. 71b. Ни С et al., «Hot-electron-induced MOSFET degradation-Model, Monitoring and Improvement», IEEE Trans. Electron Devices, 1985, v.32, p.375. 72. Griscom D.L., «Diffusion of radiolytic molecular hydrogen as a mechanism for the post- irradiation buildup of interface states in Si02-on-Si structures», J. Appl. Phys., 1985, v.58, p. 2524. 73. Brown D.B., «The time dependence of interface state production», IEEE Trans. Nucl. Sci., 1985, v.32, p. 3900. 74. Vuillaume D. et al., «Generation of Si-Si02 interface states by high electric field stress from low (100 K)to high (450 K) temperatures», J. Appl. Phys., 1993, v. 73, p.277. 75. Dunn G.J., Scott S.A., «Channel hot-carrier stressing of reoxidized nitrided silicon dioxide», IEEE Trans. Electron Devices, 1990, v. 37, p. 1719. 76. Dunn G.J., Krick J.T., «Channel hot-carrier stressing of reoxidized nitrided silicon dioxide in p-MOSFET's «, IEEE Trans. Electron Devices, 1991, v. 38, p. 901. 77. Matsuoka T. et al., «Influence of N20 Oxynitridation on Inter Trap Generation in Surface- Channel p-Channel Metal Oxide Semiconductor Field Effect Transistors», Jpn. J. Appl. Phys.,
214 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 1996, v.35, Ptl, N 2-В, pp. 887-891. 78. Woltjer R., Paulzen G.M., Pomp G.H., Lifka H., Woerlee PH., IEEE Trans. Electron Devices, 1995,v.42p. 109. 79. D. L. Griscom : J. Appl. Phys., 1985, v.58, p. 2524. 80. Vuillaume D., Mir A., Bouchakour R., Jourdain M., El-Hdiy A. and Salace G.: J. Appl. Phys., 1993, v. 73, p. 277. 81. DiMaria DJ. and Stathis J.H.: J.Appl. Phys., (1991), v.70, p.1500. 82. Yount J. T, Lenahan P. M. and Krick J. T: J. Appl. Phys., 1994, v.76, p. 1754. 83. Tsuchiya T, Okazaki Y, Miyake M. and Kobayashi T: IEEE Trans. Electron Devices, 1992, v. 39, p.404. 84. Bhat M. et al., «Electrical Properties and Reliablity of MOSFET's with Rapid Thermal N0- Nitrided Si02 Gate Dielectrics», IEEE Trans. Electron Devices, 1995, v. 42, N 5, pp. 907-914. 85. Takayanagi-Takagi M., Toyoshima Y, «Importance of Si-N Atomic Configuration at the Si/ Oxynitride Interfaces on the Performance of Scaled MOSFETs», IEDM'98 Techn. Dig., 1998, pp.575-578. 86. Hut. et al., «Hot-electron-induced MOSFET degradation model, monitor and improvement», IEEE Trans. Electron Devices, 1985, vol. 32, p. 375. 87. Vasquez R.P and Madhukar A., «Strain-dependent defect formation kinetics and a correlation between flatband voltage and nitrogen distribution in thermally nitrided SiOA/Si structures», Appl. Physics Lett., v. 47, p.998, 1985. 88. Hori T, «Inversion layer mobility under high normal field in nitrided-oxide MOSFET's, «IEEE Trans. Electron Devices, v. 37, p. 2058, 1990. 89. Takagi S. et al., IEEE Trans. Electron Dev, 1994, v. 41, p.2357. 90. Sun S.C. et al., «Characterization and Optimization of No-Nitrided Gate Oxide by RTR», IEDM'95 Techn. Digest, 1995, p. 687. 91. Lucousky G., «Reaction/annealing pathways for forming ultrathin silicon nitride films for composite oxide-nitride gate dielectrics with nitrided crystalline silicon-dielectric interfaces for application in advanced complementary metal- oxide-semiconductor devices», J.Vac. Sci. Technol., 1999,V.17-A,N4,p.l340-1351. 92. Yasudo T et al., Appl. Phys. Lett, 1992, v.60, p. 434. 93. Lucovsky G. et al., The Physics and Chemistry of Si02 and the Si-Si02 Interface, edited by Massound H.Z., Poindexter E.H., Helms C.R. (Electrochemical Society, Pennington, NJ) 1996, p.441. 94. Lu Z. et al., J. Vac. Sci. Technol., 1995, V.13-A, p. 607. 95. Hattangady S.V, Niimi H., Lucovsky G., Appl. Phys., Letters, 1995, v.66, p. 3495. 96. Wu Y, Lucovsky G., IEEE Electron Device Letters, 1998, v. 19, pp. 367-369. 97. Liu C.T. et al., «Multiple Gate Oxide Thickness for 2 GHz System-on-A-Chip Technologies», IEDM'98 Techn. Digest, 1998, pp. 589-592. 98. Bauer A.J. et al., «Forming Nitrided Gate Oxides by Nitrogen Implantation into the Substrate before Gate Oxidation by RTO», International Conf. on Ion Implantation Technology, Proceedings -1998, pp. 26-29. 99. Lin С et al., «Reliability of Gate Oxide Grown on Nitrogen-implanted Si Substrates», Appl. Phys. Letters, 1996, v. 69, N 24, pp. 3701-3703. 100. Hwang H. et al., IEDM'90 Tech. Digest, 1990, p. 421. 101. Jaraiz M. et al., Appl. Phys. Letters, 1996, v.68, p.409. 102. Cheung K.P. et al., «Plasma Damage Immunity of Thin Gate Oxide Grown on Very Lightly N+ Implanted Silicon», IEEE Electron Dev. Letters, 1998, v. 19, N7, pp. 231-233. 103. Ann J., Ting W, Kwong D.-L., «High-Quality MOSFET's with Ultrathin LPCVD Gate Si02»,
ЛИТЕРАТУРА 2154 IEEE Electron Dev. Letters, 1992, v. 13, N4, pp. 186-188. 104. Ann J., Kwong D.-L., «Device Performance and Reliability of P-channel Metal-Oxide- Semiconductor Field Effect Transistors with Chemical-Vapor- Deposited Gate Oxides», Jpn. J. Appl. Phys., 1997, v.36, Pt 1, N7-A, pp. 4225-4229. 105. Xu X.L., Kuehn R.T, Wortman J.J. et al., Appl. Phys. .Lett., June 1992, v. 64, N 24. 106. Toyoshima Y, Matsuoka F, Hayashida H., Iwai H. and Kanzaki K., Tech. Dig. of VLSI Tech. Symp. (1988), p. 39. 107. Lo G.Q., Kwong D.L., Abbott K.J. and Nazarian D., J. Electrochem. Soc, 1993, 140 (2) L16. 108. Xu X.-L., Wortman J.J., Sorrel FY, et al., Proc. SPIE, Tech. Symp. on Microelectronics, San Jose( 1991) 15 ( 1991), p. 18. 109. XuX.-L., McLarty P.K., Brush H., Misra V. and Wortman J.J., J. Electrochem. Soc, Oct. 1993, v.140(10), 2970. 110. Xu X.-L., Misra V, Wortman J.J., Harris G. S., Maher D.M., Spanos L., Irene E.A., et al., J. Electron. Mater., 1993, v.22 ( 11 ), p. 1345. 111. Misra V. et al., «High Quality Gate Dielectrics formed by Rapid Thermal Chemical Vapor Deposition of Silane and Nitrous Oxide», J. Electronic Materials, 1996, v.25, N 3, pp. 527— 535. 112. Moslehi M.M., Saraswat K.C., Shatas S.C, «Rapid thermal nitridation of Si02 for nitroxide thin dielectrics», Appl. Phys. Letters, 1985, v. 47, p. 1113. 113. Vogel E.M. et al., «Mobility Behavior of n-Channel and p-Channel MOSFET's with Oxynitride Gate Dielectrics Formed by Low-Pressure Ropid Thermal Chemical Vapor Deposition», IEEE Trans. Electron Dev., 1996, v.43, N5, pp. 753-758. 114. Hill WL. et al., «Low-Pressure Rapid Thermal Chemical Vapor Deposition of Oxynitride Gate Dielectrics for N-channel and P-channel MOSFET's», IEEE Trans. Electron Dev., 1996, v.43, Nl,pp. 15-22. 115. Learn A.J., Jackson R.B., J. Elecrtochem. Soc, 1985, v. 132, N 12, p. 2975. 116. Cable J.S. and Woo J.S.C. «High-field mobility effects in reoxidized nitrided oxide (ONO) • transistors», IEEE Trans. Electron Dev, 1992, v. 39, N 3, p. 607. 117. Hori T, Iwasaki H. and Tsuji K., «Electrical and physical properties of ultrathin reoxidized nitrided oxides prepared by rapid thermal processing», IEEE Trans. Electron Devices, 1989, v. 36, N 2, p. 340. 118. Yang W, Jayaraman R., Sodini C.G. «Optimization of low-pressure nitridation/reoxidation of Si02 for scaled MOS devices», IEEE Trans. Electron Dev., 1988, v. 35, p. 935. 119. Chen C.T, Tseng EC, Chang C.Y, «Study of electrical characteristics on thermally nitrided Si02 (nitroxide) films, J. Electrochem. Soc, 1984, vol. 131, p. 875. 120. Schmidt M.A., Terry F.L. et al., «Inversion layer mobility of MOSFET's with nitrided oxide gate dielectrics», IEEE Trans. Electron Dev, 1988, v. 35, p. 1627. 121. Hori T, Naito Y. et al., «Interface states and fixed charges in nanometer range thin nitrided oxides prepared by rapid thermal annealing», IEEE Electron Device Lett., 1986, v. EDL-7, p. 669. 122. Yoon G.W, Joshi A.B. et al., «MOS characteristics of NH3- nitrided N20-grown oxides», IEEE Electron Device Lett., 1993, v. 14, p. 179. 123. Ito T, Nakamura T, Ishikawa J., «Effect of thermally nitrided Si02 (nitroxide) on MOS characteristics», J. Electrochem. Soc, 1982, v. 129, p. 184. 124. Suzuki E., Hiraishi H., Ishii K. and Hayashi Y, «A low-voltage alterable EEPROM with metal- oxide-nitride-oxide-semiconductor (MONOS) structures,» IEEE Trans. Electron Devices, 1983, vol. ED-30, no. 2, pp. 122-128.
216 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ 125. Lai S. К., Lee J. and Dham V. К., «Electrical properties of nitrided-oxide systems for use in gate dielectrics and EEPROM,» in IEDM Tech. Dig., 1983, pp. 190-193. 126. Watanabe T, Menjoh A., Ishikawa M. and Kumagai J., «Stacked Si02/Si3N4/Si02 dielectric layer for reliable memory capacitor,» in IEDM Tech. Dig., 1984, pp. 173—176. 127. Liou F.-T, and Chen S.-O., «Evidence of hole flow in silicon nitride for positive gate voltage,» IEEE Trans. Electron Devices, 1984, vol. ED-31, no. 12, pp. 1736-1741. 128. Watanabe T, Menjoh A., Mochizuki T, Shinozaki S. and Ozawa O., «A 100- E thick stacked Si02/Si3N4/Si02 dielectric layer for memory capacitor,» Proc. IEEE International Reliability Physics Symposium, pp. 18—23, 1985. 129. Mitsuhashi J., Sugimoto K., Hirayama M., Sadahiro S., and Matsukawa T, «TDDB measurements of Si02 gate and Si02/Si3N4 gate structure,» in Extended Abstracts of the Conference on Solid State Devices and Materials, Tokyo, pp. 267—270, 1985. 130. Yau L. D., «Determination of the Fowler-Nordheim tunneling barrier from nitride to oxide in oxidemitride dual dielectric,» IEEE Electron Device Lett., vol. EDL-7, no. 6, pp 365—367 1986. 131. Nozaki S., and Giridhar R. V, «Study of carrier trapping in stacked dielectrics,» IEEE Electron Device Lett., vol. EDL-7, no. 8, pp. 486-489, 1986. 132. Nagatomo M. et al., «A high-density 4M DRAM process using folded bitline adaptive side-wall isolated (FASIC) cell,» in IEDM Tech. Dig., pp. 144-147, 1986. 133. Young K. K., Hu C, and Oldham W. G., «Charge transport and trapping model for scaled nitride-oxide stacked films,» Appl. Surface Sci., vol. 30, pp. 171-179, 1987. 134. Baunach R. and Spitzer A., «Interfacial tunneling barrier heights in triple-layer dielectrics,» Appl. Surface Sci., vol. 30, pp. 180-185, 1987. 135. Watanabe T, Goto N., Yasuhisa N.. Yanase T, Tanaka T. and Shinozaki S., «Highly reliable trench capacitor with SiO2/Si3N4/Si02 stacked film,» in Proc. IEEE International Reliability Physics Symposium, 1987, pp. 50-54. 136. Ohji Y, Kusaka T, Yoshida I, Hiraiwa A., Yagi K., Mukai K. and Kasahara O., «Reliability of nano-meter thick multi-layer dielectric films on poly-crystalline silicon,» in Proc. IEEE International Reliability Physics Symposium, 1987, pp. 55—59. 137. Manzini S. and Queirolo G., «Conduction properties of silicon dioxide in oxide-nitride-oxide structures,» Solid-State Electronics, vol. 30, no. 6, pp. 587-591, 1987. 138. Yugami J., Mine T, Iijima S. and Hiraiwa A., «Inter-poly Si02/Si3N4 capacitor films 5 nm thick for deep submicron LSIs,» in Extended Abstracts of the Conference on Solid State Devices and Materials, Tokyo, 1988, pp. 173-176. 139. Young К. К., Ни С and Oldham W. G., «Charge transport and trapping characteristics jn thin nitride-oxide stacked films,» IEEE Electron Device Lett., vol. 9, no. 11, pp. 616-618, 1988. 140. Spitzer A. and Baunach R., «The physics of ONO layer dielectrics,» Appl. Surface Sci., vol. 39, pp. 192-199, 1989. 141. Baunach R. and Spitzer A., «Simulation of charge transport and trapping in MIS structures with triple-layer dielectrics,» Appl. Surface Sci., vol. 39, pp. 200-209. 1989. 142. Nishimura A., Murata S., Kuroda S., Enomoto O., Kitagawa H. and Hasegawa S., «Long term reliability of Si02/SiN/Si02 thin layer insulator formed in 9 (m deep trench on high boron concentrated silicon,» in Proc. IEEE International Reliability Physics Symposium, 1989 pp.158-162. 143. Shen B. W, Chung G., Chen I. C, Coleman D. J., Jr., Ying R. S., McKee R., Yashiro M. and Teng C. W, «Scalability of a trench capacitor cell for 64 Mbit DRAM,» in IEDM Tech. Dig Dec. 1989, pp. 27-30.
ЛИТЕРАТУРА 21 144. Bakeman В., Bergendal A. et al., «A high performance 16-Mb DRAM technology», in Digest of Technical Pupers, VLSI Symposium on Technology, Honolulu, Hawaii, June 1990, pp 11 — 12. 145. Momose H.S. et al., «An Improvement of Hot-carrier Reliability in the Stacked Nitride-Oxide Gate n- and p- MOSFET'S», IEEE Trans. Electron Devices, 1995, v.42, N4, pp. 704-712. 146. Dori L. et al., «Very Thin Nitride/Oxide Composite Gate Insulator for VLSI CMOS», VLSI Symposium on Technology-Digest of Techn. Papers, 1987, pp. 25—26. 147. Yankova A., Thanh L.D., Balk P., «Effects of Themal Nitridation on the Trapping Characteristics of Si02 films», Solid-State Electron., 1987, v.30, N 9, pp. 939-946. 148. Buchanan D.A., Abram R.A., Morant M.J., «Charge Trapping in.Silicon-Rich Si3N4 Thin Films», Solid-State Electron., 1987, v.30, N 12, pp.1295-1301. 149. Wu Y, Lucovsky G., «Ultrathin Nitride/Oxide (N/O) Gate Dielectrics for p+- Polysilicon Gated PMOSFET's Prepared by a Combined Remote Plasma Enhanced CVD/Thermal Oxidation Process», IEEE Electron Device Lett., 1998, v. 19, N 10, pp. 367-369. 150. Green M.L. et al., «Rapid Thermal Oxidation of Silicon in N20 between 800 and 1200°C: Incorporated Nitrogen and Interfacial Ronghness», Appl. Phys. Lett., 1994, v.65, p.848. 151. Ma TP, «Making Silicon Nitride Film a Viable Gate Dielectric», IEEE Trans. Electron Devices, 1998, v.45,N3, pp. 680-688. 152. Halpern B. L., «Fast flow deposition of metal atoms on liquid surfaces», J. Colloid Interface Sci., 1982, vol. 86, pp. 337-341. 153. Schmitt J. J. and Halpern B. L, «Method and apparatus for the deposition of solid films of material from a jet stream entraining the gaseous phase of said material,» U.S. Patent 4788082, 1988. 154. «Method for microwave plasma assisted supersonic gas jet deposition of thin films,» U.S. Patent 5356672,1994. 155. Hwang С L., Chen B. A., MaT P., Golz J. W, Di Y, Halpern B. L. and Schmitt J. J., «Ferroelectric Pb(Zr,Ti)03 thin films prepared by gas jet deposition,» J. Integr. Ferroelectr., 1992, vol. 2, pp. 221-224. 156. Wang D., Ma T. P., Golz J., Halpern B. and Schmitt J., «High quality MNS capacitors prepared by jet vapor deposition at room temperature,' IEEE Electron Device Lett., vol. 13, pp. 482—484, Sept. 1992. 157. Wang X. W, Ma T P., Cui G. J., Tamagawa T, Golz J. W, Kareci S., Halpern B. L. and Schmitt J. J., «Highly reliable silicon nitride films made by jet vapor deposition,» Jpn. J. Appl. Phys. 1995, vol. 34, pt. 1., no 2B, pp. 955-958. 158. Wang X. W, Shi Y, Ma T P., Cui G. J., Tamagawa T, Golz J., Halpern B. and Schmitt J., «Extending gate dielectric scaling limit by us of nitride or oxynitride', in 1995 Symp. VLSI Technol. Dig. Tech. Papers, pp. 109—110. 159. Wang X., Khare M. and MaT. P., «Effects of water vapor anneal on MIS devices made of nitrided gate dielectrics,» in 1996 Symp. VLSI Technol. Dig. Tech. Papers, pp. 226—227. 160. Mallik A., Wang X. W, Ma T. P., Cui G. J., Tamagawa T, Golz J., Halpern B. and Schmitt J., «Interface traps in jet vapor deposited silicon nitride-silicon capacitors,» J. Appl. Phys., 1996, vol. 79, no. 11, pp. 8507-8511. 161. Shi Y, Wang X. W, Ma T P., Cui G. J., Tamagawa T, Halpern B. L. and Schmitt J. J., «Ultrathin nitride/oxide stack dielectric produced by in situ jet vapor deposition», in Proc. 1997 Int. Symp. VLSLTSA,pp. 172-176. 162. Khare M., Guo X., Wang X. W, Ma T. P., Cui G. J., Tamagawa T, Halpern B. L. and J. J. Schmitt, «Ultrathin silicon nitride gate dielectric for deep-sub-micron CMOS devices,» in 1997 Symp.
218 Глава 3. Формирование субмикронных диэлектриков подзатворных МОПТ VLSI Technol. Dig. Tech. Papers, pp. 51-52. 163. Guo X., Wang X. W, Ma T. P., Cui G. J., Tamagawa T, Halpern B. L. and Schmitt J. J., «The use of jet-vapor deposited silicon nitride for scaled DRAM applications,» in Proc. 1997 Int. Symp. VLSI-TSA, pp. 193-197. 164. Tseng H., «Application of JVD nitride gate dielectric to a 0.35 micron CMOS process for reduction of gate leakage current and boron penetration,» in IEDM Tech. Dig.. Dec. 1997, pp. 647-650. 165. Vogel E. M.. Hill W. L.. Misra V, McLarty P. K., Wortman J. J., Hauser J. R., Morfouli P., Ghigaudo G. and Ouisse T, «Mobility bahavior of n-channel and p-channel MOSFET's with oxynitride gate dielectrics formed by low-pressure rapid thermal chemical vapor deposition,» IEEE Trans. Electron Devices, vol. 43, pp. 753-758, May 1996. 166. Shi Y, Wang X., Ma T.-P, «Electrical Properties of High-Quality Ultrathin Nitride oxide Stack Dielectrics», IEEE Trans. Electron Dev, 1999, v. 46, N2, pp.362-368. 167. Fnyuki T, Matsunami H., «Electronic Properties of the Interface between Si and Ti02 deposited at very low temperatures», Jpn. J. Appl. Phys., 1986, v. 25, p. 1288. 168. Rausch N., Burte E.P., «Thin ТЮ2 films prepared by low pressure chemical vapor deposition», J. Electrochemical Soc, 1993, v. 140, p. 145. 169. Brown W.D., Grannemann WW, «C-V Characteristics of metal titanium dioxide- silicon capacitors», Solid-State Electron., 1978, v. 21, p. 837. 170. Campbell S. et al., «MOSFET Transistors Fabricated with High Permitivity Ti02 Dielectrics», IEEE Trans. Electron Devices, 1997, v. 44, N 1, pp. 104-109. 171. Pascual J., Camassel J., Mathien H., «Fine structure in the Intrinsic Absorption EdgeofTi02», Phys. Rev.B, 1978, v. 18, p. 5606. 172. Yan J. et al., «Structural and Electrical Characterization of Ti02 Grown from Titanium Tetrakis- Isopropoxide (TTIP) and TT1P/ H20 Abmients», submitted to J.Vac. Sci. Technol В 1996. 173. Alers G.B. et al., Appl. Phys. Letters, 1998, v. 73, p. 1517. 174. Alers G.B. et al., Appl. Phys. Letters, 1998, v. 73, N 16, p. 1308. 175. Lan WS. et al., Jpn. J. Appl. Phys., 1997, v.36, Pt.l, N 2, pp. 29-34. 176. Sun S.C, Chen T.F., «Leakage Current Reduction in Chemical-Vapor-Deposited Ta205 films by Rapid Thermal Annealing in N20», IEEE Electron Dev. Lett., 1996, v. 17, N 7, pp.355- 357. 177. Roy P.K., Kizilyalli I.C, «Stacked High-( Gate Dielectric for Gigascale Integration of Metal- Oxide-Semiconductor Technologies», Appl. Phys. Letters, 1998, v.72, N 22, pp. 2835-2837. 178. Devoivre T. et al., «On the Integration of Ta205 as Gate Dielectric in sub-0.18 (m CMOS Processes», IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 1998 pp 434- 438. 179. Chang J.P et al., «Interfacial Reaction and Thermal Stability of Ta205/TiN for Metal Electrode Capacitors», Solid-State Technology, 1999, N 2, pp. 43, 44, 46, 48. 180. Park D. et al., «Transistor Characteristics with Ta205 Gate Dielectric», IEEE Electron Device Letters», 1998, v.19, N 11, pp. 441-443. 181. MomiyamaY, MinakataH., SugiiT, «Ultra-Thin Ta205/Si02 Gate Insulator with TiN Gate Technology for 0.1 (m MOSFET's», 97' Symposium on VLSI Technology Digest of Techn. Papers, 1997, pp. 135-136. 182. Sinclaiz R., «Properties of Metal Silicides», EMIS Data Reviews Series, N 14 (Eds: Maex K. & Van Rossum M.), p. 104.
ГЛАВА 4 ФОРМИРОВАНИЕ СТОК-ИСТОКОВЫХ ОБЛАСТЕЙ 4.1. Введение При масштабировании МОПТ к параметрам слоев сток-истоковых областей предъявляются все более жесткие требования с целью подавления коротко- канальных эффектов, снижения последовательного сопротивления и повышения надежности. В связи с конфликтным характером требований каждому поколению технологии изготовления МОПТ соответствует оптимальный диапазон значений глубины залегания /?-я-перехода сток-истоковых областей, контактирующих с каналом (SDE-области — Source-Drain Extension) и соединяющих его с глубокими сток-истоковыми областями, в которых формируются контактные окна (CSD- области - Contact Source-Drain): Длина затвора Lg (мкм) Глубина залегания р-п- перехода XjSDE (нм) Поверхностная концентрация NsSDE (см 3) Глубина залегания р-п- перехода XjCDS (нм) 0,5 100-200 (2-5) • 1017 180-350 0,35 70-150 1 • 1018 150-250 0,25 50-100 1 • 1018 100-200 0,18 36-72 1 • 1019 70-140 0,15 30-60 2- 1019 60-120 0,13 26-52 5- 1019 50-120 При этом поверхностную концентрацию примеси в SDE-областях при масштабировании увеличивают с целью ограничения роста поверхностного сопротивления. Формирование слоев SDE-областей с указанными параметрами потребовало значительного совершенствования технологических процессов легирования. Так, например, при изготовлении /7-МОПТ с Lg < 0,5 мкм необходимо использовать имплантацию ионов бора и BF2 с энергией Е< 10 кэВ и быстрый термический отжиг вместо отжига в горизонтальных печах. При этом новым важным фактором, ограничивающим возможность уменьшения глубины залегания /?-я-переходов в SDE-областях, является эффект нестационарной ускоренной диффузии примеси (TED-эффект, Transient Enhanced Diffusion), влияние которого проявляется в значительном увеличении (на два-три порядка) коэффициента диффузии. Возникновение TED-эффекта обусловлено генерацией в имплантированных слоях избыточной концентрации межузельных атомов, а также процессами образования, эволюции и растворения дефектов структуры кремния во время отжига. Из-за большой величины коэффициента диффузии межузельных атомов они оказывают заметное влияние также на диффузию примесей в областях, расположенных вблизи зоны имплантации; так, например, при имплантации и отжиге CSD-слоев возможно воздействие TED-эффекта на перераспределение примеси в области канала и SDE-областях. Влияние TED-эффекта необходимо учитывать также и при выборе режима предварительной имплантации аморфизирующих ионов. В связи с высокой энергией активации диффузии межузельных атомов (~5 эВ) в
"120 Глава 4. Формирование сток-истоковых областей сравнении с энергией активации диффузии легирующих примесей (-4 эВ) влияние TED-эффекта уменьшается с увеличением температуры отжига. Это явилось дополнительным обстоятельством, обусловившим применение быстрых термических процессов для высокотемпературного отжига. По мере уменьшения глубины залегания /ья-переходов все в большей степени проявляется влияние на характеристики МОПТ теневого эффекта при имплантации в сток-истоковые области. Для исключения асимметрии характеристик транзисторов, вызываемой эффектом затенения, используют имплантацию ионов вертикальным пучком с предварительной аморфизацией либо пучком с углом наклона 7° с поворотом пластин на 90° и 2%-ной дозой в каждом из положений пластины. Все возрастающее усложнение процесса легирования мелкозалегающих слоев с использованием ионной имплантации привело к развитию альтернативных методов, исключающих влияние ТЭД- и теневого эффектов. Наиболее перспективным для создания ультрамелких SDE-слоев является метод легирования с использованием ионно-плазменной имплатации (Plasma Doping Plasma Immersion Implantation), диффузия из стеклообразных источников и из газовой фазы в режиме высокотемпературной быстрой термообработки. Однако в настоящее время указанные методы легирования не доведены до массового применения. В связи с тенденцией увеличения поверхностной концентрации примеси и крутизны концентрационного профиля SDE-слоев проблема обеспечения надежности масштабируемых МОПТ, несмотря на снижение рабочего напряжения, продолжает оставаться ограничивающим фактором. Для повышения надежности МОПТ используют три основных метода, связанных с выбором физической структуры стоковых областей: 1) уменьшают скорость генерации горячих носителей, осуществляя пространственное разделение положения максимума напряженности латерального электрического поля и максимума плотности тока канала; 2) уменьшают интенсивность инжекции горячих носителей в окисел, смещая положение максимума скорости ударной ионизации (максимума плотности тока канала) вглубь кремния; 3) обеспечивают положение максимума латерального электрического поля в области, перекрытой затвором. В МОПТ с полностью перекрытой затвором областью слаболегированного стока, где велика составляющая поперечного электрического поля, снижается интенсивность генерации и инжекции горячих носителей в окисел, а кроме того, уменьшается последовательное сопротивление стока благодаря аккумуляции носителей под воздействием поля затвора. Наиболее предпочтительным конструктивно- технологическим вариантом структуры стока МОПТ, в котором выполняются вышеуказанные рекомендации, является структура LATID (Large-Angle-Tilt- Implanted Drain), в которой SDE-области формируются имплантацией ионов с большим углом наклона пучка (45°) относительно поверхности кремния. Ключевой проблемой при масштабировании МОПТ является подавление ко- роткоканальных эффектов. Используемое с этой целью повышение концентрации примеси в канале МОПТ влечет за собой снижение подвижности носителей и увеличение емкости р-#-переходов. Применение ретроградного распределения примеси в канале хоть и обеспечивает низкую поверхностную концентрацию примеси, характеризуется повышенной емкостью /?-я-переходов и слоя обеднения, что отрицательно сказывается на быстродействии и крутизне под пороговых
4.2. Быстрый термический отжиг сток-истоковых областей МОПТ Ш характеристик. Альтернативным методом подавления короткоканальных эффектов МОПТ, ослабляющим вышеуказанные противоречия, является формирование локальных, так называемых Halo- или Pocket-областей путем латерального легирования канала вблизи сток-истоковых областей. При уменьшении длины затвора масштабируемых МОПТ ниже 0,13 мкм, когда глубина залегания /?-я-перехода SDE-областей достигает 40 нм, доминирующим параметром, ограничивающим ток стока Idsat, становится последовательное сопротивление транзистора Rs. Кроме того, в МОПТ с длиной затвора менее 0,15 мкм усугубляется проблема предотвращения роста тока утечки /ьи-перехода после формирования силицидного контакта к сток-истоковым областям, так как толщину слоя силицида невозможно уменьшать пропорционально глубине залегания /?-я-перехода CDS-областей {Х). Указанные ограничения на минимально допустимые значения XjSDE и XjcCDS сток-истоковых областей могут быть преодолены при использовании конструкции МОПТ с так называемыми приподнятыми (Elevated) сток-истоковыми областями. Наибольший эффект с точки зрения снижения последовательного сопротивления может быть достигнут в конструкции МОПТ со структурой S4D (Silicided-sidewall Source and Drain), в которой «приподнятые» сток-истоковые области самосовмещены непосредственно с SDE-областями. 4.2. Быстрый термический отжиг сток-истоковых областей МОПТ При формировании субмикронных МОПТ к термическим процессам отжига сток-истоковых областей предъявляются требования снижения термического бюджета, увеличения степени электрической активации примеси, повышения воспроизводимости и однородности параметров слоев, а также и минимизации плотности структурных дефектов и вредных примесей в кремнии. Важным требованием к процессу термического отжига является ограничение роли переходных термических процессов на этапах нарастания и спада температуры кремниевых пластин. При уменьшении глубины залегания сток-истоковых областей указанные требования все в меньшей степени удовлетворяются при использовании горизонтальных печей. Технологией, способной в значительной степени удовлетворить указанным требованиям, является быстрый термический отжиг (RTA = Rapid Thermal Annealing) с индивидуальной обработкой пластин. Характеристики вертикальных систем групповой термической обработки благодаря увеличению скорости нарастания температуры постепенно сближаются с характеристиками систем быстрой термической обработки, в которых благодаря совершенствованию систем регулирования мощности ламп улучшаются однородность и воспроизводимость температуры пластин. Однако характеристики вертикальных печей быстрого нагрева недостаточны для выполнения операций отжига сток-истоковых областей при изготовлении МОПТ с длиной затвора < 0,5 мкм. Так, длительность отжига сток-истоковых областей/?-канальных МОПТ с Lg = 0,25 мкм при 1000°С составляет несколько секунд, а при 900°С — около 10 с. Достигнутая скорость нарастания температуры в вертикальных печах быстрого нагрева составляет 80°С/мин; учитывая ограничения по термическому бюджету операции отжига сток-истоковых
Глава 4. Формирование сток-истоковых областей поо 1000 Температура 900 800 1000 100 10 Т(°С) ,5 мкм '0,25 мкм юупк-1) областей (рис.4.1) [1], это вынуждает снижать температуру отжига, вследствие чего не обеспечивается высокая степень активации примеси и подавление эффекта нестационарной ускоренной диффузии. В то же время совершенствование систем RTA позволило снизить неоднородность температуры по пластине до ±5°С, а скорость нарастания температуры увеличить до 150—250°С/с, что обеспечивает удовлетворение требований к процессам постимплан- тационного отжига сток-истоковых областей глубокосубмикронных мопт. Преимущество использования Рис. 4.1. Термический бюджет при изготовлении систем RTA с индивидуальной об- //"-областей истока-стока для различных проработкой пластин заключается так- ектных норм (2; 1; 0,5; 0,25 мкм) же в снижении общей длительности процесса отжига (1—5 мин/пл.) и уменьшении длительности цикла изготовления кристаллов ИС. Однако при отмеченных достоинствах для систем RTA характерны следующие недостатки: 1) при обработке пластин в одинаковых режимах на различных установках RTA относительно велика вероятность получения невоспроизводимых результатов, в связи с чем приходится достаточно часто корректировать режимные параметры технологического процесса либо проводить повторную калибровку температуры с использованием рабочих пластин [2]; 2) в процессах быстрого отжига затруднительно избежать радиальных градиентов температуры по пластине, поэтому при рабочей температуре > 1000°С возможна пластическая деформация кремниевых пластин, приводящая к возникновению линий скольжения и ухудшению характеристик/?-я-переходов [3,4]. Устранение линий скольжения на кремниевых пластинах большого диаметра (200 мм и выше) при обработке на установках RTA все еще является значительной технической проблемой [2]; 3) следует также отметить, что системы RTA характеризуются более высокой себестоимостью обработки в расчете на одну пластину по сравнению с горизонтальными печами. 4.2.1. Быстрый отжиг в реакторах с холодными стенками В традиционных системах RTA пластины обрабатываются в реакторах с холодными стенками, нагрев пластин осуществляется галогенными лампами. Потребляемая лампами мощность в период нарастания температуры в 3—4 раза превышает мощность, потребляемую резистивными нагревателями горизонтальных печей [5,6].
4.2. Быстрый термический отжиг сток-истоковых областей МОПТ Неоднородность температуры по пластине в системах RTA определяется локальным разбалансом между поглощаемой мощностью падающего излучения и тепловыми потерями в каждой конкретной точке пластины с учетом теплоизлучения и теплопереноса, главным образом в радиальном направлении. Пространственное распределение интенсивности излучения зависит от расположения ИК-ламп и геометрии реакционной камеры. На неоднородность поглощения энергии излучения влияет также топологический рисунок и наличие сильнолегированных областей на пластине. Пространственная неоднородность тепловых потерь пластины определяется ее геометрией и топологическим рисунком. В частности, потери тепла на краях пластины оказываются выше, чем в центре. Высокая теплопроводность кремния способствует сглаживанию неоднородности температуры по пластине, особенно в миллиметровом масштабе расстояний. На расстояниях в десятки сантиметров неоднородность температуры определяется, главным образом, балансом мощности излучения и тепловых потерь, который на основе закона Стефана- Больцмана для конкретной точки на пластине может быть выражен соотношением [7]: T\P = HeffaSBT\ (4.1) где Р — локальная плотность мощности падающего излучения, aSB — постоянная Стефана-Больцмана, Т— локальная абсолютная температура пластины, Heff— доля энергии, теряемой пластиной путем теплового излучения (с рабочей и обратной стороны), г| — локальный коэффициент поглощения мощности падающего излучения. Из приведенного соотношения следует, что чувствительность температуры в заданной точке пластины к изменениям АР, Аг| и AHeff имеет вид: АГ1 Т " ГАР+Аг] АЯЖЛ (4.2) V Р Л Hef из которого следует, что относительные вариации Р, г\ и Heff одинаково важны для обеспечения однородности температуры по пластине. Изменение мощности падающего излучения на 1% может привести к 0,25% изменения абсолютной температуры пластины, что при Т = 1100°С соответствует 3,4°С. Этот пример иллюстрирует требования к системам RTAno стабильности мощности излучения ламп. Кроме того, из приведенных соотношений следует, что изменения HeJfno пластине, вызванные геометрическими и топологическими факторами, обуславливают необходимость обеспечения неравномерного потока излучения ламп, компенсирующего неоднородность потерь тепла по площади пластины. В традиционных установках RTA используется система с линейным расположением ламп. Двухсторонний нагрев пластин необходим для увеличения скорости нарастания температуры, а также для снижения температурных градиентов на этапе быстрого подъема температуры, приводящих к возникновению термомеханических напряжений. Стенки реактора RTA имеют водоохлаждаемую конструкцию. Во время отжига пластины вращаются со скоростью 20—100 об/мин. Для типового режима отжига при температуре 1000°С в течение 10 с и скорости нарастания температуры 50°С/с суммарная максимальная мощность ламп (56 шт.) составляет 87 кВт, в стационарном режиме — 65 кВт. Отмечается, что рекомендуемое теорией использование отражателей для оптимизации теплового излучения
Глава 4. Формирование сток-истоковых областей Подложко- держатель Вольфрамовые галогенные лампы (поперечноесечение) Керамический экран Пластина Пирометры Термопарный зонд Кварцевое окно—1 Пластина. Осесиммегричное ламповое йство. Пирометр Светопроводы! Отражательный экран' Подложко- держатель на практике к положительному результату не приводит из-за невоспроизводимости характеристик отражающего покрытия и конечного (не точечного) размера ламп [8]. Для снижения коэффициента отражения стенок камеры они изготавливаются из пассивированного алюминия в отличие от ранних моделей, использующих покрытие стенок камеры золотом. При этом коэффициент отражения должен быть оптимизирован, чтобы исключить излишнее поглощение излучения ламп и обеспечить возможность быстрого нарастания температуры пластины, а с другой стороны, не допустить значительного отражения, чтобы не нарушать возмущение равномерного потока излучения от лампы к пластине. Для обеспечения заданного и регулируемого распределения теплового излучения лампы объединяют в группы, относительная мощность которых регулируется для обеспечения требуемого распределения температуры на пластине. Гис. 4.2. Сравнение конструкций систем в таких установках суммарная вариа- RTA, предназначенных для быстрой термо обработки пластин со СБИС, характеризуемых минимальной проектной нормой: а) 0,25 мкм; б) 0,18 мкм. ция температуры пластин, обусловленная неоднородностью температуры по площади пластины и невоспроизводимостью оптических характеристик пластин, составляет ±5°С (За). В более совершенных установках RTA используются осесимметричные лампы и вращение подложкодержателя (рис.4.2), что позволило повысить однородность температуры до ±1,5—2,5°С на пластинах диаметром 200 мм [7]. В этих установках значительно увеличено число датчиков температуры, включенных в систему автоматизированного динамического (50 Гц) регулирования мощности ламп. Подобный подход радикально изменил характеристики установки RTA, так как он учитывает старение ламп и состояние поверхности стенок реактора, а также геометрические и оптические параметры пластин. Кроме того, система динамического контроля и управления температурой обеспечивает ее воспроизведение на этапах нагревания и охлаждения пластин. На рис. 4.3 [8] представлена типовая картина распределения удельного поверхностного сопротивления (R) на пластинах диаметром 200 мм после отжига слоя, имплантированного мышьяком, в реакторе с линейным расположением ламп. Если процедура корректировки мощности ламп не используется, то разброс температуры достигает 7,1 °С. Введение в реактор подложкодержателя с вращающимся столиком (но без включения вращения), приводило к увеличению разброса температуры до 9°С . При использовании вращения подложки (п = 20 об/мин) разброс
4.2. Быстрый термический отжиг сток-истоковых областей МОПТ 29 Среднее значение Rs : 76,14 Ом/П . Стандартное отклонение : 1,45% Разброс Rs : 5,41 Ом/П . Интервал между контурными линиями : 1% Рис. 4.3. Распределение поверхностного сопротивления (Д. по 200-мм кремниевой пластине, имплантированной ионами As+(20k3B; 11016 см2). Термообработка проводилась при 1000°С в течение 30 с без коррекции расположения ламп и без использования вращения пластины. Амплитуда разброса температуры по пластине составляла 7,1 К. Измерения проводились в 121 точке, отступая от края на 3 мм /• / :' / ."Л '" -—-■' ^ Среднее значение Rs Стандартное отклонение Разброс Rg : Разброс температуры Интервал турными между кон- линиями •-):) Ху j ;Jy 40,22 Ом/п . 0,79% 1,380м/п . 4,2°К 1% Рис. 4.5. Распределение поверхностного сопротивления (Д) по кремниевой пластине при постимплантационной термообработке в режиме «вспышки» (при нулевой длительности на максимуме излучения). Скорость нарастания температуры составляла 150 К/с. Измерения проводились в 121 точке, отступая от края пластины на 3 мм Среднее значение Rs : 76,31 Ом/п . Стандартное отклонение : 1,08% Разброс Rs : 2,820м/ □ . Интервал между контурными линиями : 1% Рис. 4.4. Распределение поверхностного сопротивления (Д.) по 200-мм кремниевой пластине, имплантированной ионами As+ (20 кэВ; 1-Ю16 см2). Термообработка проводилась при 1000 °С в течение 30 с с вращением пластины со скоростью 20 об/мин. Амплитуда разброса температуры по пластине составила 3,7К. Измерения проводились в 121 точке, отступая от края на 3 мм Рис. 4.6. Распределение поверхностного сопротивления (Д,) по кремниевой пластине при постимплантационной термообработке в режиме быстрой «вспышки» (при нулевой длительности на максимуме излучения). Скорость нарастания температуры составляла 200 К/с. Измерения проводились в 121 точке, отступая от края пластины на 3 мм 8—1235
226 Глава 4. Формирование сток-истоковых областей температуры снижался до 3,7°С (рис. 4.4). Благодаря использованию автоматизированного управления мощностью ламп на основе данных предварительного картографирования распределения температуры достигается исключение термомеханических напряжений, приводящих к возникновению линий скольжения, даже на пластинах диаметром 300 мм [8]. При изготовлении глубокосубмикронных МОПТ значительное улучшение параметров сток-истоковых областей достигается при отжиге в режиме «вспышки» (Spike). В этом режиме длительность стационарного поддержания температуры снижается до < 1 с, а скорость нарастания температуры достигает 150°С/с и выше. Необходимым условием при этом является использование динамического регулирования температуры на пластине в нескольких точках, благодаря чему обеспечивается минимизация неоднородности температуры на этапах нагревания и охлаждения пластин. На рис.4.5 и 4.6 представлены распределения поверхностного сопротивления слоев после имплантации ионов мышьяка (Е= 20 кэВ, D = 1-1016 см2) и отжига в режиме «вспышки» при температуре 1150°С (скорость нарастания 150°С/с) и 1050°С (скорость нарастания 200°С/с) с нулевой длительностью поддержания стационарной температуры [8]. Неоднородность температуры по пластине составила 4,2 и 6,9°С, а дисперсия значений поверхностного сопротивления 0,79 и 0,98%, соответственно. 4.2.2. Быстрый отжиг в реакторах с горячими стенками В традиционных конструкциях систем быстрого термического отжига (БТО) тепловое излучение галогенных ламп поглощается пластиной кремния и стенками камеры (в некоторых конструкциях систем БТО стенки камеры имеют покрытие из золота и частично отражают излучение ламп). Поэтому такие системы с ламповым нагревом называют системами БТО с холодными стенками. К недостаткам таких систем относят сложность обеспечения воспроизводимых результатов на различных установках, необходимость частой калибровки температуры и корректировки режимных параметров процесса, зависимость температуры пластины от оптических характеристик ее поверхности и уровня легирования [9]. Указанные недостатки устраняются в системах БТО с горячими стенками, в которых кремниевая пластина находится в термическом равновесии с камерой. В одной из конструкций подобных систем (рис.4.7 [2]) плоская кварцевая труба находится Кожух Теплоизолятор Полость из SiC Подачаг аза . Нагревательные элементы Источники мощности: - ЗОНЫ 1 ~ зоны 2 ~ зоны 3 Рис. 4.7. Поперечное сечение камеры быстрой термообработки (RTA) ш Q uniiiiiimnu - lU i 11.: ж ж ж 11'.: Ж I ж ж ж:: х: 1 2 1 ермопара 3 * Регулятор температуры Г для контроля температуры пластины Компьютер
4.2. Быстрый термический отжиг сток-истоковых областей МОПТ 227j| Пирометр Теплоизолятор Термопара V Двухзонный нагревательный элемент Рис. 4.8. Камера быстрой термообработки (RTA) с массивным тепловым излучателем- пьедесталом в камере из карбида кремния, температура в трех зонах которой поддерживается соответствующими блоками нагревателей. Расстояние между кремниевой пластиной и стенками кварцевой трубы составляет 10 мм. В другой конструкции (рис.4.8 [5,9]) в кварцевой трубе размещается массивный карбидокремниевый тепловой излучатель-пьедестал. Кремниевая пластина размещается вблизи поверхности пьедестала на расстоянии от 127 мкм [9] до 200 мкм [5]. Благодаря указанным особенностям приведенных конструкций систем БТО с горячими стенками нагрев кремниевых пластин осуществляется однородным потоком длинноволнового излучения. При этом отмечается отсутствие линий скольжения на пластинах диаметром 200 мм [5, 9]. Сообщается также, что указанный метод термообработки в отличие от лампового отжига в реакторе с холодными стенками не приводит к эффекту «азотной эрозии» даже несмотря на то, что процессы проводились в среде чистого азота при низком давлении (2-10 Торр) [9]. Кроме того, в реакторах с горячими стенками кремниевая пластина не может «перегреться» выше температуры, поддерживаемой в камере, как это наблюдается в системах с ламповым нагревом в случае перерегулирования температуры [5]. Скорость нарастания температуры пластин превышает 100°С/с и возрастает с увеличением рабочей температуры. На рис.4.9 [9] представлена типичная картина изменения со временем температуры пластины в реакторе с пьедесталом. Скорость нарастания температуры достигает 300°С/с. Рабочая температура пластины отличается от температуры пьедестала на малую величину, которая воспроизводима для различных температур и относительно нечувствительна к оптическим характеристикам рабочей и обратной стороны пластины. После перемещения пластины из реактора в камеру охлаждения ее температура спадает со скоростью 70—100°С/с. Для увеличения скорости спада температуры используют принудительное охлаждение. 1200 г \, . ■-.;■■ *■ ■".;:'.,■--.. /..- £ 1000 | 900 } ш\ /00 ?0 Время (с) Рис.4.9. Зависимости от времени температуры излучателя и Si и скорости нарастания температуры Si
/ffi28 Глава 4. Формирование сток-истоковых областей I Другой вариант системы быстрого отжига с горячими стенками представлен на рис. 4.10 [10]. Температура пластины контролируется пирометром, который включен в контур управления механизмом подъема подложкодер- жателя. Скорость изменения температуры пластины при нагреве определяется скоростью подъема подложкодержателя в заданную точку реактора и лучеиспускательной способностью пластины. Осесимметричный характер распределения температуры в подобном реакторе позволяет использовать один пирометрический датчик, что значительно упрощает систему автоматического управления температурой. Воспроизводимость температуры пластины обеспечивается надежным меха- Рис.4.10. Схема конструкции системы быстрой низмом подъема подложкодер- термообработки (RTA) типа «Axcelis Summit» жателя с микронной точностью размещения пластины в реакторе. Суммарная вариация температуры, обусловленная радиальной неоднородностью (1,5°С), неточностью размещения пластин в реакторе (0,9°С) и различием оптических характеристик обратной поверхности пластин (3,2°С), составила 3,7°С (За). Однако достигнутая скорость нарастания и спада температуры не превышала 70°С/с (для Т= 1050°С) и 150°С/с (для Т= 1200°С). В табл. 4.1 [9] приведены результаты отжига ультрамелких слоев при температуре 1050 и 1075°С и длительностью 30 и 20 с, соответственно, легированных бо- Табл. 4.1. Результаты* формирования неглубоких/?-я-переходов с помощью RTA-установки с массивным теплоприемником Результаты отжига 1. Удельное поверхностное сопротивление 2. Глубина расположения /?-я-перехода (МСВИ, NB = 3 • 1018см3) 3. Глубина расположения /?-л-перехода (SRP,NB = 3-10,8cm-3) 4. Глубина расположения/7-л-перехода (ULESRP, NB = 3 1018cm3) 5. Остаточная доза 6. Степень электрической активации [7. Равномерность по Si-пластине: а = 1,56% Условия проведения RTA-процесса 1050°С/30 с 442 Ом/П 480 А 300 А — — — — 1075°С/20 с 446 Ом/П 457 А 289А 386 А 77,5 % 37,9 % — | *) BF2+; 2,2 кэВ, 1-Ю16 см 2, угол наклона 0°. Отжиг производился в чистом азоте при 35 Т0
4.3. Ионно-пучковая имплантация 22^ 1 х 1021 1 хЮ20 100% N2 Угол наклона ионного пучка 0°. 1 х 1016 Без термообработки 1050'С/30 сек МОО'С/ЗОсек 1100°С/50сек ром в реакторе, изображенном на рис.4.8 [9]. Характерной особенностью отжига ультратонких слоев при низком давлении в реакторах с горячими стенками является относительно малая потеря имплантированной дозы примеси (для бора « 32%) в сравнении с отжигом в реакторах с холодными стенками (> 50%) [11]. Это обусловлено более высоким содержанием паров воды в атмосфере реактора с холодными стенками, вследствие чего такие факторы, как образование летучих соединений примеси и кремния с водородом и кислородом приводят к уменьшению имплантированной дозы примеси, к невоспроизводимости и росту поверхностного сопротивления. Фактором, способствующим указанным процессам, является необходимость выдержки пластин в реакторе с холодными стенками при 700°С с целью калибровки пирометра. Для обеспечения контролируемости процесса отжига в атмосферу реактора, как сказано выше, вводят кислород (-10%), однако это приводит к росту поверхностного сопротивления слоев, легированных бором, из-за сегрегации примеси. Кроме того, при отжиге в реакторах с горячими стенками концентрационный профиль бора характеризуется высокой крутизной фронта распределения, так как в этом случае нет необходимости вводить в атмосферу реактора кислород, вызывающий ускоренную диффузию бора (рис.4.11 [9]). 40U 800 д 1200 Глубина (А) Рис. 4.11. Полученные методом ВИМС концентрационные профили распределения по глубине атомов бора в имплантированных Si-пластинах (BF2+, 2,2 кэВ, 11015 см2), прошедших термообработку при 1050°С и 1100°С в течение 30 с и при 1100°С в течение 50 с 4.3. Ионно-пучковая имплантация 4.3.1. Влияние имплантационных нарушений на диффузию примесей Выбор температуры и длительности постимплантационного отжига сток- истоковых областей осуществляется исходя из условий обеспечения требуемой глубины залегания /?-я-перехода, высокой степени электрической активации примеси и полноты отжига имплантационных нарушений структуры кремния с целью снижения тока утечки /ья-переходов. Процесс перераспределения примеси и результирующий концентрационный профиль в значительной мере определяются кинетикой отжига структурных нарушений, которая, в свою очередь, зависит от типа имплантируемых ионов, режимов ионной имплантации и последующей термообработки. Поэтому при формировании мелкозалегающих слоев сток-
((f230 Глава 4. Формирование сток-истоковых областей 102' -мо20 g 10,у Э 10'* 5 1017 10' т -г Т - Атомы фосфора - Общее количество атомов отдачи кремния •Вакансии - Атомы внедрения : 0.00 4- 0.10 0.20 0.30 Глубина (мкм) 0.40 истоковых областей необходимо учитывать особенности образования и отжига имплантационных дефектов. Образование дефектов в имплантированном кремнии начинается с возникновения френке- левских пар. Ускоренные ионы легирующих примесей, внедряясь в решетку кремния, вследствие электронного и ядерного взаимодействия с атомами кремния постепенно теряют свою энергию. При ядерном столкновении энергия иона передается атому кремния, и, если энергия иона превышает 15 эВ, генерируется атом отдачи. Рис. 4.12. Рассчитанное распределение по глуби- Один имплантированный ион, не концентрации точечных дефектов, образую- если обладает достаточной энер- щихся в результате имплантации ионов фосфора гией может создать много пер- (Р+) в кремний (150 кэВ; 7-10» см->) вичных а<ТОЖ)в отдачи? которые/в свою очередь, создают вторичные атомы отдачи и т.д. до тех пор, пока энергия иона не рассеется в виде тепла. Однако большая часть атомов отдачи имеет малую энергию и перемещаются на короткие расстояния до своей остановки. При каждом акте столкновения с образованием атома отдачи возникает вакансия и межу- зельный атом в точке его остановки. Практически многие межузельные атомы могут рекомбинировать с вакансиями, созданными другими атомами отдачи. Хотя вакансии и межузельные атомы генерируются в равном количестве, их распределения перекрываются лишь частично, так как та часть атомов отдачи, которая характеризуется более высокой энергией, перемещается вглубь кремния от точек столкновения. Поэтому результирующее (т.е. по завершении этапа рекомбинации) распределение межузельных атомов располагается глубже распределения вакансий, как это представлено на рис.4.12 [12]. Экспериментальное подтверждение подобного распределения межузельных атомов и вакансий представлено в работе [13]. Для некоторой части точечных дефектов энергетически более выгодно коалесцировать в небольшие кластеры. На первом этапе постимплантационного отжига кластеры растворяются, точечные дефекты диффундируют и рекомбинируют в объеме кремния. Так как концентрация вакансий и межузельных атомов, созданных имплантацией, на много порядков выше равновесных концентраций, то объемная рекомбинация будет приводить к быстрому снижению избыточной концентрации точечных дефектов на несколько порядков величины относительно расчетного числа атомов отдачи. Уже в процессе ионной имплантации образуются межузельные и вакансионные кластеры. Вакансионные кластеры менее стабильны, чем межузельные, поэтому при термообработке они растворяются быстрее (при 850°С в течение 0,1 с) [14]. Единственными дефектами, остающимися в имплантированном слое после начального периода отжига, являются межузельные атомы кремния либо свободно
4.3. Ионно-пучковая имплантация 231 диффундирующие, либо входящие в межузельные кластеры и дефекты упаковки {311}. Указанные кластеры и дефекты упаковки, растворясь в процессе отжига, являются источниками избыточной концентрации межузельных атомов. Межузельные атомы кремния способствуют значительному ускорению диффузии (TED- эффекту) бора, фосфора и в меньшей степени мышьяка. При повышенных дозах имплантации происходит коалесценция дефектов {311} в протяженные дефекты упаковки {111}. Завершение этапа растворения межузельных кластеров и дефектов упаковки совпадает с моментом окончания действия TED-эффекта [15]. Наряду с образованием межузельных кластеров на начальном этапе отжига образуются также кластеры, состоящие из атомов примеси и межузельных атомов примеси. Динамика растворения и взаимодействие указанных кластеров определяют степень ускорения диффузии примесей [16]. Помимо межузельных атомов, созданных имплантацией ионов, во время отжига возникают дополнительные межузельные атомы при каждом переходе иона примеси в узел решетки. Исходное распределение этих межузельных атомов соответствует распределению атомов легирующей примеси и не связано с распределением вакансий. Эти межузельные атомы также свободно диффундируют во время отжига. При увеличении имплантируемой дозы ионов расстояния между смещенными из узлов атомами кремния будут уменьшаться, а при превышении критического значения дозы подобное нарушение структуры кремния приводит к образованию слоя аморфной фазы. Благодаря наличию аморфной фазы во время постимплан- тационного отжига происходит восстановление кристаллической структуры кремния посредством эпитаксиальной рекристаллизации. Второй положительный эффект от образования аморфной фазы кремния заключается в предотвращении или уменьшении эффекта каналирования ионов. При имплантации легких ионов бора аморфизация кремния невозможна вплоть до значения дозы, равной НО16 см:2 (исключение составляет имплантация бора при низких температурах, когда критическая доза аморфизации снижается до 1-Ю15 см2) [17, 18]. Поэтому при ионной имплантации бора с целью предотвращения эффекта каналирования используют процесс предварительной аморфизации кремния ионами кремния [19] или германия [20, 21]. Однако эпитаксиальная рекристаллизация аморфного слоя не обеспечивает полное исчезновение нарушений кристаллической решетки. Остаточные дефекты упаковки {311} сохраняются в слое, расположенном ниже границы аморфного слоя [22], так как они не затрагиваются процессом твердофазной эпитаксии. Процесс эпитаксиальной рекристаллизации кремния в пластинах с ориентацией поверхности в плоскости (111) значительно менее эффективен с точки зрения отжига кристаллических нарушений, чем в пластинах с ориентацией (100), так как он происходит с образованием дефектов упаковки и микродвойников, пересекающих рекристаллизованный слой по всей толщине [23]. Поэтому после имплантации производят перераспределение примеси на требуемую глубину ниже дефектной области. Остаточные дефекты в объеме легированного слоя рассматриваются как возможные источники межузельных атомов в процессе постимплантационного отжига, приводящие к нестационарной ускоренной диффузии примесей [74—26]. При низких концентрациях примеси в имплантированном слое ~ 1 • 1018 см3 рекристаллизованный слой практически свободен от остаточных дефектов.
(032 Глава 4. Формирование сток-истоковых областей Мелкие слои, легированные мышьяком Из-за большой массы ионов As и интенсивного рассеяния атомов уже при дозе, приблизительно равной 1014 см2, образуется аморфизированный слой. При изготовлении сток-истоковых областей и-МОПТ используются дозы имплантации мышьяка в диапазоне (5^-10) ■ 1015 см-2, так что только первые 1—2% имплантируемых атомов As могут каналировать. Этот эффект демонстрируется рис. 4.13 [27], на котором сравниваются концентрационные профили As, имплантированного (51015 см2; 70 кэВ; угол наклона ионного пучка 7°) в кристаллический и предварительно аморфизированный кремний. Сравнение двух концентрационных профилей свидетельствует о небольшом их различии, что и следовало ожидать в результате проявления эффекта «самоаморфизации» под воздействием имплантируемых ионов As при дозе, превышающей 1014 см2. Нарушения кристаллической решетки, возникающие во время термообработки на глубине, соответствующей концу пробега ионов As (обычно называемые EOR-дефектами (End-of-range Defects)) и находящиеся за пределами аморфи- зированного слоя, представляют собой локализованную дислокационную сетку. Электронно-микроскопический анализ образцов, представленных на рис.4.13, после отжига (1100°С, 1,5 с) показал, что сетка дислокационных нарушений располагается на глубине, примерно равной 1200 А[28], из чего следует, что они расположены вблизи или даже в пределах области пространственного заряда (ОПЗ) обратносмещенного ^-«-перехода. Это обстоятельство приводит к ухудшению характеристик ^-«-переходов. Поэтому для отжига EOR-дефектов необходимо подбирать соответствующий режим постимплантационной термообработки. Во время этой термообработки инициируется процесс твердофазной эпитаксии, приводящий к исчезновению аморфизированного слоя при 1000 °С за доли секунды [29]. Однако следует отметить, что отжиг а, ентрация о о X | ^ 10|71 1016! \fo 2000 ^tt0l0^ Монокристаллический Si ^s Предворительно ш/г аморфизированный Si 1,1, 4000 6000 Глубина (А) Рис. 4.13. Профиль распределения концентрации атомов мышьяка (As) по глубине после имплантации (As+, 70 кэВ, 5-Ю15 см2) в монокристаллический и предварительно аморфизированный кремний 1200 1100 10"' ю2 ю-3 1С4 I05 -6 10 La I - Полный отжиг дефектов 1 1 Т(°С) 1000 950 i 1 Присутствуют остаточные дислокации \ X 1 L 650 i j , 75 80 85 104/Т(°К) Рис. 4.14. Зависимость минимальной длительности отжига EOR-дефектов от температуры
4.3. Ионно-пучковая имплантация 23 3^ EOR-дефектов, находящихся глубже границы аморфизированного слоя, оказывается более затрудненным [28, 30]. Исследования показали, что на первой секунде отжига (1100°С) в легированном слое можно видеть два вида нарушений: EOR-дефекты и дислокационную сетку, локализованную у максимума концентрации имплантированного As [28]. Дислокационная сетка исчезает при термообработке в первую очередь в течение нескольких секунд; однако нарушения решетки этого типа не влияют на ток утечки, поскольку они не локализуются в пределах ОПЗ. После термообработки в течение трех секунд плотность EOR- дефектов значительно снижается, но при этом сохраняются остаточные дислокации в окрестности нижней границы аморфизированного слоя. После 10-секундной термообработки нарушения всех типов устраняются полностью. В случае термообработки при более низкой температуре требуется существенно увеличивать продолжительность отжига (tan) для устранения остаточных дислокаций: 1,8 с (1200°С); 60 мин (950°С); 50 ч (850°С). Эти результаты отображены на рис.4.14 [27] экспериментальными точками, расположенными ниже зависимости т(7). На рис.4.14 также представлены результаты, соответствующие процессам с максимальной длительностью отжига, при которой дислокации еще наблюдались (отмечены символами «J_» ).Как видно, скорость отжига EOR-дефектов характеризуется энергией активации, примерно равной 5,0 эВ, что согласуется с энергией активации процесса самодиффузии атомов кремния [31]. Таким образом, процесс отжига имплантационных нарушений связан с переносом межузельных атомов из зоны, в которой располагаются EOR- дефекты [32]. На рис.4.15 представлены диффузионные концентрационные профили распределения As, сформированные при различных температурах в течение наименьшей продолжительности процесса термообработки, обеспечивающей отжиг EOR- дефектов. Из представленной картины эволюции диффузионных профилей видна очевидная тенденция, свидетельствующая о том, что формирование более мелких бездефектных слоев необходимо осуществлять при более высокой температуре и при меньшей длительности термообработки. Это обстоятельство и является, по существу, обоснованием необходимости процессов быстрого термического отжига. Из рис. 4.15 также видно, что распределения концентрации атомов As после отжига характеризуются наличием пика на поверхности Si-пластины, обусловленного образованием слоя преципитатов As^, который блокирует обратную диффузию мышьяка. В отличие от отжига в инертной среде (аргоне), термообработка в присутствии нескольких процентов кислорода не приводит к заметной потери имплантированной дозы мышьяка. Из анализа распределений, представ- ю21 £Г^ « Я |ю» <D ОНЦ * ю19 г 1 ш 5 As+- 100 кэВ, 51015/см2 / \д — Крч тррмппйряйптк-и *£*Л^чЛч f ^4lV ^^1200°С, 1с / 1y|v^^^1100oC, 10с | \ \\\^\^^^950°с^ 60 мин 1 \ \ ?\.*>>Г^ 850°С, 50 ч 1 \ \ N . \#^^ 1 \ \ 1 \ * \ \ \ \ \ *^-Граница Иг"^ аморфизации Si t I . t i 1000 2000 о 3000 Глубина (А) Рис. 4.15. Профили распределения концентрации атомов мышьяка (As) по глубине, полученные при условии минимально необходимой длительности отжига EOR-дефектов.
^34 Глава 4. Формирование сток-истоковых областей Табл. 4.2. Иллюстрация эффекта «дезактивации» части имплантированных атомов As в результате кластеризации и преципитации примеси Режимы последовательных термообработок 1000°С, ~1с 800°С, 30 мин 1000°С, ~1с 800°С, 30 мин Удельное поверхностное сопротивление (Ом/П) 28 39 32 36 ленных на рис.4.15 следует, что наблюдаемый при пониженных температурах эффект нестационарной ускоренной диффузии (TED = Transient Enhanced Diffusion [33]) быстро уменьшается при повышении температуры. В работе [27] показано, что в слоях, полученных ионной имплантацией, энергия активации диффузии мышьяка в диапазоне концентраций до 3-1020 см2 равна 4 эВ, что согласуется с данными, полученными при легировании кремния мышьяком другими методами, приведенными в работе [34]. При более высоких концентрациях примеси энергия активации эффективного коэффициента диффузии мышьяка характеризуется более высокими значениями, что обусловлено преципитацией и кластеризацией примеси в зоне максимума концентрационного распределения. После высокотемпературного отжига (1100°С, 1 с) электрически активные атомы мышьяка могут быть дезактивированы при обработке при пониженной температуре (800°С, 30 мин) в результате преципитации и кластеризации примеси (табл. 4.2). Как видно, этот процесс является частично обратимым. Из сказанного следует, что для процессов высокотемпературного формирования слоев характерно метастабильное состояние электрически активных атомов мышьяка. Таким образом, можно констатировать, что быстрый высокотемпературный отжиг слоев кремния, имплантированных ионами As, обеспечивает получение более мелких и бездефектных слоев с более высокой степенью активации примеси по сравнению с термообработкой в горизонтальных печах при более низкой температуре. Другой важный результат исследований заключается в том, что энергия активации процесса отжига имплантационных дефектов, составляющая 5,0 эВ, оказывается больше энергии активации диффузии мышьяка, равной ~4,0 эВ. Это обстоятельство свидетельствует о преимуществе метода быстрой термической обработки. Мелкие слои, легированные бором В отличие от имплантации мышьяка, имплантация ионов бора при комнатной температуре приводит к относительно небольшим нарушениям кристаллической решетки, не вызывающим образования аморфизированного слоя. Тем не менее, под воздействием термообработки эти нарушения приводят к образованию дислокаций, центр распределения которых располагается на глубине менее длины проекции пробега ионов. На рис.4.16 приводятся типичные распределения концентрации атомов бора, имплантированного в кристаллический и в предварительно аморфизированный кремний [27]. При имплантации бора в кристаллический Si хорошо заметно проявление эффекта каналирования, выражающегося в наличии «хвоста» у концентрационного профиля [35]. Использование режима быстрого отжига позволяет получать образцы с меньшей плотностью остаточных нарушений, чем в случае отжига в горизонтальных печах, в то время как термообработка
4.3. Ионно-пучковая имплантация 23 5 jjv ю20 ^ A,0W £ ю18 к о ю17 ю16 С "/^""v"' 1 .И Имплантация бора в аморфи- зированный Si i » i 0.2 *— Без термообработки ^^^--RTA(1100°C, 10 сек) ***'••% J^ Ю00 °С, 30 мин. \ V \ ъ \ ъ Имплантация бора * в кристаллический Si *• f i 1 » »l i 0.4 0.6 0.8 Глубина (мкм) 1.0 в печи (1000°С; 30 мин) приводит к локализации и преципитации бора в зоне максимальных нарушений кристаллической решетки. Благодаря этому при одинаковой глубине р-п- перехода использование быстрого отжига позволяет увеличить степень электрической активации имплантированных атомов бора в сравнении с отжигом в печах, поскольку высокотемпературный быстрый отжиг способствует растворению пре- ципитаторов. При использовании имплантации ионов BF2+ образование остаточных дефектов во время отжига также про- рИс. 4.16. Профили распределения концентра- исходит под воздействием процессов ции атомов бора по глубине после имплантации генерации, эволюции и растворения ионов В+ (35 кэВ, 5-Ю15 см2) в аморфизирован- дефектов в имплантированном слое. ный и в монокристаллический кремний Однако в этом случае протекание указанных процессов осложняется наличием аморфизированного слоя и влиянием внедренного в кремний фтора. Сплошной аморфизирован- ный слой кремния при имплантации BF2 наблюдается лишь при энергии ионов более 1 кэВ. Зависимость толщины слоя аморфизированного кремния от энергии ионов BF2 в диапазоне до 10 кэВ приведена на рис. 4.17 [36]. В диапазоне 10—30 кэВ толщина слоя аморфизированного кремния возрастает приблизительно пропорционально значению энергии ионов [37]. Электронно-микроскопический анализ показал, что амплитуда микро 15 3 с/3 8 10 слоя X К к 5 о н °! /с^^ / / 5х1014/см2 / / —*-As * / -o-BF2 ) 5 10 Энергия (кэВ) 1 Рис. 4.17. Зависимости толщины аморфизированного слоя кремния (a-Si) от энергии ионов As+ (черные кружки) и BFJ (светлые кружки) рельефа поверхности раздела между ПРИ Д°зе> Равной 5 • 1014 см2. Вертикальные аморфизированной и кристалличе- скобки соответствуют ошибке, обусловленной ской областями кремния увеличива- микрорельефом границы раздела между аморф- ^ГЛ ной и кристаллической областями ется с ростом энергии ионов [36], что нужно учитывать при проведении процесса эпитаксиальной рекристаллизации аморфизированного слоя. На рис.4.18 и 4.19 схематически изображена эволюция распределения вторичных дефектов для различных значений энергии имплантируемых ионов As и BF2+ и температура постимплантационного отжига (10 мин). Обнаруженные вторичные дефекты относятся к дефектам внедрения {311}. Отсутствие дефектов в слоях, имплантированных ионами BF2+ с энергией менее 5 кэВ, объясняется близостью области генерации межузельных атомов к поверхности крем-
|г236 Глава 4. Формирование сток-истоковых областей 1 кэВ 5кэВ 10 кэВ 0 5 10 15 (нм) 0 5 10 15 (нм) 0 5 10 15 20 25 (нм) После имплант. a-Si ~" Моно-Si - 1 a-Si — Моно-Si — Моно-Si 550-600 °С ^ ^ — ^--\ 700 °С ^:\^_<1 750 °С *" ^ Рис. 4.18. Пространственное распределение по глубине различных типов вторичных дефектов в зависимости от энергии имплантируемых ионов As+ и температуры термоотжига. Данные получены с помощью просвечивающей электронной микроскопии высокого разрешения. Символы «A», «'V'» и «П» представляют, соответственно: {311}-дефекты, искаженные {311}-дефекты и {111}-дефекты 1 кэВ 5кэВ 10 кэВ 0 Н 5 Ч 10 -I 15 И (нм) 0 5 10 -| 15 -| (нм) 0 -А 5 J 10 J 15 J 20 -J 25 H (нм) После имплант. Моно-Si a-Si Моно-Si а-Si Моно-Si 550-600 °С 700 °С ГС 900 X Рис. 4.19. Пространственное распределение по глубине различных типов вторичных дефектов в зависимости от энергии имплантируемых ионов BF2+ и температуры термоотжига. Данные получены с помощью просвечивающей электронной микроскопии высокого разрешения. Символ «П» представляет {100}-дефекты. Остальные символы соответствуют дефектам, приведенным на рис. 4.18
4.3. Ионно-пучковая имплантация 23 1022 1 ГЮ21 ч i |И Концентрация , о о о ю161 ( г~ а) |А - ^ ) 20 Г- 1 i ' J I As 5х10,4/см2 - 1кэВ - 5кэВ - ЮкэВ V \ \ \ \ \ < \\ \ \ч ч V* X ч> 1022 ~ 102' о в ю20 Концентрация о о о 1 ГМЛ - ■*•- f -- т •■ """"" ' iu 40 60 80 100 120 140 0 < I 1 • •■ г i 1 " ' 1 | Ф BF25x10,4/cm2 |\ ^ т, 1 т^гчО -W\ 5кэВ - 111 \U ЮкэВ \ \чч " \ Х -ч « • - i 1- f | ,„» j 20 40 60 80 100 120 140 Глубина (нм) Глубина (нм) Рис. 4.20. Полученные ВИМС-методом профили распределения концентрации As (а) и В (б) по глубине после термообработки при 800 °С в течение 10 мин. Образцы подвергались, соответственно, имплантации ионами As+ и BF2+ с энергиями: 1; 5 и 10 кэВ. ния [38]. В образцах, имплантированных ионами BF2+ с энергией 5 кэВ, вторичные дефекты растворяются при температуре выше 800°С (в то время как при имплантации ионов мышьяка — при температуре 600°С). При имплантации ионов BF2+ с энергией 10 кэВ плотность, размеры и глубина дефектов, образовавшихся во время отжига, значительно больше, чем в случае имплантации с энергией 5 кэВ. Это также объясняется различием в длине проекции пробега ионов и соответствующим снижением роли поверхности кремния в качестве стока для межузельных атомов. Температура отжига вторичных дефектов в этом случае превышает 900°С (в то время как при имплантации мышьяка — 750°С). Различие в кинетике отжига вторичных дефектов объясняется особенностями их взаимодействия с имплантированными атомами. На вторичных дефектах в слоях, имплантированных ионами BF2+, происходит генерирование атомов бора и фтора. Вследствие этого на распределении концентраций бора наблюдаются два максимума (рис.4.20), положение которых коррелирует с длиной проекции пробега ионов и, соответственно, с глубиной залегания вторичных дефектов. Геттерирование атомов фтора и бора на вторичных дефектах типа внедрения обусловлено образованием пар «бор-межузельный атом» и «фтор-межузельный атом». Плотность атомов бора и фтора, геттерируемых на вторичных дефектах, по оценкам, сделанным в работе [36], уменьшается с повышением температуры, но в диапазоне температур 600—800°С составляет 25% от имплантированной дозы. Это объясняет снижение степени активации бора при недостаточном отжиге имплантационных дефектов в кремнии. 4.3.2. Особенности имплантации ионов BF2+ и As* Метод ионной имплантации для формирования мелкозалегающих сильнолегированных слоев сток-истоковых областей широко распространен благодаря высокой однородности и воспроизводимости параметров слоев. Для уменьшения глубины
'238 Глава 4. Формирование сток-истоковых областей Рис.4.21. Концентрационные ВИМ С-профили распределения по глубине бора (а) для имплантированных ионами BF2+ образцов и мышьяка (б) для образцов, имплантированных ионами As+. На вставках приводятся соответствующие зависимости эффективной дозы от энергии ионов. Величины эффективной дозы оценивались как отношение измеренной дозы (на основе ВИМС-профилей) к дозе, оцениваемой по току ионного пучка залегания /ья-переходов необходимо снижать энергию имплантируемых ионов. Кроме того, постимплантационный отжиг должен осуществляться при условии минимального диффузионного перераспределения примеси. При этом с целью повышения степени активации примеси и снижения поверхностного сопротивления легированных слоев необходимо повышать температуру отжига. Поэтому применение процесса быстрой термической обработки (RTA) является обязательным в технологии формирования мелкозалегающих сток-истоковых областей. Однако снижение энергии имплантируемых ионов до 10 кэВ и менее вносит в процесс легирования существенные особенности, приводящие к значительному повышению поверхностного сопротивления слоя относительно ожидаемого значения для данной дозы имплантации. На рис.4.21 [39] представлены распределения концентрации бора и мышьяка, полученные методом ВИМС, после имплантации с энергией ионов от 1 до 30 кэВ, дозой 5-Ю14 см2 и нулевым углом падения ионного пучка (использовались кремниевые пластины с ориентацией поверхности по плоскости (100)). Температура процесса RTA составляла 950°С, длительность 10 с. Как видно, наблюдается расширение хвоста распределения концентрации из-за каналирования ионов вдоль оси <001 > [40] в диапазоне концентраций ниже 1 • 1019 см 3 для случая имплантации ионов BF2 и ниже 1\1014 см3 — для случая имплантации ионов мышьяка. Это различие в каналировании при имплантации ионов BF2+ и As+ обусловлено различием атомного веса бора и мышьяка. С уменьшением энергии ионов наблюдается резкое снижение эффективной дозы имплантации (см. вставку на рис.4.21). Эффективная доза имплантации определяется как отношение величины дозы, измеренной методом ВИМС, к ве-
4.3. Ионно-пучковая имплантация 23 9j| -j 1 > 1— (а) Имплантация BF2 О а- Доза:5хЮ14см-2_| -O-ORTA -О-ЧЭ-FA 20 40 60 80 Энергия ионов (кэВ) о.о с 100 (б) Имплащация As ^;Доза:5хЮ14см-2... j -0--О- RTA i -0--Q- FA Г_ щ> 20 40 60 80 Энергия ионов (кэВ) 1.0 О 0.0 О 60 й 40 —I О-*- BF2, ЮкэВ -О-Ш- As, 10 кэВ RTA950°C, 10 сек~" <: х 20 c^s 3.0 Рис. 4.22. Зависимости эффективности активации (чистые кружки и квадраты) и удельного поверхностного сопротивления (заполненные кружки и квадраты) от энергии ионов: (а) случай имплантации ионов BF2+ после RTA-термообработки (950 °С; 10 с) или после обычной (FA) термообработки в печи (800 °С; 10 мин.); (б) случай имплантации ионов As после RTA-термообработки (950 °С; 10 с) или после обычной (FA) термообработки в печи (800 °С; 10 мин.) личине имплантированной дозы, полученной с помощью дозиметра (счетчика ионов). Как видно, эффективная доза имплантации как для BF2 так и для As снижается до 40% при уменьшении энергии ионов до 1 кэВ. Считается, что наблюдаемое уменьшение эффективной дозы связано с обратным рассеянием ионов и распылением [39]. Важной характеристикой процесса ионного легирования является степень электрической активации атомов легирующей примеси, определяемая отношением плотности носителей в слое к плотности атомов примеси, полученной ВИМС- методом. На рис.4.22 [39] представлены зависимости эффективности активации и поверхностного сопротивления слоя от энергии имплантируемых ионов для случаев быстрого (950°С, 10 с) и обычного отжига в печи (800°С, 10 мин). Можно видеть, что термический отжиг при более высокой температуре обеспечивает более высокую степень активации примесей и более низкие значения поверхностного сопротивления слоев. При снижении энергии имплантируемых ионов наблюдается значительное уменьшение степени активации как при имплантации BF2+, так и As+. В случае имплантации ионов с энергией 30 кэВ степень активации при использовании RTA составляет Рис. 4.23. Зависимости эффективности ак- 70% и 60%, соответственно, для атомов тивации (чистые кружки и квадраты) и побора и мышьяка. При уменьшении энер- верхностного сопротивления (заполненные гии ионов до 10 кэВ эффективность ак- KP^KM и квадраты) от дозы имплантации 100 "аГ 80 О 2.0 => *ъ* 1х1013 1x10м ДОЗА (см-2) 1х1015
Глава 4. Формирование сток-истоковых областей ) Формирование кармана ^Формирование изоляции (LOCOS) подзатворный ) Легирование канала окисвм4им) > Легирование слоя, предотвращающего смыкание ОПЗ (PTS-punch through stopper) ИГТПк 'Формирование подзатворного окисла (4 hm)JVj^^i]1 i Формирование электрода затвора i Имплантация областей SDE (S/D-extensionflf р-МОП: BF2 , 10-20кэВ , 1х1014-т8х1014см 2 п-МОП: As,10-20 кэВ , 1х1014~8хЮ14см -2 > Формирование спейсера (плазменное травление Si02) i Имплантация глубоких S/D-областей р-МОП; 8R ,25кэВ,2хЮ15см-2 п-МОП: As. 40кэВ . 2x1015см -2 >RTA{950"C,lOceK) > Металлизация Рис. 4.24. Последовательность основных технологических операций и поперечное сечение структуры МОПТ с SDE-областями тивации снижается до 40%, а поверхностное сопротивление слоев увеличивается с 300 до 700 Ом/D для BF2+ и с 200 до 350 Ом/D - для As+. Одной из причин снижения степени активации примеси при уменьшении энергии имплантируемых ионов является увеличение доли примеси, находящейся в приповерхностной области слоя с концентрацией выше предела растворимости. При увеличении проекции пробега ионов R эта доля уменьшается и при энергии ионов —100 кэВ максимум концентрационного распределения не превышает предела растворимости, а степень активации примеси приближается к 100% (рис. 4.22). При этом в работе [39] обнаружено также, что с уменьшением дозы имплантации в случае малых Rp степень активации примеси не увеличивается (рис. 4.23). Подобные результаты получены в работе [41]. Причина низкой степени активации примеси при имплантации ионов низких энергий связана с образованием приповерхностного пика распределения примеси под воздействием потока межузельных атомов кремния, диффундирующих во время отжига к поверхности пластины: при малых Rp ионов относительная доля плотности атомов Табл. 4.3. Условия имплантации областей «S/D-extension» и параметры (определяющие R,) соответствующих приборных структур (р-канальные МОПТ) Образцы #Р-1 #Р-2 #Р-3 #Р-4 #Р-5 #Р-6 #Р-7 #Р-8 Условия имплантации Ионы BF, Энергия (кэВ) 10 10 10 10 15 15 20 20 Доза (см2) 1е14 Зе14 5е14 8е14 1е14 5el4 1е14 5el4 Параметры прибора Ps (Ом/П) 4770,0 1700,0 1210,0 700,0 3240,0 791,0 2680,0 597,0 (Ом) 64,6 57,5 47,3 43,6 56,5 39,9 56,2 37,4 *\ieep (Ом) 34,5 32,1 34,7 32,1 34,0 33,8 33,3 32,8 Rext (Ом) 25,9 8,5 6,1 3,5 16,2 4,0 13,1 3,0 K-odga (Ом) 6,2 16,9 6,5 8,0 6,3 2,1 9,8 1,6 1
4.3. Ионно-пучковая имплантация 241 Табл. 4.4. Условия имплантации областей «S/D-extension» и параметры (определяющие RJ соответствующих приборных структур («-канальные МОПТ). Образцы #N-1 #N-2 #N-3 #N-4 #N-5 #N-6 #N-7 1 #N-8 Условия имплантации Ионы As Энергия (кэВ) 10 10 15 15 15 15 20 20 Доза (см2) 1е14 5е14 1е14 Зе14 5е14 8е14 1е14 5el4 Параметры прибора Ps (Ом/П) 1270,0 340,0 740,0 360,0 290,0 204,8 560,0 250,0 (Ом) 57,0 24,1 40,9 26,5 26,2 20,8 32,3 20,1 ^deep (Ом) 15,3 15,2 14,9 15,5 14,9 15,1 16,3 16,4 Rext (Ом) 12,7 3,4 8,4 3,6 2,9 2,1 5,6 2,5 (Ом) 29,0 5,5 17,6 7,4 8,4 3,6 10,4 1,2 примеси в приповерхностном пике концентрационного профиля увеличивается, а доля электрически активных атомов примеси снижается. Из приведенных данных следует, что при формировании мелкозалегающих слоев SDE-областей с помощью имплантации ионов с энергией 10 кэВ для снижения поверхностного сопротивления необходимо использовать дозу не менее 5-Ю14 см2; в этом случае поверхностное сопротивление слоев, легированных мышьяком, не превысит значение 400 Ом/П, а легированных бором - 700 Ом/П при глубине залегания /?-я-переходов = 500 А. В работе [39] выполнено исследование характеристик/?- и «-канальных МОПТ, в которых мелкозалегающие SDE-области с низким поверхностным сопротивлением формировали с учетом указанных закономерностей. Последовательность выполнения операций изготовления МОПТ представлена на рис.4.24, а режимы ионной имплантации при формировании SDE-областей приведены в табл. 4.3 и 4.4. Влияние энергии имплантируемых ионов на характеристики МОПТ Зависимость сдвига порогового напряжения Vt, и тока стока Id от длины затвора для различных значений энергии имплантируемых ионов при дозе 5 • 1014 см2 представлены на рис.4.25 и 4.26. Значение порогового напряжения определялось при токе стока Id = 1 нА/мкм, значение Id измеряли при условии Vg — Vt = Vd = Рис. 4.25. Зависимости порогового напряжения (V) от длины затвора (Lg) при различных значениях энергии ионов, имплантируемых в SDE-области истока и стока (S/D-extension). р-МОПХ К&=1,5В
242 Глава 4. Формирование сток-истоковых областей Длина затвора, Ьё(мкм) Длина затвора , Lg(MKM) Рис.4.26. Зависимости тока стока (Ids) от длины затвора (Lg) при разных значениях энергии ионов, имплантируемых в SDE-области истока и стока (5/Z)-extension). /?-МОПТ 1,5 В, чтобы обеспечить возможность сравнения приборов с различным Vr Как/?-, так и я-канальные МОПТ, в которых SDE-области сформированы имплантацией ионов с энергией 10 кэВ, характеризовались наилучшими короткоканальными характеристиками, благодаря минимальной глубине залегания /?-я-переходов. Сдвиг порогового напряжения в /?-канальном транзисторе более значительный, чем в я-МОПТ, из-за более крутого фронта распределения концентрации мышьяка в сравнении с бором. Ток стока Id в р-канальном транзисторе слабо зависит от энергии ионов в диапазоне 10—20 кэВ, в то время как в «-МОПТ Id снижается при уменьшении энергии ионов. В случае легирования SDE-областей меньшими дозами (5-Ю14 см2) короткоканальные характеристики как я-, так и /7-МОПТ улучшались, однако это приводило к снижению тока Id приблизительно на 10% [39]. Для выявления причин уменьшения тока стока /^при снижении энергии ионов, имплантируемых в SDE-области, в работе [39] проведен структурный анализ компонент сопротивления RSD сток-истоковых областей методом, описанным в [42]. Паразитное сопротивление истока (или стока) Rs = 1/2 RSD суммируется из сопротивления SDE-области (Rext), сопротивления контактной области сток-истоковых слоев (CSD), включая сопротивление контакта (Rdeep) и сопротивления, определяе- Рис. 4.27. Компоненты паразитного сопротивления сток-истоковых областей (S/D): ^deep~~ сопротивление глубокой части S/D-областей; Rext — сопротивление мелкой части S/D-областей (5/^-extension); R — сопротивление вблизи границы с каналом
4.3. Ионно-пучковая имплантация 243N -Rs{Chem)i Mdeep P-1:8P2. ЮкэВ, 1х1014см -2 P-3:SF2, ЮкэВ ,5хЮ14см*2 P-5: BF2, 15кэВ , 1х1014см 2 P-6: 8F2, 15kjB , 5х1014см *2 P-7: 8F2, 20 юВ , 1x1014 см *2 Р-в:8Г2,20кэВ,5х1014см*2 в; 300 400 500 600 700 800 ПАРАЗИТНОЕСОПРОТИВЛЕНИЕИСТОКА, R ЛОммкм) N-1: AS, ЮкэВ, 1х1014см-2 N-3: AS, 10кэВ.5хЮ14с«-2 N-5: AS. 15кэВ, 1х10,4см 'г N-6: As, 15k3B.5x101#cm N-7 As. 20кэВ. 1x10" N-8: As. 20 кэВ, 5х10,4см 6) 300 400 500 600 700 800 ПАРАЗИТНОЕ СОПРОТИВЛЕНИЕ ИСТОКА, R ,(Ом -мкм) Рис.4.28. Иллюстрация влияния кинетической энергии ионов, используемых для им- плантационного формирования extension-областей истока/стока (SDE), на составляющие паразитного сопротивления истока (R) в случаях: (а) /ьМОПТ и (б) я-МОПТ. Кинетическая энергия ионов BF2 и As составляла: 10, 15 и 20 кэВ, тогда как доза имплантации была равна НО14 см-2 см или 5-10й см-2 (см. также табл. 3.3 и 3.4) мого фронтальной частью распределения примеси SDE-области, находящейся под краем затвора (Redge), как показано на рис.4.27. Оценка значений поверхностного сопротивления SDE- и CSD-областей и удельного контактного сопротивления ps осуществлялась с использованием тестовых структур Ван дер По и Кельвина. Значение Red определялось вычитанием Rextw Rdeep из общего последовательного сопротивления Rs. При уменьшении энергии имплантируемых ионов значения Rs для р- и п- канальных МОПТ растут, как показано на рис. 4.28. Эта тенденция особенно значима при малых дозах. Для/ьканального тран- 1000, а 100U Он I Г\ I " 1 V V4^SS -10/3 кэВ (А) -10/5кэВ (В) -15/7кэВ (С) -15 /ЮкэВ (D) -15/15кэВ (Е) Ч> 1 0.8 1.2 1.6 2 2.4 Напряжение питания, Vdd (В) Рис. 4.29. Время задержки на вентиль (td) как функция напряжения питания (VDD)
Глава 4. Формирование сток-истоковых областей Я 700( ч> о & 500 са оо II ^ 300 S о. С S ^ * о. <L) зад 1 юо о. 00 80 1 ' >^ « i i « н - Ь~ ^ Т" ■—-^ А В С D Е зистора значения Д^р и /^ практически не изменяются при уменьшении энергии ионов (особенно в случае дозы 1-1014 см2) и равны, соответственно, 330 Ом-мкм и 100 Ом-мкм. Поэтому увеличение паразитного сопротивления Rs определяется ростом сопротивления /^SDE-областей. Как видно, на рис. 4.22 при снижении энергии имплантируемых ионов увеличение поверхностного сопротивления SDE-областей р-канальных транзисторов значительнее, чем «-канальных. Поэтому для повышения тока стока Idp-канальных Рис. 4.30. Время задержки на вентиль (td) транзисторов необходимо уменьшать как функция режимов имплантации (см. удельное поверхностное сопротивление вставку на рис. 4.29) при напряжении пита- SDE-областей. Для «-канальных транзи- ншУ01)=\,ьВ сторов возрастание паразитного сопротивления обусловлено другой компонентой, а именно — сопротивлением фронтальной части распределения примеси SDE-области (Redge), что связано с малым коэффициентом диффузии и малым боковым рассеянием AR1 при имплантации мышьяка в кремний. В работе [43] получены аналогичные результаты исследования влияния энергии имплантируемых ионов в SDE-области на характеристики р- и п- канальных транзисторов. Дополнительно было показано, что быстродействие кольцевого генератора на КМОП- вентилях с длиной затвора Lg = 0,25 мкм (Тох = 5 нм) значительно падает при энергии имплантируемых ионов BF2+ и As+ в SDE-области ниже 10 кэВ (D= 1-1014 см2) - (рис. 4.29, 4.30). Влияние дозы имплантации ионов в SDE-области на характеристики МОПТ Зависимости сдвига порогового напряжения Vt и тока стока Id от длины затвора для различных значений дозы имплантации представлены на рис. 4.31, 4.32. Как/?-, так Имплантация сток/истока: \ -О- Р-1: BF2.10 кэВ, 1е14 см -2 ---О- Р-2: BF2.10 кэВ , Зе14 см -2 -Ь~ Р-3: BF2,10 кэВ , 5е14 см -2 -*?~ Р~4: BF2.10 кэВ , 8е 14 см -2 0.2 0.5 Длина затвора (мкм) 1.0 0.2 0.5 Длина затвора (мкм) Рис. 4.31. Зависимости порогового напряжения (Vt) от длины затвора (Lg) при различных значениях дозы имплантации областей истока/стока ионов BF2+ и ионов As+. В случае /ьМОПТ энергия ионов BF2+ составляла 10 кэВ, а в случае я-МОПТ энергия ионов As+ составляла 15 кэВ. Измерения проводились при напряжении на стоке Vd= 1,5 В
4.3. Ионно-пучковая имплантация 245* Длина затвора (мкм) Длина затвора (мкм) Рис. 4.32. Зависимости тока стока (/d) от длины затвора (Lg) при различных значениях дозы имплантации областей истока/стока для /?-МОПТ и я-МОГТТ Рис. 4.33. Влияние дозы имплантируемых ионов в области истока/стока (SDE), на компоненты паразитного сопротивления истока (R^): (а) случай р-МОПТ и (б) случай я-МОПТ. Дозы имплантации составляли в случае имплантации BF2+: 3-Ю14 см2; 51014 см2 и 81014 см2 (при одинаковом значении кинетической энергии ионов, равной 10 кэВ); в случае имплантации As+: 31014 см2; 3-Ю14 см2; 51014 см2 и 81014 см2 (при одинаковом значении кинетической энергии ионов, равной 15 кэВ) и п- канальные транзисторы при малых дозах 1-Ю14 см2 характеризуются меньшим сдвигом порогового напряжения, но в то же время — меньшим значением тока стока. Результаты анализа влияния различных компонент паразитного сопротивления Rs при изменении дозы имплантации представлены на рис. 4.33. Для обоих типов МОПТ значение Rs растет с уменьшением имплантируемой дозы. В р-канальном транзисторе
ftfl46 Глава 4. Формирование сток-истоковых областей увеличение Rs обусловлено ростом Rext (см. рис. 4.23). В «-канальном транзисторе увеличение Rs, главным образом, связано с ростом Redge, так как концентрация мышьяка под краем затвора снижается при уменьшении имплантируемой дозы. 4.3.3. Нестационарная ускоренная диффузия примесей Канал SDE- области Глубокие области Важной особенностью формирования мелкозалегающих слоев методом ионной имплантации является нестационарная ускоренная диффузия примесей (TED- эффект — Transient Enhanced Diffusion). TED-эффект вызывается избыточной концентрацией межузельных атомов кремния, созданных ионной имплантацией, что способствует значительному (до 104 раз) увеличению коэффициента диффузии примеси (бор, фосфор, мышьяк), диффундирующих по межузель- ному (эстафетному) механизму [44-46]. Благодаря высокому коэффициенту диффузии релаксация избыточной концентрации межузельных атомов со временем завершается, после чего перераспределение примеси осуществляется в стационарных условиях. В период действия TED-эффекта избыточные межузельные атомы диффундируют из сток- истоковых областей, влияя на распределение примесей во всех структурных областях МОПТ [47] (рис.4.34 [48]). В частности, из-за TED-эффекта при отжиге сток- истоковых областей изменяется профиль концентрации примеси в области канала, вследствие чего возможен сдвиг порогового напряжения субмикронного транзистора. Типичный вид распределения концентрации примеси в имплантированном слое после термообработки представлен на рис.4.35[49]. Продолжительность термообработки (tTED) составляла Рис. 4.34. Иллюстрация направлений диффузии точечных дефектов во время быстрой термообработки (RTA) в приборной структуре МОПТсмел- козалегающими SDE-областями •В10кэВ,5х1015см*2 — 800°С — 900°С — 1000°С о 100 200 300 400 500 Глубина (нм) Рис. 4.35. Результаты моделирования воздействия изотермической термообработки на эволюцию концентрационного профиля распределения по глубине атомов бора, имплантированных с энерги- 101 ~103 с, -2,3 • 102 с и ~2 с при 800, 900 и 1000°С соответственно. Из приведенных на рис. 4.35 концентрационных профилей сле- ей ионов В+ (10 кэВ) и дозой (5 • 1015 см2). Модели рование останавливалось при завершении заметно- дует, что в «хвосте» распределе- го проявления TED-эффекта. Можно видеть, что ния концентрации наблюдается диффузионная длина LD = <{Deff- tTED) максимальна значительное увеличение длины при наименьшей температуре диффузии примеси, причем при
4.3. Ионно-пучковая имплантация 24 Тш низкой температуре в большей степени, чем при высокой. Из сказанного следует, что эффект нестационарной ускоренной диффузии является ключевой проблемой формирования физической структуры МОПТ, особенно /7-МОПТ, так как он ограничивает возможность ее масштабирования [50]. Как видно из рис. 4.36 [49] для /7-МОПТ с длиной затвора L = 0,35 мкм уже недопустимо осуществлять постимплантационный отжиг в горизонтальной печи. Для изготовления МОПТ с Lg < 0,25 мкм требуются более совершенные системы быстрого термического отжига с более высокой скоростью нарастания температуры, чем в обычных системах лампового отжига (50°С/с). Степень ускорения диффузии примесей (Е) под воздействием TED- эффекта выражается следующим образом [51]: E=D/D С С : f ZJ-+f .ZJL Ji r* ^ Jv ^* ft s 10' 10J 10' 10' • Мин. размер «Глубина pn-перехода оТолшина подзатворного Si02 101960 а) 5400 §300 200 1970 1980 Годы 1990 2000 к ч» в соответствии с прави- Л} лам и масштабирования 256К(1984) 4М(1989) послёпечи „^ смещение после RTA (256М(1998) б) %.0 0.5 1.0 1.5 Мин. размер (мкм) 2.0 (4.3) с* где Сj и Cv — избыточные, Ск* - равновесные концентрации межузел ьных атомов и вакансий; D и /)* - коэффициент диффузии примеси при воздействии TED-эффекта и в стационарных условиях, соответственно; Рис. 4.36. (а) Экспоненциальное уменьшение минимальных характеристических технологических параметров МОПТ по го- и дам [Chang C.Y., Sze S.M., ULSI Technology, McGraw Hill, 1996]. (б) Типичные величины глубины залегания /?-я-переходов SDE- областей /7-канальных МОПТ в зависимости от минимального характеристического размера (Lmin). Видно, что с уменьшением Lmin все труднее будет удовлетворять правилам /,/-относительная доля компоненты масштабирования, поскольку TED-эффект диффузии по межузельному и вакан- будет становиться все более доминирующим сионному механизму в стационарных фактором, определяющим суммарное переусловиях (f/+fv= 1). распределение легирующих примесей Для случая диффузии бора в кремнии/; = 0,7 [52, 53], для фосфора/7 = 0,9 [51], для мышьяка/; = 0,5 [50]. Диффузия сурьмы в кремнии осуществляется по вакансионному механизму fv= 1 [54]. Поэтому в связи со значительно более быстрой в сравнении с межузельными атомами релаксацией избыточной концентрации вакансий TED-эффект при диффузии сурьмы не наблюдается [55]. Концентрация межузельных атомов кремния как свободно диффундирующих, так и связанных в кластерах, преципитатах и других структурных дефектах (типа внедрения), определяется условиями ионной имплантации и постимплантационного отжига, от которых зависят диффузия межузельных атомов к местам стоков, растворение дефектов с высвобождением межузельных атомов и их взаимодействие с атомами примеси. В период активи-
Глава 4. Формирование сток-истоковых областей зации TED-эффекта избыточная концентрация межузельных атомов, а соответственно, и коэффициент диффузии примеси постоянны, так как при растворении дефектов с высвобождением межузельных атомов одновременно происходит их локализация на дефектах, и эти процессы находятся в равновесии [46]. Поэтому в период действия TED-эффекта (tTED) значение аномально высокого коэффициента диффузии примеси (D) считается неизменным. Тогда диффузионная длина LD, характеризующая процесс перераспределения примеси в условиях пересыщения межузельных атомов, может быть представлена в обычном виде LD = V(D • tTED). Длительность периода действия TED-эффекта определяется скоростью растворения источников межузельных атомов — кластеров, преципитатов, дефектов упаковки, которая увеличивается при повышении температуры. В связи с этим для нестационарной ускоренной диффузии характерно снижение скорости перераспределения примеси при повышении температуры в противоположность термически стимулированной диффузии. Однако в процессе термообработки при повышенной температуре образцы все равно подвергаются действию низких температур во время периода нагревания. Из сказанного следует, что с целью ограничения влияния TED-эффекта необходимо учитывать роль скорости нарастания температуры при отжиге имплантированных слоев. Наиболее детальные исследования TED-эффекта выполнены для случая бора в связи с наибольшей остротой проблемы формирования мелкозалегающих слоев /ьтипа. Закономерности перераспределения примеси из имплантированных слоев можно на примере диффузии бора описать при помощи кинетических параметров процессов, происходящих при термическом отжиге tsol, tTED, tramp и их зависимости от температуры (рис. 4.37 [49]). Параметр tsol характеризует время, необходимое для перехода имплантированных атомов бора в раствор замещения, т.е. время достижения полной электрической активации примеси. Параметр tTED характеризует период времени действия TED-эффекта для данной температуры. Зависимость tTED(T) получена 1(Г 1100 С l(f S ю3 I ю2 0Q 10' 10° 10" 900 С 800 С 700 С _,—7 tTH> D Sol mi el al. OCowern et al. Д Soleimani V Hoefler el al. <J Suzuki el a!. 4- Sedgwick et a!. ramp 0.7 0.8 0.9 1/T( 1000/K) 1.0 по результатам работы [56]. Важным технологическим ограничением при создании мелкозалегающих слоев является процесс нестационарной Рис. 4.37. Аррениусовские зависимости характе- ускоренной диффузии в период на- ристических времен термообработок образцов, растания температуры до стацио- имплантированных бором в диапазоне средних нарного уровня. На рис.4.37 время и высоких доз. Сплошная линия, штриховая достижения стационарной темпера- линия и штрихпунктирная линия отражают, , * * г к » туры отжига (при начальном значе- последовательно: продолжительность действия ™™^ TED-эффекта (?TED); время, необходимое для ™и 500 С и скорости ее нарастания достижения равновесной активации имплантированного бора (/5о1); время нарастания температуры до требуемого уровня (/гатр). Символы соответствуют экспериментальным данным для /sol 50°С/с) представлено зависимостью tramp(T). Можно выделить следующие «особые» точки, подчеркивающие необходимость учета скорости на-
4.3. Ионно-пучковая имплантация 249н|] I ' ' « ' I ' ' ' ' l ' ' ' ' Режим имплантации: BF2,2 х 1015см "2,40кэВ ^Режим терм, обработки: 750 °С, 850 °С - 1 час •С) 650 °С, 30 мин 0.2 0.3 Глубина (мкм) растания температуры: 1) точка Тх = 970°С пересечения зависимостей TTED(T) и tsol{T) означает, что в случае отжига при температуре Т> Т{ роль TED-эффекта незначительна, а при температуре Т< Тх снижается электрическая активация примеси; 2) точка Т2 = 955°С пересечения зависимостей tTED и tramp указывает на то, что для диапазона температур отжига выше 955°С действие TED-эффекта завершается на этапе нарастания температуры. Из при- Рис 4 38. Иллюстрация ускоренной диффузии веденных данных следует, что при атомов бора при низкой температуре. CTED-кон- температуре выше 950°С значение центрация атомов бора на концентрационном диффузионной длины LD будет за- профиле, соответствующая перегибу, вызывае- висеть от скорости нарастания тем- мому TED-эффектом пературы. В связи с этим при формировании мелкозалегающих слоев, когда для увеличения степени активации примеси и снижения TED-эффекта требуется повышать температуру и, соответственно, уменьшать длительность отжига, необходимо увеличивать скорость нарастания температуры выше 50°С/с. TED-эффект при отжиге слоев, имплантированных бором Помимо отмеченных закономерностей для нестационарной ускоренной диффузии бора характерна особенность, отличающая ее от диффузии других примесей. При термообработке в диапазоне температур до 850°С, независимо от условий имплантации ионов типа В+ или BF2+, наличия или отсутствия аморфизации, величины дозы и энергии (при Е > 5 кэВ) на концентрационном профиле наблюдается перегиб на уровне концентрации CTED, приблизительно равной (1—2)11,, где п- концентрация собственных носителей в кремний при данной температуре отжига (рис.4.38 [57], 4.39 и 4.40 [58], см. также [59-61]). Сохранение указанной закономерности для различных условий имплантации позволяет предполагать, что она связана со свойствами кремния, имплантированного бором, и свойствами дефектов, созданных 1019 ~ S о ^^ § 1018 я (Я а н X § X о ю17 1016 с [ ? <Г Ьф -• • • > ) «Jr^Qvf Имплантация бора: "^ Л/Г ^Ь 2х10,4/см2,60 кэВ »" *^few • **И?у • ^Й&- • «вв» • чпЗ? • <gsjk Отжиг в печи при 800°С ^* °o^g, • - без отжига о "Ц- ~ о -35 мин V, °0^ - о - 65 мин °ЬаЯ " Д - 118мин % °£ * - 180 мин \ & • . « * 2000 4000 6000 Глубина (А) ионной имплантацией (в отличие Рис. 4.39. Профили распределения импланти- от дефектов, созданных термиче- рованных в кремний атомов бора по глубине при ски стимулированной диффузией), различной продолжительности термообработки На участке концентрационного в печи (Я4) при температуре 800 °С
250 Глава 4. Формирование сток-истоковых областей профиля выше концентрации CTED внедренные атомы бора электрически неактивны и относительно статичны в течение периода tsol. На участке ниже концентрации CTED проявляется эффект нестационарной ускоренной диффузии. При температуре 800°С длительность периода растворения кластеров и преципитатов в области максимума концентрационного профиля составляет несколько часов; при температуре 950°С значение Рис. 4.40. Профили распределения концентра- Li приблизительно равно 20 с, так ции имплантированных в кремний атомов бора что в обычно используемых режи- по глубине при различной продолжительности мах отжига в горизонтальных печах быстрой термообработки при температуре 900 °С концентрация CTED достигает уровня предельной растворимости бора в кремнии. В типичных режимах быстрого отжига перегиб концентрационного профиля наблюдается при значении CTED « 2п:. Указанная характерная особенность перераспределения имплантированного бора объясняется следующим образом [49,57]. Концентрация CTED соответствует нижней границе области имплантированного слоя, в которой атомы бора связаны в статические кластерные образования «межузельный атом кремния—бор» Вт, содержащие т электрически неактивных атомов бора. Активация атомов бора происходит в момент растворения этих кластеров, когда межузельные атомы кремния, входящие в кластер, становятся свободно диффундирующими, а атомы бора переходят в узлы решетки. При этом образуются т дырок: Вт > тВ~ + mh+. (4.4) Зарядовое состояние межузельных атомов определяется положением уровня Ферми, т.е. зависит от температуры и концентрации электрически активных атомов бора, причем в процессе нестационарной ускоренной диффузии участвуют только нейтральные межузельные атомы. В первый момент отжига скорость растворения кластеров очень велика, уровень Ферми совпадает с серединой запрещенной зоны. В процессе растворения кластеров и активации атомов бора уровень Ферми смещается вниз и достигает валентной зоны. Вследствие этого увеличивается относительная концентрация положительно заряженных межузельных атомов, которые не способствуют диффузии бора [57]. Вследствие замедления диффузии бора скорость обратной реакции увеличивается, а равновесие прямой и обратной реакции будет выполняться в области имплантированного слоя с концентрацией CTED « 2пг TED-эффект при отжиге слоев, имплантированных мышьяком В сравнении со случаем имплантации бора этот эффект проявляется слабее [62—65]. Причиной этого является меньшая, чем у бора, зависимость диффузии от избыточной концентрации межузельных атомов кремния ifI=fv= 0,5), а также более 1019 ^ 7 § ^ § ю18 03 & нцен 3 ">" i— " А^Г* еГ* *».• -• "• - \ • Быстрый о о А 1 IQ16I 0 г^ 1 1 1 « \7Шйнш Имплантация бора: ^^П^э 2х1014/см2, 60 кэВ. •^ju • ч& • Ъ • <а* * ^v отжиг при 900°С *Ь <Р д - без отжига * сРд& - 30 сек V* °Л* - бОсек * ^ - 120 сек ## _, «г о» % осР 2000 4000 6000 Глубина (А) - - - - :
4.3. Ионно-пучковая имплантация 251 Глубина (мкм) Глубина (мкм) Рис. 4.41. Проявление эффектов нестационарной ускоренной диффузии в случае имплантации ионов мышьяка (As+; 1015 см2; 5 кэВ) при последовательных этапах термообработки низкая температура отжига имплантационных дефектов, являющихся источниками избыточных межузельных атомов (см. рис.4.15). На рис. 4.41 представлены распределения концентрации атомов мышьяка, имплантированного в кремний с энергией 5 кэВ и дозой 1 • 1015 см2 [47]. Необходимо отметить следующие особенности приведенных распределений. Во-первых, максимум концентрации примеси после отжига при температурах 650 и 750°С смещен к поверхности кремния, а плотность атомов снижена относительно исходной. Это объясняется диффузией мышьяка, стимулированной потоком межузельных атомов к поверхности и дезактивацией примеси на границе Si-Si02 [66]. Во-вторых, можно видеть, что влияние TED-эффекта проявляется дважды: в диапазоне температур 650-750°С (завершается в течение 90 мин) и при последующем быстром отжиге при температуре 1040°С. Вторая фаза ускоренной диффузии мышьяка завершается в течение менее 10 с. Наличие двух фаз ускоренной диффузии мышьяка связано с тем, что образующиеся при постимплантационной термообработке дефекты характеризуются различными значениями пороговой температуры отжига. Высокотемпературная фаза TED-эффекта наблюдается при высокодозовой имплантации и объясняется частичной дезактивацией атомов мышьяка в эпитаксиально рекристаллизованном слое, исходная степень электрической активации примеси в котором выше, чем достигаемая при температуре 1040°С [67]. Дезактивация атомов мышьяка происходит с образованием кластеров As4V (V-вакансия), что сопровождается генерацией межузельных атомов кремния. Эффект генерации межузельных атомов при дезактивирующей термообработке слоев, легированных мышьяком, продемонстрирован в экспериментах с Табл. 4.5. Усиление диффузии бора при увеличении дезактивированной дозы мышьяка Концентрация у поверхности (1020 см 3) Суммарная доза (1015 см2) 2,3 4,0 4,5 8,0 9,1 16 18,9 32 Термообработка: 15 с, 750°С Увеличение коэффициента диффузии Активная доза (1015 см2) Нективная доза (1015 см 2) — 3,5 0,53 21000 7,1 0,94 18000 6,2 9,8 8200 6,0 26 1 1 Термообработка: 2 ч., 750°С [Увеличение коэффициента диффузии [Активная доза (1015 см2) I Нективная доза (1015см2) 30 1,8 2,2 460 3,1 4,9 230 2.7 13 ПО 2,7 29 1
/о52 Глава 4. Формирование сток-истоковых областей Уровень электрической активности 1021 Я ю20 101' к о 10й 101' 101( If" 1 шхшшш— » Концентрация электрически активных атомов As после лазерной термообработки. ] - Концентрация электрически активных атомов As после термообработки (2 часа,750°С) —— А5,В-послелазерной термообр. вБор(2часа,750"С) "*— Моделирование (SUPREM) 0.0 0.5 Глубина (мкм) 1.0 эпитаксиальнои структурой, распределение концентраций примесей в которой представлено на рис.4.42 [68]. Скрытый слой, легированный бором, является маркером, реагирующим на поток избыточных межузельных атомов кремния. Слой, легированный мышьяком с поверхностной концентрацией от 21020 см3 до 1,9* 1021 см3, формировался имплантацией с последующим лазерным отжигом, что позволило Рис. 4.42. Профили распределения концентрации обеспечить полную активацию атомов мышьяка и бора по глубине (ВИМС) в экс- примеси. При дезактивирующей периментальных структурах, включающих скры- термообработке (750°С) выпаде- тый слой, легированный бором, и нелегированный ние атомов мышьяка из твердого эпитаксиальный слой. Ионы мышьяка имплантировались в эпитаксиальный слой (D = 8-10 см) с последующим лазерным отжигом, что приводило к формированию «прямоугольного» концентрационного профиля As. Данные ВИМС-анализа свидетельствуют о значительном усилении диффузии ложку приводил к ускоренной бора в скрытом слое. Моделирование (SUPREM) диффузии бора в скрытом слое, позволило установить, что коэффициент диффу- Результаты оценки увеличения зии бора был увеличен в 460 раз коэффициента диффузии бора приведены в табл. 4.5 [68]. На первом этапе термообработки в течение 15 с увеличение коэффициента диффузии бора достигало 21000, в последующие 2 ч оно снижалось до 460. При максимальной дозе имплантации мышьяка (3,2-1016 см2) ускорение диффузии бора уменьшалось вследствие выпадения мышьяка из раствора замещения путем осаждения на структурных дефектах кремния, что не приводило к генерации избыточных межузельных атомов. Таким образом, эффект нестационарной ускоренной диффузии примесей при формировании слоев, легированных мышьяком, может проявляться как при активирующей, так и дезактивирующей термообработке. раствора замещения происходит с образованием кластеров As4V и избыточных межузельных атомов кремния, поток которых в под- 4.3.4. Предварительная аморфизация кремния мелкозалегающих SDE-областей Обычно для формирования мелкозалегающих слоев сток-истоковых областей используют имплантацию ионов BF2 или ионов бора с предварительной аморфиза- цией кремния путем имплантации германия и последующим быстрым отжигом. Однако при формировании слоев с глубиной залегания /ья-перехода 50-60 нм использование этих методов приводит к получению низкого поверхностного сопротивления легированных слоев. Кроме того, при использовании имплантации ионов BF2+ для формирования SDE-областей /ьканальных МОПТ необходимо
4.3. Ионно-пучковая имплантация 253" предпринимать меры для предотвращения проникновения бора через под- затворный окисел в область канала. С этой целью применяют нитрирование подзатворного окисла либо уменьшают энергию ионов BF2+ до 3 кэВ (рис.4.43, 4.44) [69]. Однако при таком значении энергии ионов в условиях ограниченного термического бюджета не обеспечивается предотвращение эффекта обеднения носителями поликремниевого затвора МОПТ из-за недостаточного легирования его бором вблизи границы с подзатворным окислом. Поэтому „ ,,» u ^ J Рис. 4.43. Изменение напряжения плоских формирование мелкозалегающих слоев 30„ (ДКрв) как функции энергии имплан- SDE-областей глубокосубмикронных тируемых ионов BF2+. Величины AVFB /ьМОПТ осуществляют имплантацией определялись разностью Vf 0.2 В J£ o.i 0 0 щ,т.»Тттт9ш iy и щ | | у шуми щт пину»" к» « Т51*=150нм FA 850 °С, 30 мин / ; •RTA1000°C, /^ j 10 20 Энергия ионов BF2+(K3B) i FBfBF21 FB[Ge+B|» J I ' ' f I '" I i I i i ионов бора низких энергий (до 1 кэВ) с поскольку в приборных структурах, после- предварительной аморфизирующей им- довательно имплантированных ионами Ge+ плантацией ионов Ge и использованием и в+> величина KFB оставалась неизменной высокотемпературного быстрого отжига. Высокая температура отжига (до 1100°С) позволяет обеспечить снижение поверхностного сопротивления, однако предъявляет высокие требования к длительности изотермического отжига и к скорости нарастания температуры. Аморфизирующая имплантация ионов Ge+ эффективно подавляет канал и- рование бора; однако точечные дефекты, создаваемые при аморфизирующей имплантации, могут вызывать ускоренную нестационарную диффузию бора (TED-эффект) и увеличение глубины залегания /?-я-переходов. Исследования Рис. 4.44ГЗависимость приращения напря показали, что при снижении энергии жения плоских зон (AKFB[FB2)- KFB[Ge+B|) от ионов Ge+ до < 10 кэВ обеспечивается концентрации атомов фтора (NF) в подза- возможность получения SDE-областей с творном окисном слое. Сдвиг BF2+ пода- глубиной залегания /ьл-переходов менее вляется ПРИ энергии имплантации ионов 60 нм (рис. 4.45) [69]. При выборе темпе- BF* менее 3 кэВ' однако при этом наблю" дался эффект обеднения затвора ратуры постимплантационного отжига необходимо учитывать то обстоятельство, что при уменьшении глубины залегания /ья-перехода все возрастающая доля примеси находится в твердом растворе внедрения, т.е. электрически неактивна. При повышении температуры отжига увеличивается концентрация предельной растворимости примеси в кремнии, и атомы примеси переходят из твердого раствора внедрения в твердый раствор замещения. Поэтому постимплантационный отжиг необходимо проводить при 2 4 6 Концентрация, NF( 1014см"2)
/|[i54 Глава 4. Формирование сток-истоковых областей 70 В 60 50 Ge 1Е15/см2 I В 1кэВ, 1Е15/см2 / ) 5 10 15 20 25 Энергия ионов Ge+(ioB) Рис. 4.45. Зависимость глубины залегания /?-я-перехода (Х}) от энергии имплантируемых ионов Ge+. При энергии ионов Ge+ менее Ю кэВ величина Х] практически не меняется (X. = 58 нм) Термообработка: температура: I Ю0°С время: 50 мсек Без термообработки 50 100 Глубина(нм) 150 Рис. 4.46. Профили распределения концентрации атомов бора при различных значениях скорости нарастания температуры более высокой температуре 1100 °С, чтобы повысить степень активации примеси. При этом с целью снижения влияния TED-эффекта на глубину залегания /?-я-переходов длительность отжига уменьшают до 50 мс, а скорость нарастания температуры повышают до 200-400°С/с. На рис.4.46 представлены концентрационные профили распределения бора в кремнии, полученные при указанных 1000 о к о а* СО О 100 •sot: Ge5K3B, 1E15/CM* В 1кэВ; lE15/civ? Nb=3E20/cm3 *«Ю0ЧС Ионная имплантация без предварите аморфи ^Ограничение^}. N. "\ ~% / паи ионной J|w \ Ь \ / имплантации ^^ ^^ /АЧ ^ Предлагаемы й N режим RTA (F) r^v^ и sot; ^NL 10 50 Глубина р-п-перехода ,Xj (нм) 100 Рис. 4.47. Корреляционная связь между удельным поверхностным сопротивлением ps и глубиной залегания /ьл-перехода для различных режимов RTA-термообработок. Величины AJ определялись при Ng= 5 • 1017 см3. (А) 1000 С, 10 мс, 25 °С/с; (В) 1000 °С, 50 мс, 400 °С/с; (С) 1050 °С, 50 мс, 400 °С/с; *(D) 1100°С, 50 мс, 400 °С/с; (Е) 1100 °С, 50 мс, 25 °С/с; (F) 1150°С, 50 мс, 400 °С/с. (* Предлагаемый режим проведения RTA-термообработки). Минимальное значение ^для каждой температуры отжига достигается при длительности отжига 50 мс и скорости нарастания температуры 400 °С/с (точки В, С, D).
4.3. Ионно-пучковая имплантация 255jjjj □ s о g 500 X S к H о 300 <u о X <J о рхн Xj =50 нм .\ W=10mkm v\ V\ \\l Nw \^ *ч -«-^—щ т ^^^ AMI <o s vo Q со s § 200 | о о. о о стЗ о 1 § "HJoo looo itoo i2dbw § r— ° c Температура RTS-процесса (°C) E Аморфизирующая имплантация: (Ge+,5ioB, 1E15/CM2) Имплантация SDE-областей: (В+, 1кэВ, 1Е15/см2) iiiii Si* -затвор Спейсер LOGOS а) Имплантация глубоких S/D-областей и затвора: (Ge+ЛОкэВ, 1Е15/см2;В+,2кэВ, 1Е15/см2) i I 1 I I Si* -затвор С? N Спейсер ^3 LO$OS б) RTA-активация атомов бора (малая продолжительность/высокая скорость нарастания температуры) Si*-затвор Спейсер Рис.4.48. Зависимости поверхностного сопротивления и последовательного сопротивления S/D-областей (Д.ег) от температуры RTA-процесса для случая Xi = 50 нм. Эти данные (см. точки а, Ь, с и d на рис.4.47) были получены путем интерполирования или экстраполяции экспериментальных данных для различных режимов (A)-(F) — см. подрисуночную подпись рис. 4.47. Сопротивления выходят на насыщение и достигают наименьших значений при температуре RTA- процесса, равной 1100°С и более условиях, а на рис.4.47 приводятся зависимости удельного поверхностного сопротивления слоев от глуби- ^ис- 4.49. Последовательность основных тех- ны залегания /ьл-переходов после нологических этапов изготовления /ьМОПТ с сг длиной затвора 0,15 мкм быстрого отжига при температуре F ' 950—1150°С длительностью от 50 мс до 10 с и скорости нарастания температуры 25-400°С/с. Как видно, для каждой из температур отжига глубина залегания р-я-перехода снижается при уменьшении длительности отжига и повышении скорости нарастания температуры. Минимальное значение Х} для каждого из значений температуры отжига достигается при длительности отжига 50 мс и скорости нарастания температуры 400°С/с. С увеличением температуры отжига до 1100°С поверхностное сопротивление слоев достигает минимального значения 300 Ом/П (рис. 4.48), что на 40% ниже, чем для слоев, отжиг которых производился при температуре 1000°С. Указанные режимы постимплантационного отжига были использованы при создании мелкозалегающих SDE-областей с низким поверхностным сопротивлением для /?-канальных МОПТ с Lg = 0,15 мкм [69]. В предложенном маршруте изготовления /ьМОПТ (рис. 4.49) процессы ионной имплантации бора и германия осуществлялись после формирования спейсера малой толщины. Это позволило при использовании SDE-слоев с высокой поверхностной концентрацией обеспечить приемлемые значения тока подложки и эффективной длины канала Leff и получить низкое значение последовательного сопротивления транзистора 1400Ом-мкм.
/О56 Глава 4. Формирование сток-истоковых областей Предварительная аморфизация кремния имплантацией ионов азота Предварительная аморфизация кремния перед имплантацией ионов бора или BF+ позволяет значительно снизить эффект каналирования и благодаря этому обеспечить формирование слоев с малой глубиной залегания /ья-переходов Хг Обычно для аморфизации кремния используют имплантацию ионов Si или Ge. Однако при создании сток-истоковых слоев для МОПТ с Lg< 0,25 мкм указанный метод, подавляя каналирование ионов бора, может не обеспечивать снижение Х} из-за TED-эффекта во время отжига [77, 78]. Кроме того, поверхностное сопротивление слоев, полученных с использованием предварительной аморфизации имплантацией ионов Si или Ge, выше, чем у слоев, при формировании которых аморфизация не использовалась [70—72]. В работах [73, 74] исследовано влияние предварительной имплантации ионов азота на характеристики сток-истоковых областей МОПТ с длиной затвора < 0,25 мкм. Растворимость азота в кремнии крайне низка и составляет в используемом диапазоне температур 51015 см3. В результате имплантации ионов азота на поверхности кремния после отжига образуются стержневидные дефекты, появление которых связано с преципитацией атомов азота. В процессе отжига указанные дефекты действуют как эффективные места стока избыточной концентрации ме- ? Имплантация ионов азота j;i; Затвор! j JLS ^**®2/ 'Затвор Щ*щ SlOa/ ЖК^НМ) ШсГОнм) Сегрегация азота у основания спейсера п-МОПТ Сток/истоковые области р-МОПТ с геттерирующим приповерхностным слоем Формирование JLOCOS-областей Ч Формирование J ретроградны х f карманов ^Формирование затвори: -подзатворныи окисныи слои (бнм) -нелегированны" поликремнии(2._ _ -фотолитография О Формирование ^ истока/стока: -имплантация азота -LDD-импланшшя -формирование спейсера , -n>i p+S/D-обасти -термообработка в N2 (75(ГС) О Металлизация Рис. 4.50. Последовательность основных технологических этапов при изготовлении КМОП-структур (Lg < 0,25 мкм) с минимальным характеристическим размером менее 0,25 мкм с использованием имплантации азота в области истока/стока 8? 100 а) s 80Г 8 60| I 40Г I 20Г О g100 1 80 60 40 201 б) *о алЬ. 1 нА ■ liiiiiifc i 1 мкА Ток утечки (А) 1мА 1нА L §100 1 80 I 60 1 40| I 20| !_,—,—,—,—,—г 1 мкА Ток утечки (А) 1'мА 1нА -А 1 t « i 1 мкА 1 мА Ток утечки (А) Рис. 4.51. Гистограммы распределения токов утечки: а) в случае обычных п+р-переходов истока/стока; б) в случае п+-р-переходов, формируемых с использованием имплантации кремния; в) в случае п+-р-переходов, формируемых с использованием имплантации азота
4.3. Ионно -пучковая имплантация 2 5 ТШ жузельных атомов кремния, в результате чего подавляется TED-эффект и снижается плотность вторичных дефектов после имплантации и отжига легирующих примесей. Так, в работе [73] выполненные электронно-микроскопические исследования показали, что в я+-слоях, созданных с предварительной имплантацией ионов азота по маршруту, представленному на рис. 4.50, отсутствуют протяженные дефекты и дислокационные петли вблизи /ьл-перехода, в отличие от образцов с Рис.4.52. Зависимость поверхностного предварительной имплантацией ионов сопротивления LDD-области я-МОПТ кремния или без нее. Благодаря указанно- с длиной затвора менее 0,25 мкм от дозы му эффекту предварительная ионная им- аморфизирующей имплантации ионов плантация азота обеспечивает улучшение азота. Температура постимплантационно- характеристик сток-истоковых областей. го отжита 750 °С На рис. 4.51 представлены гистограммы токов утечки я+-/?-переходов, сформированных с использованием аморфизирующей имплантации ионов кремния или азота и без предварительной аморфизации. При этом энергия ионов Si+, N+, As+ выбиралась из условия идентичности значений проекций пробега Rp, а дозы имплантации кремния и азота были равны 4 • 1015 см2. Температура и длительность отжига слоев составляли 750°С и 1 ч, соответственно. Как видно, ток утечки #+-/ьпереходов, изготовленных по стандартному маршруту, I' оии 300 250 200 ■ten " Г^.бОкэВ Л ^ВМ0кэВ,5£15см"^/ У • 1 L I \ / -1 -1 i » 1-1-х. 1 2 3 Доза азота (см"2) Х1013 Рис. 4.53. Зависимость поверхностного сопротивления LDD-области /ьМОПТ имеет широкий спектр значений. Исполь- от дозы аморфизирующей имплантации зование предварительной имплантации молекулярных ионов азота N2+. По оси ионов кремния обеспечивает более узкое абсцисс отложены дозы, соответствую- распределение величины тока утечки, в то время как при имплантации ионов азота щие количеству имплантированных атомов азота, а не молекул. Термообработка осуществлялась 30 мин в N2 при 800 °С наблюдается значительное снижение среднего значения тока утечки и его дисперсии. Подобные результаты получены и для SDE-областей с «"-/^-переходом. В результате изменения условий рекристаллизации аморфизированного слоя кремния, вызванного имплантацией ионов азота, наблюдается изменение степени активации легирующей примеси в CSD- и SDE-областях. На рис.4.52 [73] и рис.4.53 [74] представлены зависимости удельного поверхностного сопротивления п~- и /?+-слоев в зависимости от дозы имплантации ионов азота. Уменьшение поверхностного сопротивления происходит при дозе имплантации, соответствующей аморфизации кремния. Увеличение поверхностного сопротивления с ростом дозы является результатом ухудшения структурного совершенства кремния.
Глава 4. Формирование сток-истоковых областей 800 °С;30мин в среде азота После термообработки Доза азота: -без азота ИЕ15СМ-2 I Перед „ | термообработкой Доза азота 1£1бсм"2 0.0 0.2 0.4 Глубина (мкм) 0.6 В работе [74] влияние предварительной имплантации ионов азота отмечается не только на ток утечки /ья-переходов, но также на канали- рование легирующих примесей при имплантации и на нестационарную ускоренную диффузию примеси при отжиге (TED-эффект). На рис. 4.54 представлены распределения бора в кремнии непосредственно после имплантации ионов бора (Е= ЮкэВ, D = 5-1015 см-2) и после отжига (800°С, 30 мин) для различных доз имплантации ионов азота (Е = 30 кэВ, D = (1-И0)-1015 см2). При указанных условиях имплантации распределе- Рис. 4.54. Концентрационные профили распре деления по глубине атомов бора после термооб с- „ л. - ние азота перекрывает распределе- работки с предварительной аморфизирующеи _ п имплантецией азота. Имплантация N+ осущест- ™е бора, так как ^ ионов азота равна влялась в режимах: 30 кэВ, (1-10)-1015 см2; им- Ц, + 2Д/у для ионов бора, и поэтому плантация В+ проводилась в режиме: 10 кэВ, при наборе достаточно высокой дозы 5-1015 см2 имплантации N2 каналирование бора подавляется. Как видно, каналирование бора подавляется, начиная с дозы имплантации азота D = 5-Ю15 см2, хотя доза, необходимая для аморфизации, должна превышать 2-1015 см2 [78]. Нестационарная ускоренная диффузия бора (TED-эффект) значительно снижается при имплантации ионов азота с дозой (1ч-5)1015 см2 и полностью подавляется при дозе Ы016см-2. Рассмотренные особенности легирования сток-истоковых областей объясняются влиянием ионной имплантации азота на скорость релаксации избыточной концентрации межузельных атомов кремния, создаваемых имплантацией легирующей примеси. В этой связи следует ожидать влияния имплантации ионов азота на обратный короткоканальный эффект (RSCE). Действительно, в работе [75] обнаружено, что с увеличением энергии ионов азота RSCE-эффект в «-канальном транзисторе уменьшается. Так как обратный короткоканальный эффект проявляется в возрастании порогового напряжения Vt при уменьшении длины затвора Lg, то спад зависимости Vt(Lg) сдвигается влево в область малых Lg, имитируя улучшение короткоканальных свойств транзистора. Подавление RSCE-эффекта при использовании предварительной имплантации ионов азота приводит к спаду зависимости Vt(Lg) при больших значениях Lg9 что и отмечается в работе [75]. 4.3.5. Каналирование бора при имплантации ионов низких энергий С целью изучения влияния каналирования на характеристики мелкозалегаю- щих слоев при имплантации ионов бора низких энергий в работе [79] проведено сравнение распределения примеси в кремнии в случаях: имплантации в кремний с кристаллографической ориентацией (100) с нулевым и 7-градусным наклоном
4.3. Ионно-пучковая имплантация 259^ ионного пучка относительно нормали к поверхности кремниевой пластины и имплантации в предварительно аморфизиро- ванный кремний, осуществляемой внедрением ионов германия (Е = 5 кэВ, D = МО15 см2). Как видно из рис. 4.55 при имплантации с углом наклона пучка 7° проникновение ионов бора в кремний, имплантированных с энергией 5—10 кэВ, оказывается более глубоким, чем при имплантации в предварительно аморфи- зированный кремний. При имплантации бора с более низкими энергиями ионов (Е = 2,5 кэВ) тенденция сохраняется, однако различие между концентрационными профилями, полученными при имплантации с углом наклона пучка 0° и 7°, уменьшается. Когда энергия ионов снижается до 1 кэВ, концентрационные профили практически сливаются. Это свидетельствует о том, что при данном значении энергии ионы движутся вдоль каналов независимо от угла падения пучка. Из приведенных данных следует, что использование имплантации с отклонением ионного пучка от вертикали с целью подавления каналирования является бесполезным в области низких энергий ионов. Более того, при изготовлении приборов подобная имплантация может вызвать нежелательную асимметрию характеристик транзистора из-за теневого эффекта. Исследования показали, что при имплантации ионов бора с дозой 5-Ю14 см2 доля ионов, движущихся вдоль каналов, снижается по мере уменьшения их энергии, и при Е= 200 эВ распре- ^ 1.00Е+21 Щ 1.00Е+19 се X 100Е+18 О 1.00Е+17 1.00Е+16 looeys 1.006*21 i СП 'g 1.0QE+20 I И Угол |\\7° \ \ Предварительная \ \ ^ аморфизация \ \о° 1 кэВ Ш^)ЛШШ 3 1О0Е+19 Я g 1.00Е+17 1.00ЕИ5 100Е*21 f \\Угол \ Хк° \ 7\ i \ 2,5 кэВ Предварительная аморфизация 5кэВ Предварительная „ аморфизация Предварительная 10 кэВ аморфизация 1500 2000 2500 3000 Глубина (А) Рис. 4.55. Профили распределения концентрации атомов бора, имплантированных при энергиях, находящихся в диапазоне 1—10 кэВ и при дозе 1 • 10й см2. Профили сняты непосредственно после имплантации
(ОбО Глава 4. Формирование сток-истоковых областей деления концентрации бора в кремнии после имплантации с предварительной аморфизацией кремния и без нее не отличаются (рис.4.56) [79]. Полученные данные объясняются тем, что при низких энергиях и высоких дозах имплантация бора приводит к аморфизации кремния [80]. Таким образом, при имплантации ионов бора в области низких энергий предварительная аморфи- зация кремния с целью уменьшения глубины залегания /^-«-переходов не является необходимой. 4.3.6. Влияние состава газовой среды отжига на параметры мелкоза- легающих легированных слоев С уменьшением глубины залегания /ья-переходов сток-истоковых областей все более существенными при постимплантационном отжиге становятся процессы, происходящие на поверхности кремниевой пластины и на границе Si-Si02. При оптимизации режимов постим- плантационного отжига необходимо, с одной стороны, обеспечить высокую степень электрической активации примеси и отжиг дефектов структуры кремния, что требует использования высокой температурю Глубина (А) ры. С другой стороны, перераспре- Рис' 4'56' ПР°ФИЛИ распределения концентрации атомов бора, имплантированных в кремний при дозе 5-Ю14 см2 и с энергией: 1 кэВ; 500 эВ и 200 эВ. Имплантация осуществлялась в монокристаллические подложки и в предварительно аморфизированные имплантацией Ое+-образцы деление примеси в кремнии должно быть минимизировано, так же как и потери имплантированной дозы. Поэтому помимо ограничений, связанных с кинетикой изменения температуры процесса быстрого термического отжига (RTA), необходимо обеспечить соответствующие условия на поверхности кремниевой пластины. В частности, при высокотемпературном отжиге в среде азота с малым содержанием кислорода из-за образования летучей моноокиси кремния («эрозия») имплантированная примесь частично испаряется. В то же время при отжиге в окисляющей среде образование
4.3. Ионно-пучковая имплантация 261 даже ультратонких слоев двуокиси кремния приводит к тому, что примесь удаляется из кремния путем сегрегации. Поэтому при разработке процессов RTA необходимо учитывать указанные явления. На рис.4.57 представлены зависимости среднеквадратичного значения амплитуды микрошероховатости поверхности кремния после процесса RTA при температуре 1050—1150°С от содержания кислорода в азоте [81]. Результаты показывают, что при температуре 1100-1150°С кремний окисляется без увеличения микрошероховатости (без «эрозии») при содержании кислорода в азоте не ниже 500 ррт. При снижении содержания кислорода в азоте до 250 ррт наблюдается сильная «эрозия» поверхности кремния. При более низкой температуре (1050 °С) минимально допустимая концентрация кислорода в азоте, не приводящая к увеличению микрошероховатости, снижается до 125 ррт. Поскольку скорость роста окисла (в области малых толщин) после имплантации возрастает в 1,5-2 раза (рис. 4.58), то концентрация кислорода, при которой возникает «эрозия» поверхности кремния, снижается до 1—100 ррт (рис. 4.59) [81]. Следствием этого является увеличение удельного поверхностного сопротивления имплантированного слоя (рис.4.60) и ухудшение однородности его значений по пластине, а также соответствующие изменения скорости поверхностной рекомбинации и подвижности носителей в приповерхностном слое. Приведенные результаты иллюстрируют значительную роль реакций взаимодей- Рис. 4.57. Зависимости среднеквадратичной амплитуды (rms) микрорельефа (шероховатости поверхности) от концентрации кислорода (02) после быстрого отжига при температуре 1050, 1100 и 1150 °С Рис. 4.58. Зависимости толщины слоя окисла (Тох) и ее равномерности по пластине (имплантированной ионами BF2+ (8 кэВ, 10|5см2) от концентрации 02 в азоте в процессе RTA (1100 °С, 10 с) Рис. 4.59. Зависимость среднеквадратичной амплитуды (rms) микрорельефа поверхности образцов Si, имплантированных ионами BF2+ (8 кэВ, 1015см2), от концентрации 02 в азоте при проведении быстрого отжига при температуре 1100 °С (10 с)
Е2 Глава 4. Формирование сток-истоковых областей ствия поверхности имплантированного слоя со следами окислителя в атмосфере реактора при отжиге мелкозалегающих слоев. Высокотемпературный отжиг в «чистом» азоте может привести к сильной «эрозии» поверхности слоя, если содержание кислорода в азоте будет ниже критического уровня. Для того чтобы избежать неконтролируемого испарения примеси, в азот добавляют кислород в количестве 500—1000 ррт в зависимости от температуры отжига и типа имплантированной примеси. Дальнейшее повышение содержания кислорода в азоте приводит к снижению имплантированной дозы из-за сегрегации. В случае наличия в атмосфере реактора следов воды скорость травления поверхности кремния значительно увеличивается [82]. При наличии паров воды в атмосфере реактора на уровне нескольких ррт минимальная концентрация кислорода, при которой предотвращается травление поверхности кремния, много выше, чем в случае отжига в сухом азоте. Важно отметить, что на указанные процессы влияет десорбция воды с поверхности пластин и стенок реактора [81]. 4.3.7. Влияние скорости нарастания и спада температуры отжига на параметры легированных слоев При изготовлении МОПТ с проектной нормой < 0,5 мкм скорость нарастания температуры в процессах RTA составляет 30—50°С/с, в случае проектных норм Lg < 0,25 мкм - 75-100°С/с, а для Lg < 0,18 мкм — 150—250°С/с. Уменьшение общего термического бюджета процесса RTA должно осуществляться путем оптимизации максимальной температуры отжига и длительности периода ее поддержания при выполнении следующих условий: • эпитаксиальная рекристаллизация имплантированных слоев; • максимально возможная электрическая активация легирующей примеси; • обеспечение требуемых значений глубины залегания /?-я-перехода; • отжиг EOR-дефектов, находящихся ниже имплантированного слоя, с целью снижения концентрации рекомбинационно-генерационных центров в/?-я-переходах; • отжиг и предотвращение образования протяженных дефектов, дислокационных петель и краевых дислокаций с целью исключения образования диффузионных трубок, преципитатов и «закороток» /?-я-переходов. При создании более глубоких слоев имеется возможность формирования /?-я-перехода глубже области расположения EOR- РисАбО. Зависимость поверхностного сопро- дефектов. При создании мелких тивления (ps) от концентрации 02 в азоте в про- и ультрамелких слоев вследствие цессе RTA (1100 °С, 10 с). Условия проведения ограниченного термического бюд- имплантации ионов BF2+: 8 кэВ, 1015см~2. Макси- жета постимплантационный от- мальное значение ps £ 278 Ом/п соответствует ми- жиг дефектов менее эффективен, а нимальной величине Тох s 1,2 нм (см. рис. 4.58)
4.3. Ионно-пучковая имплантация 263j|j влияние остаточных дефектов на величину тока утечки сильнее, так как они располагаются в пределах ОПЗ /?-я-перехода. В этом случае необходимо учитывать, что энергия активации отжига остаточных дефектов выше энергии активации диффузии легирующей примеси, поэтому предпочтительным является проведение постимплан- тационного отжига при высокой температуре и при меньшей длительности процесса [83]. Учет вышеуказанных факто 1000 У 8Ш ей £ » рат <L> 400 с Н 200 0' с 1"™ *'" 1" I- ■ ' v" ' ■ г ' i Г / \ _, Температура j*—-—' '<• -~/ /Предел чувствительности\^ пирометра 20 40 60 т Время (с) Мощность. '""""" 'ЯР» ' ' | ^ 100^ о о 801 о .« s 60 ~ 40 ч Р н S 20 g X н 0 © 100 120 Температура U Рис. 4.61. Временные эпюры изменения температуры пластины и излучаемой лампами мощности в процессе RTA. Рассматривается случай линейного ров при снижении длительности изменения температуры от времени. Длительность процесса отжига до нескольких отжига при температуре 1050 °С равна 1 с. секунд и менее приводит к необходимости уменьшения длительности переходных этапов нарастания и спада температуры. При этом временная зависимость изменения интенсивности излучения ламп в системах RTA в переходный период имеет большое значение. Поэтому скорость нарастания и спада температуры пластин в системах RTA задается программным путем в соответствии с выбранной временной зависимостью. Вработе [81] показано, что характер временной зависимости темпе- ПН 40 80 80 100 Время (с) Рис. 4.62. Временные эпюры изменения температуры пластины и излучаемой лампами мощности в процессе RTA. Рассматривается случай изменения температуры от времени типа TooVt . Длитель- ратуры на этапах нарастания и спада ность отжига при температуре 990 °с равна 1 с определяет глубину перераспредел е- нияпримеси, поверхностное сопротивление диффузионногослояистепеньактивации примеси. На рис. 4.61—4.63 представлены временные зависимости температуры пластины, определяемые VT-, /- и /2-функциями [81]. Длительность периода отжига с постояннойтемпературойсоставляла1с,причемвыборуровнятемпературывкаж- дом из процессов осуществлялся из условия получения слоев, легированных бором, с одинаковым удельным поверхностным сопротивлением (р5« 410 Ом/П). Максимальная температура процесса с ее линейным нарастанием составила 1050 °С, (р, = 406 Ом/П, в процессе с V/ - зависимостью: 990°С (р5 -411 Ом/П), а в процессе с ^-зависимостью: 1040°С (ps ~ 406 Ом/П). Рис.4.64 иллюстрирует различие в концентрационных профилях примеси в слоях, полученных в указанных режимах. Как видно, режим отжига с t2 — законом нарастания температуры — обеспечивает более узкий концентрационный профиль. Этот факт позволил авторам работы [81] сделать вывод о дополнительном фотонном механизме активации примеси в процессах отжига с ^-зависимостью нарастания температуры. Раз-
|fi64 Глава 4. Формирование сток-истоковых областей и ей а £ 400 Температура X л 40 ч <D Н К 20 о X 60 60 100 Время (с) личия между концентрационными профилями в слоях, отожженных в процессах с линейной и V/-зависимостью нарастания температуры, не обнаружено. Однако в последнем случае температура, необходимая для получения заданного поверхностного сопротивления, ниже на 60°С. Приведенные результаты показывают, что температура в пере- РисТ4.63. Временные эпюры изменения темпера- годные периоды ее нарастания туры пластины и излучаемой лампами мощности и спаДа оказывает значительное в процессе RTA. Рассматривается случай измене- влияние на параметры мелко- ния температуры как функции времени типа Toot2, залегающих слоев. Эти данные Длительность отжига при температуре 990 °С рав- говорят о том, что одно и то же на * с значение поверхностного сопротивления может быть получено не только с меньшим термическим бюджетом, но и с более низкой максимальной температурой, за счет управления скоростью ее нарастания и спада. Помимо особенностей перераспределения примеси процессы отжига с различной скоростью нарастания и спада температуры характеризуются значительным раз- Рис. 4.64. Полученные методом ВИМС концен- личием потребляемой мощности, трационные профили распределения атомов бора что немаловажно, учитывая, что по глубине для двух режимов RTA. Режим имплан- мощность, потребляемая лампа- тации ионов В+: 8 кэВ, 1015 см2 ми, составляет несколько десятков киловатт (до 80 кВт). Сравнение мощности, потребляемой лампами системы RTA в каждом из рассмотренных случаев, показывает, что при нарастании температуры по /2-зависимости мощность на 35% ниже, чем в случае линейного роста температуры, в то время как для процесса с V/-зависимостью — на 19% выше. Исследование и оптимизация режимов лампового отжига мелкозалегающих слоев, имплантированных ионами В+, BF2+ и As+ (E < 10 кэВ), и анализ причин, обуславливающих неоднородность и невоспроизводимость параметров слоев, описаны в работах [84, 85]. 40 60 60 Глубина (нм) 4.3.8. Использование высокомолекулярных соединений при ионной имплантации Ток ионного пучка имплантера экспоненциально снижается с уменьшением ускоряющего напряжения. Поэтому при формировании мелкозалегающих сильноле-
4.3. Ионно-пучковая имплантация 265 тированных слоев сток-истоковых областей методом имплантации низкоэнерге- тичных ионов производительность имплантера становится недопустимо низкой. Особую остроту это обстоятельство приобретает при создании слоев «-типа. Помимо совершенствования конструкции имплантера, повышение производительности процесса имплантации может обеспечиваться при использовании либо молекулярных ионов, содержащих элементы с большим массовым числом, либо высокомолекулярных соединений. Имплантация ионов BF2+ благодаря большой массе молекулярного иона позволяет получать мелкозалегающие слои при значениях ускоряющего напряжения Е= 5—10 кВ. Однако использование ионов BF2+ создает ряд проблем при создании сток-истоковых областей субмикронных МОПТ. Прежде всего следует отметить проблему проникновения бора через подзатворный окисел в присутствии фтора и связанную с этим нестабильность порогового напряжения. Кроме того, из-за низкой растворимости в кремнии атомы фтора при термообработке мигрируют к поверхности пластины, образуя газовые включения (bubbles), что способствует переносу атомов бора к поверхности и снижению плотности электрически активных атомов примеси. Поэтому для формирования мелкозалегающих сток-истоковых областей желательно применение в качестве источников соединений, не содержащих фтор (например, соединения бора с кремнием или германием [86] либо высокомолекулярные гидриды бора, например декаборан В10Н14). Впервые об использовании декаборана для имплантации бора сообщено в работе [87], результаты последующих исследований представлены в работах [88—92]. Декаборан обладает рядом достоинств при использовании в качестве источника бора. На каждый атом бора приходится энергия, пропорциональная отношению массовых чисел бора и декаборана (11/124), равная 8,87% от энергии молекулярного иона. Так, при имплантации ионов В10Н14 с энергией 19,5 кэВ энергия, приходящаяся на один атом бора, составит 1,73 кэВ; при этом длина проекции пробега (Rp) бора будет равна 8,1 нм [93] и 20,1 нм при имплантации BF2 с той же энергией [86]. Кроме того, имплантируемая в кремний доза бора, приходящаяся на каждый ион декаборана, в 10 раз больше, чем при имплантации ионов бора или BF2. При дозе имплантации В10Н14 — 1-Ю13 см2 эквивалентная доза имплантируемых ионов бора составит 1-Ю14 см2. И наконец, при имплантации тяжелых ионов декаборана образуются дефекты структуры кремния нескольких типов, которые замедляют Рис. 4.65. Концентрационные профили распре- диффузию бора [94, 95]. деления атомов бора по глубине, полученные Температуры плавления и кипе- SIMS-методом. Энергия имплантируемых ионов ниядекаборанаравны99,7°Си213°С 5 кэВ О 20 40 60 80 100 Глубина (нм)
266 Глава 4. Формирование сток-истоковых областей при 1 атм, соответственно. В источнике имплантера декаборан сублимируется при низком давлении, и его пары вводятся в ионизационную камеру, где под воздействием пучка электронов определенной энергии происходит ионизация В10Н|4. Энергия электронного пучка оптимизируется с тем, чтобы не допустить фрагментации молекул декаборана. В работе [92] получен разброс массового числа ионов в пределах 107— 124. На рис.4.65 [92] представлены распределения концентрации бора после ионной имплантации В+, Рис. 4.6б7~Концёнтрационные профили рас-- BF2+ и ВюН14+ с энергией 5 кэВ и пределения атомов бора по глубине, полученные Дозой 1*10 , Г10 и 1*10 см , со- ВИМС-методом. Эффективные значения энер- ответственно. Как видно, глубина гии имплантируемых ионов бора при имплан- распределения атомов бора изменя- тации ионов BF2+ и В10Н14+, а также их эффек- ется в соответствии с энергией, при- тивные дозы (относительно В) были примерно ходящейся на 1 атом бора в каждом одинаковыми из ИСТОчников примеси. Отсутствие аномального «хвоста» у распределения, полученного с использованием В10Н14, указывает на незначительность фрагментации молекул. Кроме того, можно отметить близкие значения концентрации бора в максимуме распределений, несмотря на 10-кратное различие в дозе имплантации ионов В10Н]4 и BF2. На рис.4.66 показано, что при использовании одинаковых эффективной дозы и энергии ионов бора при имплантации BF2 и В10Н14 концентрационные профили практически не различаются. Это достигается при имплантации декаборана с Е = 10 кэВ и имплантации BF2 с Е= 5 кэВ (с близкими значениями эффективной энергии ионов бора, равными 10 • 11/124 = 0,89 кэВ и 5 ■ 11/49 = 1,12 кэВ), соответственно [93]. Приведенные результаты показывают, что при использовании имплантации В10Н14 энергия имплантируемых ионов бора в 10 раз меньше, а плотность бора в кремнии в 10 раз больше, чем при ионной имплантации элементарного бора. На рис.4.67 представлены распределения атомов бора при имплантации BF2 (Е = 5 кэВ) и декаборана (Е = 5 и 10 кэВ) после быстрого отжига RTA при температуре 900°С и 1000°С в течение 10 с. После отжига при температуре 900 °С в случаях имплантации ионов В10Н14 с энергией 10 кэВ, а ионов BF2 с энергией 5 кэВ наблюдается влияние TED-эффекта в области «хвоста» концентрационного распределения (рис. 4.67 а, б). При снижении энергии ионов В,0Н14 до 5 кэВ TED- эффект оказывается полностью подавленным вследствие быстрой релаксации избыточной концентрации межузельных атомов на поверхности кремния благодаря малому значению проекции пробега ионов Rp (рис. 4.67#). Кроме того, необходимо отметить, что при температуре 1000°С в области концентраций выше 1-Ю19 см3 бор диффундирует глубже в случае имплантации ионов В10Н14, чем BF2, в то время как распределения концентрации в «хвосте» распределения в обоих случаях со- Ю20Е I ' ■ » I » ■ ВюН14+'10кэв,1е13/см2 BF2+: 5кэв,1е14/см2 0 20 40 60 80 100 Глубина (нм)
4.3. Ионно-пучковая имплантация 26Tjjj 40 60 Глубина (нм) 10*' о -J01 S101 & в I \чч 1 \ \ f Vltd4 i V : Ч ,Л,М0кэВ : 1Е13см2 : -безЮА ; -900*С, Юсек „ -1ОООвС,10сек: Wn~ / i , ,, 100 100 ^ 3.0 g 2.5 2.0 б 1.5 1.0 0.5 -#-В10Н14+*5кэВ ,1в13/|м 4оН14^ЮкэВ,1в13/|м -OBF2+:5k3B %1в14/ш2 RTA-Юсек JL 900 950 1000 1050 Температура термообработки (°с) Рис.4.68.Изменение поверхностного сопротивления слоев, имплантированных ионами В10Н|4 и BF2+ в зависимости от температуры RTA-процесса впадают (рис. 4.67я, б). Указанное различие в распределении концентраций обусловлено тем, что при отжиге после имплантации BF2 происходит обратная диффузия бора, благодаря миграции фтора и бора к поверхности кремния [92, 96, 97]. Вследствие этого коэффициент эффективности легирования кремния, равный отношению плотности атомов бора после отжига (Т= 1000 °С) к плотности атомов непосредственно после имплантации, составляет при имплантации BF2 (5 кэВ) 72%, а при имплантации В10Н14 (10 кэВ) - 96% [92]. Таким образом, в случае имплантации ионов В10Н14 формируется концентрационный профиль с крутым фронтом, что благоприятно отражается на снижении последовательного сопротивления сток- истоковых областей МОПТ. На рис.4.68 представлены значения поверхностного сопротивления слоев после Рис. 4.67. Концентрационные профили распределения атомов бора по глубине после проведения быстрого отжига в течение 10 с при 900 °С (сплошные кривые) отжига в диапазоне температур 900-1050°С. и при 1000 °С (штрихпунктирные кри- Несмотря на то, что концентрационные рас- вые): пределения непосредственно после имплан- (а) - случай имплантации BF2+ (5кэВ); тации ионов BF2 (5 кэВ) и В10Н14 (10 кэВ), (б)-случайимплантацииВ10Н14+ (10кэВ); идентичны (см. рис. 4.66), поверхностное (в) - случай имплантации В10Н14+ (5 кэВ) сопротивление слоев после отжига в случае имплантации В10Н14 ниже, чем при имплантации BF2. Это обусловлено, как сказано выше, снижением плотности электрически активных атомов бора из-за его миграции к поверхности кремния в присутствии фтора. Взаимосвязь между поверхностным сопротивлением р^ и глубиной залегания /?-я-перехода Xj (на уровне N= 3 • 1017 см3), сформированных ионной имплантацией
(Об8 Глава 4. Формирование сток-истоковых областей Табл. 4.6. Длины пробега атомов бора (с кинетической энергией 4,48 кэВ) и сопутствующих атомов при имплантации ионов молекул BF2, BSi2, BSi, BGe2 и BGe. Молекула BF2 BSi2 BSi2 BGe2 BGe Ускоряющее поле(кВ) 20 27.25 15.9 65 35 Элемент В F В Si В Si В Ge В Ge Отношение масс 11/49 19/49 11/67 28/67 11/39 28/39 11/59 74/159 11/85 74/85 Энергия (кэВ) 4,48 7,75 4,47 11,4 4,48 11,4 4,48 30,25 4,48 30,9 Длина пробега (нм) 201 187 201 209 201 209 201 280 201 285 D 5.0 4.0 h х 30 н о Он 8 2.0 Б 1.0 X « 0.0 с о 0В1ОН|4+:5кэВ, 1е13/см2 О BR+:5k3B, 1е14/см2 900°С 950°С 900°С Q 950°с 1000°С Концентрация: Зе17/см3\ 1 I : и 20 80 100 BF2 и В10Н14, представлена на рис. 4.69 [92]. В случае ионной имплантации декаборана поверхностное сопротивление слоев и глубина залегания р-п- переходов ниже, чем при имплантации ионов BF2, и достигает 2,7 кОм/П при Xj= 27 нм и 1,5 кОм/П при Xj= 39 нм. Более крутой наклон зависимости ps(X) Для случая BF2 указывает на слабую зависимость Xj от температуры отжига, что связано с влиянием ускоренной нестационарной диффузии (TED-эффектом). Поэтому возможность формирования мелкозалегаю- щих сток-истоковых слоев при имплантации BF2 ограничена. Результаты использования декаборана при изготовлении поверхностно-канальных /7-МОПТ с длиной затвора в диапазоне 0,3—0,1 мкм приведены в работе [92]. Следует отметить, что помимо высокомолекулярного декаборана для формирования мелкозалегающих слоев /?-типа, исследовалась возможность использования более тяжелых, чем BF2, ионов других галогенидов бора, таких как ВВг2 и ВС12 [98], а также ионов соединений бора с кремнием и германием [86] — см. табл. 4.6. Однако указанные соединения пока не нашли практического применения либо из-за проблем подготовки мишеней для источника ионов (для B-Si, B-Ge), либо из-за повышенного тока утечки /?-я-переходов (для ВС12, ВВг2). 40 60 Глубина (нм) Рис. 4.69. Взаимосвязь между поверхностным сопротивлением и глубиной залегания р-п- перехода для слоев, имплантированных ионами В|0Н,4 или BFJ (концентрация доноров в канале равна 3 • 10|7см~3) 4.3.9. Влияние последовательности термических операций на параметры имплантированных слоев При использовании процесса быстрого термического отжига имплантированных слоев необходимо учитывать влияние термических операций, выполняемых до или
4.3. Ионно-пучковая имплантация 26ST после активационного отжига (в частности, операций оплавления борофосфорного стекла для сглаживанрш рельефа поверхности кристалла), на глубину диффузии и поверхностное сопротивление. Это связано с тем, что в зависимости от соотношения температуры и длительности термических операций (особенно активационного отжига последней термообработки) может происходить растворение или образование примесных кластеров и преципитатов в имплантированном слое, вследствие чего может изменяться степень электрической активации примеси и глубина залегания /?-я-перехода. В работе [99] исследована зависимость параметров /?+-слоев от последовательности выполнения операций активационного отжига RTA-методом и оплавления БФСС в горизонтальной печи. Формирование р+- слоев осуществлялось имплантацией ионов BF2+ (Е = 20 кэВ, D = 2-1015 см2) в монокристаллический или аморфизированный ионами мышьяка или германия кремний (Е= 45 кэВ, D = 3-Ю14 см-2) через слой Si02 толщиной 70 А Рекристаллизационный отжиг проводили при температуре 600°С в течение 20 с, активацию легирующей примеси — при температуре 1000°С в течение 10 с, а оплавление БФСС — при 850°С в течение 40 мин. На рис. 4.70 представлены распределения концентрации бора в кремнии (без аморфизирующей имплантации), полученные ВИМС-методом. Как видно, глубина распределения примеси при проведении активационного быстрого термоотжига (RTA) после процесса оплавления БФСС в печи меньше, чем при обычно выполняемой последовательности. На рис. 4.71 представлены распределения кон- Рис. 4.70.Полученные с помощью ВИМС Рис. 4.71. Полученные зондовым методом концентрационные профили распреде- измерения сопротивления растекания (SRP) ления бора по глубине для случая им- концентрационные профили распределения плантации BF2+ в монокристаллический по глубине активных атомов бора для случая кремний (20 кэВ, 2-Ю15 см2) для различ- имплантации ионов В F2+ в монокристалл иче- ных режимов постимплантационной тер- ский кремний при различных постимпланта- мообработки: в печи FA (850 °С, 40 мин); ционных термообработках: RTA — в быстром RTA - в быстром режиме (1000 °С, 10 с); режиме (1000 °С, 10 с); FA - в печи (850 °С, FA с последующей RTA-обработкой; RTA 40 мин)+ RTA; RTA+ FA с последующей FA-обработкой
270 Глава 4. Формирование сток-истоковых областей центрации активированной примеси в /?+-слое, полученной методом сопротивления растекания. Глубина залегания /?-я-перехода слоя, полученного отжигом в последовательности (печь + RTA), меньше, чем в последовательности (RTA + печь), на 0,038 мкм. Подобное явление наблюдается и при использовании предварительной аморфизации кремния ионами германия. Глубина залегания /ья-переходов (на уровне N= 1 • 1017 см3) в слоях, полученных имплантацией ионов BF2+ и Ge+ + BF2+, составила, соответственно, 0,175 и 0,19 мкм при отжиге с последовательностью (печь + RTA) и 0,137 и 0,158 мкм при отжиге (RTA + печь). Причина наблюдаемого различия в глубине диффузии бора связана с тем, что в данном случае условия первой термообработки определяют полноту отжига имплантационных дефектов. Моделирование процессов диффузии с учетом влияния точечных дефектов в кремнии, созданных ионной имплантацией [100], показало, что при термообработке в печи 850 °С, 40 мин отжиг дефектов происходит более эффективно, чем при 1000°С в течение 10 с. Вследствие этого влияние остаточных дефектов на диффузию бора при выполнении активационного отжига, после оплавления БФСС менее значимо, чем при обратной последовательности выполнения термообработок. В табл. 4.7 представлены результаты измерений поверхностного сопротивления слоев, эффективной дозы имплантированных ионов (% от общей дозы) и степени активации примеси, полученных с использованием методов ВИМС и сопротивления растекания. Относительная доля эффективной дозы определяется отношением плотности примеси в кремнии, измеренной ВИМС-методом, к исходной дозе имплантации, измеренной счетчиком ионов (D = 2-Ю15 см2). Так как приблизительно 50% имплантированной дозы примеси задерживается в окисле и удаляется при стравливании окисла, то приведенные в табл. 4.7 процент эффективной дозы ионов, имплантированной в кремний, и степень активации относительно малы. Как видно из табл. 4.7, образцы, полученные с использованием предварительной аморфизации кремния ионами мышьяка, характеризуются самым высоким поверхностным сопротивлением сток-истоковых областей. Поверхностное сопротивление слоев, отжиг которых выполнен в печи, имеет более высокое значение, чем слоев, отожженных в установке RTA. Слои, изготовленные с предварительной аморфизацией ионами мышьяка и имеющие практически такую же эффективную дозу имплантированных ионов, как и у слоев, полученных другими методами, характеризуются значительно меньшей степенью активации примеси вследствие частичной компенсации акцепторной проводимости [101]. Табл. 4.7. Усредненные величины поверхностного удельного сопротивления, измеренные 4-зондовым методом, и процентное содержание бора среди остаточных примесей, позволившие оценить процентную долю активированных атомов бора Термообработка Без термообработки FA RTA RTA + FA FA + RTA Поверхностное сопротивление (Ом/П) BF2 - 296 136 176 127 As + BF? 511 420 244 204 Ge + BF? 238 131 158 122 Содержание бора (%) SIMS BF2 48 33 46 38 41 As + BF7 50 35 37 39 45 Ge + BF2 51 33 39 35 41 Доля активных атомов бора (%) ASR BF2 - — 40 26 39 As + BF2 - _ 12 21 22 Ge + BF, — 40 32 39 1
4.3. Ионно-пучковая имплантация 1ПI Табл. 4.8. Плотность тока утечки (нА/см2) р+-п -переходов истока-стока при напряжении обратного смещения VR = 5 В (А = 500 х 500 мкм2) Термообработка FA — в печи RTA — быстрый термоотжиг RTA + FA FA + RTA BF7 ЗЛ 5,5 4,9 2,8 As + FB7 22 94 27 19 Ge + BF2 5,4 8,5 6,8 3,3 Слои, изготовленные с предварительной аморфизацией ионами германия, имеют наивысшую степень активации примеси, что показано также в работах [101,102]. Также видно, что несмотря на меньшую глубину залегания, значения поверхностного сопротивления слоев при термообработке (печь + RTA) ниже, чем в случае (RTA + печь), на 20—30%, а поверхностная концентрация активных носителей выше на полпорядка. Этот результат объясняется увеличением степени активации примеси благодаря более высокой финальной температуре при термообработке (печь + RTA). В табл. 4.8 приводятся результаты измерений тока утечки диодов (А = 500 х 500 мкм2) при обратном напряжении смещения 5 В. Диоды, полученные как с использованием, так и без предварительной аморфизации ионами Ge+, имеют удовлетворительный уровень тока утечки. При этом ток утечки образцов, изготовленных с использованием термообработки с последовательностью (печь + RTA), примерно вдвое ниже, чем при последовательности (RTA + печь). Как показали исследования структурных нарушений в кремнии методом просвечивающей электронной микроскопии, это объясняется меньшей плотностью микродефектов. 4.3.10. Влияние остаточных имплантационных дефектов на характеристики субмикронных МОПТ Процесс отжига имплантационных дефектов в сток-истоковых областях имеет особенности, связанные с характером эпитаксиальной рекристаллизации на границе окна под легирование. При имплантации ионов мышьяка с энергией 50 кэВ и дозой 3 • 1015 см2 аморфизация кремния происходит до глубины ~ 400 А с вертикальной границей по периметру сток-истоковых областей. После постим- плантационного быстрого отжига в имплантированном слое наблюдаются EOR- дефекты вблизи исходной границы аморфизации. Такие дефекты не приводят к деградации /?-я-переходов, так как они располагаются в пределах сильнолегированной области слоя. При создании сток-истоковых областей ^-канального МОПТ (BF2, 25 кэВ, 3 • 10 см2) граница аморфизированного слоя по периметру окна, в отличие от случая имплантации мышьяка, наклонена к поверхности кремниевой пластины из-за латерального рассеяния ионов на расстояние ~ 200 А Вследствие этого рекристаллизация аморфизированного слоя по периметру окна будет происходить по кристаллографическим плоскостям (100), (110) и (111) с различной скоростью. Это приводит к формированию дефектов кристаллической структуры — дефектов упаковки и дислокаций — вдоль края окна [103]. Сформированные /?+я-переходы (1000°С, 10 с) имеют глубину залегания под спейсером менее 1000 А. Однако в данном слу-
flfi72 Глава 4. Формирование сток-истоковых областей мэ 0.3 мкм 3 3 мкм (/_0.3мкм 3 мкм 0.6 мкм W. = 15 мкм £ 0.2 0.4 0.6 0.8 1 Напряжение на подложке (В) Рис. 4.72. Зависимости тока стока в выключенном состоянии (/off) от напряжения смещения подложки (Ksub) в случае р-МОПТ (Lch = 0,3 мкм; 0,6 мкм; 3 мкм и Wch = 15 мкм) при Vd = -2,5 В для следующих групп образцов: А — при дозе имплантации BF2+ D = 110,5см2; В — при D = 310,5см2 с последующей быстрой термообработкой (1000 °С, 10 с); С — при D = 3-10,5см2 с последующей двухэтапной термообработкой (1050 °С, 10 с и 850 °С, 30 мин) 12 „10 ^8 AS я 6 1 2 Q „|||П|||| mmniii-i- niMi;--i ■- иг i r ги - iir rrr и i г i r i 8 • 9 ' e ° о о о A » Экспериментальные w „ данные: » О П *jp -карман " * О 0 /П-карман я Расчет: XL • XfVVp -карман * -» *- ^- - • •» ■ - -*■■ - i.S/D(?C)100010501050 10501050 1050 1050 2D1(*C)'700 700 700 700 700 700 850 З.Терм.обраб.ЭДсек 20сек2О ек 20сек20сек 20сек30мин 4|/1х1015 нет нет 0.5 1 2.5 1 нет |/|-окно ~ ~ п,Р ГЦ) Пф р Рис. 4.73. Распределения величин тока утечки 4ак п+-р- и /r-я-переходов сток ф)/истоковых (S) областей в зависимости от: 1) температуры проведения быстрой термообработки (RTA) S/D-областей; 2) температуры термообработки после нанесения 1-го диэлектрического слоя (D1); 3) продолжительности термообработок (20 или 30 с); 4) проведения и дозы имплантации BF2+ во вскрытое контактное окно. Можно видеть, что в случае п+-р-переходов их ток утечки намного существеннее возрастает с увеличением дозы повторной имплантации BF2+ через контактные окна чае дефекты, созданные в процессе рекристаллизации по периметру сток- истоковых областей, располагаются в области пространственного заряда обратносмещенного /?-я-перехода, вызывая рост тока утечки. На рис.4.72 представлены зависимости тока утечки 10//р-МОПТ от напряжения на подложке Vmb при напряжении на стоке Vd = -2,5 В [103]. Для образцов группы С, у которых длина проекции пробега R ионов составляла -20% от глубины залегания /?-я-перехода^, значение Ioff не превышает 1 пА/мкм, значительно возрастает при уменьшении длины канала, но уменьшается при увеличении напряжения на подложке. Эти зависимости объясняются влиянием диффузионного тока истокового /?-я-перехода. Для образцов группы А, имеющих меньшую глубину залегания /?-я-перехода Xj(D = 1-1015 см-2), или группы В с промежуточным значением Xj, ток утечки Ioff значительно возрастает; при этом его зависимость от длины канала становится слабой, а от Vsub — сильной. Это объясняется увеличением влияния дефектов рекристаллизации на ток утечки стокового /ь#-перехода. Таким образом, учет влияния бокового рассеяния ионов при имплантации ионов в сток-истоковые области, приводящего к образованию дефектов рекристаллизации по периметру окна легирования, и оптимизация режима перераспределения имплантированной примеси позволяют обеспечить приемлемый уровень тока утечки /?-МОПТ. Увеличение тока утечки стокового перехода я-канального МОПТ может быть связано с иногда используемым методом безмасочного ионного легирования сток-истоковых контактов /7-канального транзистора. Выявлены две причины деградации ^-переходов
4.3. Ионно-пучковая имплантация 273 BFJ [103]. Первая связана с возникнове нием протяженных дефектов в п+ слое при имплантации ионов (Е= 25 кэВ, D = 2,5-1015 см2) на глубине ~0,1 мкм. Вторая причина связана с перекомпенсацией мышьяка бором в «хвосте» концентрационного распределения я+-слоев и соответствующим уменьшением глубины залегания /?-я-перехода (сближением области пространственного заряда /ья-перехода и области имплантаци- онных дефектов). Рис. 4.73 иллюстри- Рис. 4.74. Влияние имплантации ионов BF2+ в 140, 120 100 80 60 40 20 Г 6 1 О г о © 1 i i - о • 1 , о р* яс о о * * , \ Л „1 Доза(10,5см- Вращение нет нет 0.5 нет 1 нет 1 есть 2.5 нет рует влияние указанных причин на ток контактные окна на однородность и величину утечки ^-«-переходов. В ^-областях сопротивления контактов < J) к нижележащим , „ областям /?+-типа и я-типа. Применение техни- дефекты, созданные имплантацией ки вращения при имплантации не оказало за- ионов BF+ при максимальной дозе метного ВЛИЯНия на 7?, 2,5-1015 см-2, приводят к увеличению тока утечки /?+-я-перехода на порядок. При таком значении дозы ионов BF2 ток утечки я+-/ьпереходов увеличивается на несколько порядков. Для предотвращения такого сильного повышения тока утечки в работе [103] предложено производить дополнительную имплантацию ионов мышьяка в я+-сток-истоковые области с энергией 100 кэВ (Z)=210,3cm"2) или 75 кэВ (D= 1-1014 см2). Для предотвращения деградации /?+-я-переходов необходимо снизить дозу ионов BF2 при безмасочном легировании контактов до 51014 см2. Как видно на рис. 4.73 и 4.74, это обеспечивает снижение тока утечки р+-п- переходов и в то же время не приводит к возрастанию контактного сопротивления к/?+-областям. 4.3.1 I. Теневой эффект при ионной имплантации Ионная имплантация, используемая при изготовлении ИС, обычно выполняется с наклоном ионного пучка от 7 до 10° для того, чтобы исключить эффект каналирования. Однако теневой эффект, создаваемый затворным электродом при такой наклонной имплантации, приводит к различию распределения концентрации примеси в стоковых и истоковых областях МОПТ. Вследствие этого возникает асимметрия электрических характеристик субмикронных МОПТ при работе в прямом и обратном включении. Кроме того, теневой эффект дает значительный вклад в рассогласование характеристик рядом расположенных транзисторов. Степень асимметрии и рассогласования характеристик МОПТ снижается при имплантации с поворотом подложек последовательно на 90°и с 25%-ной ионной дозой в каждом из четырех положений [104]. Экспериментальное исследование влияния наклонной (7°) ионной имплантации — на ток стока Id, пороговое напряжение Vt, коэффициент усиления Ь, подпороговый ^-фактор и максимальный ток подложки Isub — выполнено в работах [105—107]. В работе [107] исследование проведено на р- и «-канальных транзисторах с
\2i Глава 4. Формирование сток-истоковых областей Рис. 4.75. Аксонометрические проекции для иллюстрации возможных направлений ионных пучков относительно поверхности пластины: а - п-МОПТ с LDD-областями и б- р-МОПТ с EPS (Pocket)-областями, изготавливаемых с помощью методов: асимметричной имплантации (под углом 7°) — случай А; симметричной имплантации (под углом 7° или 0°) - случаи В и С, соответственно. LDD = Light Doped Drain: слаболегированные области стока-истока; EPS = Efficient Punchthrough Stoper: дополнительно легированная область, эффективно препятствующая смыканию ОПЗ истока и стока. Штриховые кривые соответствуют концентрационным профилям распределения легирующей примеси в случае имплантации под углом 0°, что приводит к образованию областей, глубина которых оказывается примерно в три раза большей (из-за эффекта каналирования), чем в случае имплантации под углом 7° длиной затвора 0,5 мкм, толщиной подзатворного окисла 10 нм с LDD-областями в «-канальном МОПТ и с «-pocket-областями в /^-канальном транзисторе. Формирование LDD- и pocket-областей осуществляли имплантацией ионов фосфора с энергией Е = 40 кэВ при дозе D = 21013 см2 и Е = 130 кэВ, D = 3,2-1013 см-2. Имплантация вы- полняласьсразличнымивариантами наклона пучка ионов по отношению к плоскости пластины (рис. 4.75). В случаях А и В имплантация выполнялась с отклонением пучка ионов от нормали к подложке под углом 7°. При этом в случае А легирование приводило к асимметричному легированию сток-истоковых областей, а в случае В — к симметричному. В случае С имплантация осуществлялась пучком ионов, направленным перпендикулярно поверхности подложки. В случае D (рис. 4.76) имплантация производилась пучком ионов с отклонением от нормали в 7°, при этом обеспечивалась симметрия легирования за счет последовательного поворота подложки на 90° и имплантации 1/4 общей дозы в каждом положении. Спейсер имел ширину 0,15 мкм, сток-истоковые области легировали As и BF2 (Xj■ = 0,20 и 0,27 мкм, соответственно) с обеспечением симметричности по методу «D». На рис.4.76 представлены сечения р- и п- канальных МДПТ, изготовленных с использованием вышеуказанных вариантов имплантации. Глубина залегания LDD-областей составляла 0,15 мкм, ^-pocket-областей — 0,25 мкм в случае 7°-имплантации, и соответственно, 0,25 и 0,8 мкм — при имплантации нормально ориентированным пучком.
4.3. Ионно-пучковая имплантация 275и| п-МОПТ р-МОПТ Подзатворный окисел Исток/сток / V| "-Si* |V к(\ I затвор I ^\ст Спейсер Подзатворный окисел \Сток/исток Исток/сток / п+ Щ/Область канала,УгГд n+ -11 ) легированная бором Их1017смэ • МхЮ^м*3 " L (Асимметричная,7°-1/ьЮ0) p~Si(1x10t5cM~3)<j VI n-si* IV к f\ | затвор | ' \ Ci Спейсер \ Сток/исток -*-'-*& р* (Асимметричная,70-1/1-ЕР8) S а) г p-Si(1x1015CM*3) Подзатворный окисел Исток/сток / VI nsi* IV ,к/\ | затвор | ^\Ст( Спейсер \Сто к/исток Подзатворный окисел Исток/сток / п+ йШЮбласть канала,\ШМ п+ ' легированная бором *v 1хЮ17см'3 • НхЮ1бсм3 - Ъ (Симметричная,7^/1-ЬВ0) p-Si(1x1015cM'3)<j VI n-s' IV )к/\ | затвор ) /\Съ £ k~-—& р1 ^Спейсер \Сток/исток /^ \ V (Симметричная,7 -i/i-EPS) б) г p-Si(1xl015CM"3) Подзатворный окисел Исток VI п-** IV к/сток /\ | затвор [ ^ут( Спейсер \Сток/исток Подзатворный окисел п+ /п" /Область канала', легированная бором 1хЮ17см"3 al \tc\ П4 IxlO'W г. (Симметричная,0°-1/ьШО p-Si<1x1015cM"3)' Исток/сток ^Спейсер ^Сток/исгок А р^_ в) <> (Симметричная,0°-1/1-ЕР8) <> n-карман (1хЮ1бсм~3 ) p-Si(1x1015cM3) Подзатворный окисел Исток/сток / VI -** IV .к/Ч I затвоР I Х\Ст Спейсер Подзатворный окисел п*.т \ Сток/исток Г1хЮ17см"3 • 1хЮ16СМ*Э ■ npA 3NpA N г. Исток/сток/ VI "-s* IV ж/\ | затвор | х \Ст( р+ Спейсер \Сток/исток Р ^ р+ S NPA 3NpA Np P>SI(1x1015cm'3)^ г) г p>Si(1x1015cM3) Рис. 4.76. Схематичные поперечные сечения LDD-я-МОПТ и Pocket-/?-M011T- изготовляемых с помощью методов: (а) асимметричной 7°-имплантации (случай А); (б) симметричной 7 - имплантации (случай В); (в) симметричной 0°-имплантации (случай С; (г) симметричной 4-позиционной 7° - имплантации (случаи D). Np - обозначает общую дозу имплантации фосфора (см. вариант (г))
(076 Глава 4. Формирование сток-истоковых областей Следует отметить следующие особенности физической структуры транзисторов, изготовленных с применением имплантации примеси в LDD- и pocket-области по вариантам A-D. 1. Одна из LDD- областей в варианте А недостаточно перекрывается затвором, что приводит к увеличению паразитного последовательного сопротивления транзистора. 2. Вследствие каналирования ионов глубина залегания LDD- и pocket- РИ1^:^^^ областей в варианте С в три раза больше, чем при имплантации с наклоном пучка ионов 7°. 3. Эффективная длина канала в LDD-транзисторе, изготовленном с применением имплантации по варианту D, меньше, чем в случае вариантов В и С, на величину удвоенного бокового распространения примеси, имплантированной под углом 7°с 1/4 полной дозы ионов. Оценку влияния этих особенностей физической структуры на характеристики транзисторов целесообразно производить на приборах, топологические варианты которых схематично изображены на рис.4.77. Транзистор с обычной топологией тестовых структур для исследования те невого эффекта и соответствующие им электрические коммутационные схемы измерения I в.а в.О 7.5 7.0 6.6 6.0 \ л-МОПТ L Vd=Vg=5,0B \ в Топология: „ 'dFl IdR|IdF2 I -линейная д 0 т ; -гребенчатая х/ О ■ - ■% й ¥ -6.5 < -в.о S ~ -5,5 5 -Z -5.0 и. * р-МОПТ 'dFl 'dRlIdF2* ' Топология- -линейная д о • \ iuiiu/iuium. .Гребенчатая у q ш 7Vd=Vg=5,OB - 1 J ; #ш1№(рйш з а) (В) в) i асимметрия рассогласование 1<Ш /IdFl 'dF2 /'dFl ту>пг.пг>гып- -линейная о • Юпология. б « 2 V* *** V* б) (А| р-МОПТ асимметрия рассошсоошие] IdRl /IjFI I<1F2 /'dFl ц\ *nj цч *n*f г) Рис.4.78. Экспериментальные данные для токов стока Id (при \V^ = \V^ = 5,0 В), иллюстрирующие асимметрию (7dRi//dFi) и рассогласование (/dF2//dFi) Для я-МОПТ (см. соответственно (а) и (б)) и для/7-МОПТ (см. соответственно (в) и (г)). Здесь (7dR1) — ток стока в реверс- ном режиме включения (т. е. при перемене местами истока (S) и стока (D)); /dF1 и /dF2 — токи стока в режиме прямого (нормального) включения в Dx и D2 МОПТ, где D]2 обозначают стоки, расположенные по разные стороны от центрального истока (S) в тестовых приборных структурах (см. рис. 4.77)
4.3. Ионно-пучковая имплантация 27' >~ к и. а. п-МОПТ } V =0,76В асимметрия рассогласоание „ vlRIA'tri v„,/vin Топология: ™fi"™™ ° 2 гребенчатая q Q позволяет оценить асимметрию параметров рядом расположенных приборов путем измерения характеристик в нормальном DFj и инверсном DR, режимах включений. Рассогласование параметров приборов оценивается по различию характеристик двух транзисторов DFj и DF2 в прямом включении. На рис. 4.78 представлены экспериментальные результаты оценки асимметрии и рассогласования параметров р- и «-канальных транзисторов. Измерения характеристик приборов осуществлялись на МОПТ с обычной (рис.4.77а) и гребенчатой (рис. 4.776) топологией. Результаты измерений, выполненные в работе [107], показали следующее: 1. Ток стока при нормальном включении «-канального транзистора с имплантацией по варианту А, значительно ниже, чем ток при инверсном включении из-за повышенного сопротивления ис- токовой области МОПТ. «-канальные транзисторы с топологией гребенчатого типа характеризуются лучшей симметрией и согласованностью значений тока стока, чем транзисторы с обычной топологией (рис.4.78я, б). /ьМОПТ со скрытым каналом нечувствительны к методу имплантации примеси в Pocket-области (рис.4.78#, г). В целом, имплантация по варианту D обеспечивает хорошую симметрию и согласованность характеристик как/?- так и «-канальных транзисторов с топологией обоих типов. 2. Сравнение транзисторов с различными вариантами имплантации по величине порогового напряжения Vt показало хороший уровень симметрии и согласования значений для вариантов имплантации В, С и D как для «-, так и для /^-канальных транзисторов (рис.4.79л, б), так как пороговое напряжение в большей степени определяется концентрацией легирующей примеси в канале. Однако в /7-канальных транзисторах с имплантацией по варианту А наблюдается значительное рассогласование по пороговому напряжению даже для случая гребенчатой топологии, поскольку асимметрия легирования pocket-областей влияет на распределение примеси в скрытом канале. 3. Асимметрия и рассогласование значений под- порогового наклона транзисторов практически не зависят от метода имплантации фосфора в LDD-и pocket-области, так как они зависят, как и в случае порогового напряжения, от профиля легирования области канала. Таким образом, наиболее предпочтительным методом имплантации примеси в LDD- и pocket- i. j oaj.T oojj na|j- a) (А) (В) (С) (D) L n-МОПТ асимметрия рассогласоание V,RI /V.n V,I2 /V.FI _ линейная n » L V = -0,68В Топалогия: гребенчатая g | sr £1.04 > 0.08 * «I И Ц 6) (A) <B> (C) (D) Рис. 4.79. Экспериментальные данные, иллюстрирующие асимметрию (Kri/^fi) и рассогласование (Vx¥2/ViVX) порогового напряжения для я-МОПТ (а) идля/?-МОПТ(б)
^78 Глава 4. Формирование сток-истоковых областей областях является имплантация с наклоном пучка ионов 7° с поворотом подложек последовательно на 90° и 25%-ной дозой в каждом из четырех положений. При некоторых условиях транзисторы с имплантацией по вариантам В и С имеют приемлемые характеристики, однако первые требуют одинаковой топологической ориентации МОПТ на пластине, а вторые - не обеспечивают удовлетворительные результаты по напряжению смыкания в глубокосубмикронных транзисторах. 4.4. Ионно-плазменная имплантация При формировании сток-истоковых областей МОПТ методом ионной импплан- тации необходимо минимизировать влияние эффектов каналирования и быстрой нестационарной диффузии, и кроме того, необходимо обеспечить снижение плотности структурных дефектов в кремнии, вводимых в процессе имплантации. Уменьшение глубины залегания сток-истоковых областей при изготовлении глубокосубмикронных МОПТ с использованием имплантации ионов низких энергий ограничено значительным снижением производительности имплантера, возрастанием тока утечки ^-«-переходов и снижением степени электрической активации примеси. Альтернативными методами создания мелкозалегающих ^-«-переходов являются быстрая диффузия из газовой фазы (RYD — Rapid Vapor Doping), быстрая термическая диффузия из твердых источников (SPD — Solid Phase Diffusion), лазерное легирование и ионно-плазменное легирование (РШ — Plasma Immersion Ion Implantation) (рис. 4.80) [108]. С использованием методов RVD и RTD принципиально возможно получение мелкозалегающих слоев с характеристиками, сравнимыми, а по некоторым параметрам и превышающими характеристики слоев, получаемых низкоэнергетической ионной имплантацией. Однако применение этих методов приводит к усложнению технологического маршрута изготовления КМОП-приборов. Методы лазерного легирования [109-111] позволяют обеспечить высокую степень активации легирующих примесей при малой глубине залегания /ья-перехода. Однако из-за малого диаметра лазерно- Рис. 4.80. Опубликованные значения глубины залегания (X) р+-п- го луча эти методы переходов. Приводятся наименьшие величины глубины залегания имеют низкую про- /?-я-переходов, определяемые методом масс-спектрометрии вто- изводительность. ричных ионов при фоновой концентрации 1018 см3 Кроме того пока SIMS-данные (NB = 10'8 см°) преимущества недостатки БЫСТРАЯ ТЕРМИЧЕСКАЯ ДИФФУЗИЯ (RTD) ПЛАЗМЕННОЕ ЛЕГИРОВАНИЕ (PD) ИМПЛАНТАЦИЯ ИОНОВ (П) ЛАЗЕРНОЕ ЛЕГИРОВАНИЕ (LD) -высокая активация] -наименьшие Xj -высокая производительность -простое оборудование -аппробированное оборудование -высокая активация -тщательная подготовка поверхности -ускореная нестационарная диффузия (TED) -низкая производительность -высокая себесто имость пластин. TED-эффект 0 25 50 75 100 ОПУБЛИКОВАННЫЕ ЗНАЧЕНИЯ Xj(hm)
4.4. Ионно-плазменная имплантация 279j|] отсутствуют данные о влиянии лазерного излучения на периферийную часть подзатворного окисла и на его характеристики надежности. Методы ионно-плазменной имплантации (РШ) и широко используемой ионно-пучковой имплантации, основанной на экстракции ионов, фокусировке, ускорении и сканировании ионного пучка, позволяют формировать мелко- залегающие слои сток-истоковых областей при снижении энергии Рис.4.81. Схема процесса плазменной иммер- ионов до единиц кэВ. Однако в сионной имплантации ионов (РШ), иллюстрирующая образование приэлектродной области пространственного заряда вокруг пластины с держателем, к которому приложено напряжение отрицательного смещения. Положительные ионы ускоряются в поперечном относительно этого слоя направлении и имплантируются в пластину сравнении с ионно-плазменными, ионно-пучковые имплантеры являются дорогостоящими, имеют низкую производительность и высокие затраты в расчете на одну пластину. Кроме того, метод ионно-плазменной имплантации позволяет выполнять последовательные процессы внедрения различных легирующих примесей в одном технологическом цикле простым переключением газа-источника. Эта особенность является перспективной для глубоко-субмикронной технологии, например, при выполнении операций предварительной аморфизации кремния или формирования сток-истоковых галоо- бластей. При ионно-плазменной имплантации, в отличие от ионно-пучковой, кремниевая пластина размещается в плазме, содержащей ионы легирующих примесей. На кремниевую пластину подается последовательность отрицательных импульсов (Vhjas = 1—10 к В), под воздействием которых у поверхности пластины образуется слой положительных ионов (ионная оболочка), в объеме которого эти ионы ускоряются и внедряются в кремний (рис.4.81) [ 108]. При этом отпадает необходимость в ускоряющей колонне, массепараторе, устройствах ионной оптики и системах сканирования. В отличие от ионно-пучковой имплантации, здесь имплантация осуществляется одновременно по всей площади кремниевой пластины; эффективность этого фактора растет при увеличении диаметра пластины. Частота следования импульсов отрицательного напряжения смещения подбирается таким образом, чтобы не допустить формирования значительного положительного заряда на поверхности кремниевой пластины и, таким образом, исключить повреждение подзатворного окисла. В промежутках между импульсами электроны из области квазинейтральной плазмы диффундируют к поверхности кремниевой пластины и нейтрализуют положительный заряд. Если частота следования импульсов напряжения смещения будет слишком высокой, нейтрализация заряда будет неполной, вследствие чего может произойти пробой подзатворного окисла.
080 Глава 4. Формирование сток-истоковых областей Конструктивно в установке ионно-плазменного легирования могут использоваться как реакторы с параллельными электродами и возбуждением плазмы высокочастотным или постоянным полем [112], так и реакторы с СВЧ-ЭЦР-ионными источниками. Последний вариант используется наиболее часто благодаря возможности создания плазмы с высокой плотностью ионов (~1010—1011 см3), более низкой энергией имплантации и более низким уровнем нежелательных примесей. 4.4.1. Ионно-плазменная имплантация бора источник МИКРОВОЛН (2,45ГГц, 1кВт) Турбонасос Устройство согласования ВВОД ДЛЯ ПОДАЧИ ИМПУЛЬСА Постоянные ВЫСОКОГО НАПРЯЖЕНИЯ "^г" Рис. 4.82. Конструкция микроволнового плазменного имплантера с многополюсной магнитной системой барабанного типа 0 0 fVJ i t i i I Y T ' i i i Время (5 мкс/деление) Напряжение (5 кВ/деление) ТОК (0,5 А/деление) Рис. 4.83. Типичная форма импульсов напряжения и тока (давление 50 мТорр; мощность микроволнового поля 600 Вт; частота следования импульсов / = 500 Гц; длительность импульсов t = 20 мкс) На рис. 4.82 схематически представлена конструкция СВЧ-реактора ионно-плазменного имплантера [113]. Конструкция реактора аналогична используемой для реактивно- ионного травления и плазмо- стимулированного осаждения. Реактор состоит из камеры с многополюсной системой постоянных магнитов, магнетронного СВЧ- генератора (2,45 ГГц), вакуумной и газораспределительной системами и высоковольтного импульсного генератора. Многополюсная система постоянных магнитов обеспечивает высокую радиальную однородность плотности ионов благодаря тому, что магнитное поле отражает электроны от стенок камеры. Типичные режимные параметры процесса ионно-плазменной имплантации бора представлены в табл. 4.9 [113]. На рис.4.83 [113] приведены осциллограммы приложенного импульса напряжения и тока электрода. Основная часть тока в цепи мишени связана с током ионов гелия и водорода и вторичной электронной эмиссией. Ток ионов бора (1В) во время импульса вычисляется из соотношения [114]: /.= DAq fttn J n (4.5) где А — площадь пластины, D — доза имплантации, q — заряд электрона, / — частота следования импульсов, / — длительность имплантации,
4.4. Ионно-плазменная имплантация 281 jm tp — длительность им- Табл. 4.9. Условия проведения процесса ионно-плазменной имплантации Условия имплантации Газ Скорость газового потока Давление Мощность микроволнового поля Амплитуда импульса смещения Частота подачи импульсов (f) Длительность импульса (tp) Продолжительность имплантации Значение 1%В2Н,вгелии(Не) 15см3/с 50 мТорр 600 Вт От-1до-10кВ 500 Гц 20 мкс 2-20 мин (в зависимости от дозы пульса. Как видно из осциллограммы, время нарастания напряжения составляет значительную часть длительности импульса. Это означает, что толщина слоя ионной оболочки постепенно увеличивается, достигая предельного значения, которое зависит от амплитуды и длительности импульса напряжения и давления в реакторе. Моделирование изменения толщины слоя ионной оболочки после подачи импульса напряжения на электрод было выполнено в работе [113]. На рис. 4.84, 4.85 [113] представлены расчетные и экспериментальные зависимости толщины слоя ионной оболочки от времени для различных значений амплитуды импульса и давления в реакторе. Знание динамики изменения толщины слоя ионной оболочки дает возможность определить распределение энергии ионов и плотность тока, а на основе полученных результатов рассчитать распределение ионов в кремниевой подложке. На рис. 4.86 [113] представлены распределения концентрации бора, гелия и водорода в кремнии в результате ионно-плазменной имплантации в режимах, указанных в табл. 4.9. Держатель Si-пластины: нержавеющая сталь, охлаждается водой; А = 45,24 см2. Дозы имплантации ионов бора, гелия и водорода, определенные ВИМС- методом, составляли 2-1015 см2,6,8* 1016 см2,4-1015 см2, соответственно. Как видно, концентрационный профиль бора характеризуется высокой поверхностной концентрацией, что обусловлено более широким распределением ионов по энергиям в сравнении с ионно-пучковой имплантацией. В процессе постимплантационного отжига ионы водорода и гелия быстро диффундируют к поверхности кремниевой пластины и десорбиру- ют благодаря высокому коэффициенту диффузии (при 1100°С коэффициенты диффузии бора, водорода и гелия в кремнии равны 1,5*1013 см2/с, 10~6 см2/с, 1,8*10"4 см2/с, соответ- 10 15 Время /(мкс) ственно). Кроме того, ни гелий, ни Рис.4.84. Динамика увеличения толщины при- водород не имеют примесных уров- электродного слоя пространственного заряда (у ней в запрещенной зоне кремния и мишени) в случае гелиевой плазмы. (Давление не являются электрически активны- гелия 100 мТорр; мощность микроволнового ми. При использовании в качестве поля 600 Вт)
Глава 4. Формирование сток-истоковых областей Давление гелия = 50 мТорр V = -5kB Х-МОДЕЛИРОВАНИЕ (PDP1) — -АНАЛИТИЧЕСКАЯ МОДЕЛЬ 10 15 20 Время /(с) Х10 Н-ДОЗа: 6x10 /cm' \6 t 2 В-доза; 2х1015/ст2 0.1 0.15 0.2 Глубина (мкм ) 0.25 Рис. 4.85. Сравнение результатов моделирования (по программе «PDP1») динамики развития слоя пространственного Рис. 4.86. Профили распределения по глубине концентрации водорода, гелия и бора в кремнии после инно-плазменной имплан- заряда (S(t)) с данными аналитической тации. Данные получены с помощью масс- модели - во время приложения импульса спектроскопии вторичных ионов. (Амплитуда отрицательного напряжения на пласти- импульсов смещения 10 кВ; продолжитель- не. (Давление гелия: 50 мТорр; амплитуда ность процесса имплантации 10 мин; дав- импульсов -5 кВ; продолжительность им- ление 50 мТорр; мощность микроволнового пульсов tp = 20 мкс) поля 600 Вт) газа-источника BF3 в кремниевую пластину имплантируются ионы фтора, наличие которого в поликремниевом затворе может приводить к деградации надежности подзатворного окисла. Однако плотность атомов фтора, остающихся в кремнии после постимплантационного отжига, в случае ионно-плазменной имплантации значительно меньше, чем при ионно-пучковой имплантации (рис. 4.87) [115]. Как известно, повышенное содержание фтора в кремнии приводит к снижению порогового напряжения МОПТ из-за усиления проникновения бора через окисел в подложку; к увеличению толщины подзатворного окисла (рис. 4.88); к умень- ^ ш» II:BF2(25kB;2.1015cm-2) 50 100 150 200 Глубина (нм) 30 60 90 Глубина (нм) Рис. 4.87. Профили распределения бора и фтора (полученные ВИМС-методом) для случаев ионно-плазменной (PLAD) и ионно-пучковой имплантации после быстрого термического отжига при 1000°С в течение 30 с
4.4. Ионно-плазменная имплантация 2 8 3 j| 100 60 *g, 80 s я s I 40 К О a 20 APLAD:BF3 4- II: В a II: BF2 О II: F+BF2 .,,,.* ,il. „In „I „I t 50 52 54 56 58 60 62 64 Толщина подзатворного окисла (А) <v 2 i о -1 p -2 о -3 I ю -4 Площадь р-МОП-конденса^ тора: 40290 мкм2 Тох = 4 нм J = 50 мА/см2 aPLADBF3 О II: BF2 о Н: F+BF2 + 11: В „„А I tut Л 1,1 1 10 100 Заряд перед пробоем, QBD (Кл/см2) имплантации BF2+ 1В+22 ДОЗА- 1,ЗЕ15см Рис. 4.89. Вейбулловские распределения Рис. 4.88. Распределение толщины под- случаев пробоя окисла в зависимости от затворного окисла (измеренной элек- накопленного перед пробоем заряда QBD трическим методом) на образцах после Для р-МОП структуры в случаях плазмен- ионно-плазменной и ионно-пучковой им- ного легирования (PLAD) с участием BF3 плантации. Увеличение толщины связыва- и ионно-пучкового легирования (II) с уча- ется с внедрением бора в окисный слой при стием BF2. В случае образцов, подвергнутых BF3-PLAD-HMromHTannH, вейбулловские QBD-характеристики оказываются схожими шению предельно допустимой плотно- с соответствующими зависимостями для сти инжектированного в окисел до про- образцов, подвергнутых имплантации бора боя заряда О-Лрис.4.89) [115]. (В " ">• Использование имплантации BF2 т-r приводит к ухудшению надежности подза- Помимо амплитуды и длительно- F J J творного окисного слоя из-за внедрения в сти импульса отрицательного напряже- него(ьТОГ)а ния смещения, основными факторами, определяющими дозу и глубину имплантации ионов, являются плотность и состав плазмы. Плотность плазмы зависит от давления в камере и от мощности, отбираемой плазмой. Эти параметры определяют температуру плазмы и, соответственно, процессы диссоциации молекул газа-источника и зарядовое состояние ионов. Эффективное ускоряющее напряжение определяется амплитудой и длительностью импульса напряжения смещения. Таким образом, _ . лл _ , Рис. 4.90. Профили распределения кон- в конечном счете, имплантируемая доза центрации бора по глубине (полученные определяется составом ионов приме- вИМС-методом) после ионно-плазменной си, параметрами импульса напряжения имплантации (PLAD) при различных напря- смещения и числом импульсов. жениях смещения мишени (Si-подложки): На рис. 4.90 [116] представлены кон- 1,0; 1,5; 2,0 и 5,0 кВ центрационные профили бора после ионно-плазменной имплантации с использованием BF3 в диапазоне значений напряжения смещения 1—5 кВ. Длительность имплантации подбиралась таким образом, чтобы в каждом из процессов доза была одинаковой. Как видно, с уве- IE+20 1Е+19 5кв PLAD 2кв PLAD 400 600 Глубина (А)
(084 Глава 4. Формирование сток-истоковых областей ^ 1E+I8 IE+I7 Ом/П ,4 0м/П ,3 Ом/D Ом/П Ом/П Ом/О £=СТ 400 600 800 Глубина (А) 140 120 ^ юо О 80 <л 60 ОС 40 20 °< V » -Юкв 3 5 10 15 20 Продолжительность имплантации (мин) Рис. 4.91. Профили распределения кон- Рис. 4.92. Поверхностное сопротивление центрации бора по глубине (полученные как функция продолжительности ионно- ВИМС-методом) после термоотжига в об- плазменной имплантации. (Напряжение им- разцах, легированных ионно-плазменной пульса смещения 10 кВ; давление 50 мТорр; имплантацией (PLAD) при напряжениях мощность микроволнового поля 600 Вт; тем- смещения Si-подложки 1 и 5 кВ пература термоотжига 1100 °С; продолжительность термоотжига 50 мин) личением амплитуды импульса напряжения глубина распределения примеси, определенная на уровне концентрации 2-1017 см3, растет от 44 до 90 нм. Это указывает на то, что ионы, в основном, имплантируются в объем кремния, а не только осаждаются на поверхности пластины. После отжига при температуре 950, 1000 и 1050°С в течение 30, 20 и 10 с, соответственно, глубина залегания /?-и-переходов составила 49—118 нм (рис.4.91). Такая медленная, в сравнении с ионно-пучковой имплантацией, диффузия бора связана как с малой проекцией пробега ионов при ионно-плазменной имплантации, так и со слабым проявлением эффекта быстрой нестационарной диффузии (TED) бора вследствие близости поверхности, являющейся стоком для межузель- ных атомов. На рис. 4.92 [113] изображена зависимость поверхностного сопротивления легированного слоя от длительности имплантации, которая подтверждает линейную зависимость набираемой дозы от времени. Следует отметить, что при ионно-плазменной имплантации из-за малой глубины внедрения ионов бора наблюдается значительное влияние окисления кремния на поверхностное сопротивление легиро- 1Е+22 1В+21 Ш+17 А: 0 ррт 02 -1030 А, 1580м/О В: 30 ррт 02 - 920 А, 150Ом/О С: 300 ррт 02 -1090 A, 1490m/D D: 10000рртО2- ИЗО А. ШОм/t^ 200 400 600 800 1000 1200 Глубина (А) Рис. 4.93. Профили распределения концен- ванного слоя после отжига, которое трации бора по глубине (полученные ВИМС- усиливается при снижении напря- методом) после ионно-плазменной импланта- жеНия смещения Vbias. Наблюдаемое ции и термообработки (1050 °С, 10 с) в атмосфере N2 с различным содержанием 02 явление связано с сегрегацией бора при окислении кремния. На рис. 4.93
4.4. Ионно-плазменная имплантация 28 5^ [116] изображены концентрационные профили бора после отжига при температуре 1050 °С, 10 с в азоте с различным содержанием кислорода ((0-г-10000 ррт). Как и в случае низкоэнергетичной ионно-пучковой имплантации существует оптимальное значение концентрации кислорода (~ 30 ррт), при котором достигается минимальное значение поверхностного сопротивления (р5 ~ 150 Ом/П) при минимальной глубине залегания /?-я-перехода (Xj * 920 А). Рис. 4.94. Профили распределения концентрации В сравнении с низкоэнергетичной бора по глубине (полученные ВИМС-методом) ионно-пучковой имплантацией ме- после ионно-плазменной (PLAD) и ионно- тод ионно-плазменного легирова- пучковой имплантации (на установке SHC-80). ния обеспечивает более низкое по- пР°фили окончательно сформировались после верхностное сопротивление слоев с теРмоот™ О050 °с>10 с)в атмосфере N2 незначительно (~10%) более глубоким распределением примеси и крутым концентрационным профилем (рис. 4.94) [116], благодаря чему достигается снижение поверхностного сопротивления сток-истоковых областей на > 25%. 4.4.2. Ионно-плазменная имплантация мышьяка и фосфора Ионно-плазменная имплантация фосфора и мышьяка проводится с использованием газообразных гидридов: более 5% РН3 (в Н2 или в Не) и 100%-ного AsH3. Исследование закономерностей диффузии фосфора и мышьяка после ионно- плазменной имплантации выявили значительное влияние предварительного низкотемпературного (350-600 °С) отжига и газа-разбавителя (Не или Н2) на поверхностное сопротивление и глубину диффузии после высокотемпературного активационного отжига. При ионно-плазменной имплантации РН3 неизбежная Табл. 4.10. Результаты и условия проведения процессов ионно-плазменной имплантации с применением РН3 и AsH3 в качестве легирующих элементов Образцы Н2РА H2NOP НЕРА HENOP НРЗ AsPA3 AsNOP3 AsNOPE7 Плазменный источник 5%РН3 5%РН3 5%РН3 5%РН3 100%РН3 100%AsH3 100%AsH3 100%AsH3 Энергия имплантации (кЭв) 5 5 5 5 5 10,5 10,5 7 Доза (1015 см2) 2,4 2,4 2,4 2,4 2,4 2,3 2,3 1,5 Газ- носитель н2 н2 Не Не Предварительная термообработка 350°С - 5 мин и 600°С - 60 с 350°С — 5 мин и 600°С - 60 с 900°С - 60 с Быстрый термоотжиг (RTA) 900°С - 6 с 900°С - 6 с 900°С - 6 с 900°С - 6 с 900°С - 6 с 1100°С-Зс 1100°С-Зс 1050°С - 5 с Глубина /?~я-пере- хода (нм) 58 38 60 28 42 - 88 75 60 vlE+22 о Ш+17 A:SHO80BF2+3k3B (7.8Е14В/СМ2) В: SHC-80 B+1.5 кэВ (9.5EI4 В/ см 2) С: 1 кв PLAD(8.6E14B/CM2) D:2kB PLAD(9.8B14B/Cm2) 400 600 800 Глубина (А) 1200
Глава 4. Формирование сток-истоковых областей р* ***** *4 *** Г * * г ■* HENOP *НЕРА « <* ш Ль*Л%Ы$Ь< ,« ,«.J 5 ю'" о а лд*А**йййоййа| I ]*• РНЗ (.'■■/ L * »» Г • У '•' HENOP ш h RTA: 90(fC «NOP * «я * ,Ь*666й6йййЛб6й1 40 60 80 100 120 Глубина(нм) а) 20 40 60 80 100 120 140 Q) Глубина(нм) ' 20 40 60 80 100 120 140 q\ Глубина(нм) ' Рис. 4.95. Профили распределения по глубине концентрации носителей в образцах после ионно-плазменной имплантации с использованием AsH3: (а) в атмосфере Не с предварительной термообработкой (образцы «НЕРА») и без нее (образцы «HENOP»); (б) в атмосфере Н2 с предварительной термообработкой (образцы «Н2РА») и без нее (образцы «H2NOP»); (в) образцы «HENOP», «H2NOP» и образцы, полученные с использованием ионно-плазменной имплантации РН3. Светлые метки относятся к концентрации дырок, темные — к концентрации электронов ю" здарвшга 25 125 150 имплантация ионов газа-разбавителя замедляет диффузию фосфора, причем в случае гелия сильнее, чем с водородом (рис.4.95, табл. 4.10) [117]. В процессе предварительного низкотемпературного отжига происходит обратная диффузия водорода и гелия, их влияние на диффузию фосфора снижается и поэтому глубина диффузии после такого отжига больше [117]. Влияние предварительного отжига и энергии ионов на поверхностное сопротивление слоев, легированных мышьяком, представлено в табл. 4.11 [117]. При ионно-плазменной имплантации мышьяка в среде 100%-ного AsH3 влия- Рис. 4.96. Профили распределения по глуби- ние предварительного отжига (900°С) не концентрации носителей (дырки—светлые также приводит к увеличению степени метки; электроны - темные метки) для образ- активации и глубины диффузии, но в цов после ионно-плазменной имплантации меньшей степени, чем в случае имплан- с предварительной (образцы «AsPA3») и без тацИи фосфора (рис. 4.96 [117]). предварительной термообработки (образцы Т/Г + A nop ^ Исследование характеристик гг-р- 3 диодов, полученных имплантацией фосфора, показало, что ток утечки диодов чувствителен к наличию привнесенных частиц на поверхности кремния, приводящих к локальному затенению имплантируемой области и образованию диодов Шоттки Al//?-Si. Дополнительный отжиг я+-/?-структур при 1050°С в течение 120 с (после удаления алюминия) приводил к латеральной диффузии фосфора, уменьшению и исчезновению диодов Шоттки и, соответственно, к снижению тока утечки с 10~5 до Ю-9 А/см2. Для п+-р- диодов, полученных имплантацией мышьяка, характерен диапазон тока утечки 50 75 100 Глубина (нм)
4.5. Сравнение методов ионно-плазменной и ионно-пучковой имплантации 28 Табл. 4.11. Влияние предварительной термообработки и энергии имплантации на поверхностное сопротивление в случае использования AsH при ионно-плазменной имплантации Образцы РАЗ NOP3 РА5 NOP5 РАЮ NOP10 РА7-3 NOP7-3 РА10-3 NOP10-3 Энергия имплантации (кэВ) 10,5 10,5 10,5 10,5 10,5 10,5 7 7 10,5 10,5 Доза (1015 см2) 2,3 2,3 2,3 2,3 2,3 2,3 1,5 1,5 2,3 2,3 Предварительная термообработка 900°С - 60°С 900°С - 60°С 900°С - 60°С 900°С - 60°С 900°С - 60°С RTA (быстрый термоотжиг) 1100°С-Зс 1100°С-Зс 1100°С-Зс 1100°С-Зс 1100°С-Зс 1100°С-Зс 1100°С - 3 с 1100°С-Зс 1100°С-Зс 1100°С-Зс Поверхностное сопротивление (Ом/D) 68,1 73,2 63 68 62 67 105 121 64,7 73,2 D* (%) 7,5 7,9 8,1 15,2 13,1 20,4-^-4,2 нА/м2. Анализ показал, что доминирующей компонентой тока является ток утечки по периметру. 4.5. Сравнение методов ионно-плазменной и ионно-пучковой имплантации Ионно-плазменная имплантация позволяет формировать легированные слои с характеристиками, аналогичными или лучшими, чем метод низкоэнергетичной ионно-пучковой имплантации. На рис.4.94 [116] сравниваются распределения атомов бора после ионно-плазменной имплантации BF3 при напряжении смещения Vbjas = 1 кВ и 2 кВ и после ионно-пучковой имплантации ионов бора с энергией Е = 1,5 кэВ и ионов BF2 при Е = 3 кэВ. Результаты показывают, что после отжига в среде азота при температуре 1050°С в течение 10 с глубина проникновения примеси в случае ионно-плазменной имплантации несколько больше, а концентрационный профиль более крутой. Вследствие указанной особенности плотность атомов примеси в приповерхностной области с концентрацией выше предела растворимости в данном случае ниже, чем при ионно-пучковой имплантации. В связи с этим значения поверхностного сопротивления слоев, полученных ионно-плазменным легированием (157,80 Ом/D при Vbias= 2 кВ, 180,5 Ом/D при Vbias = 1 кВ), значительно ниже, чем у слоев, полученных ионно-пучковой имплантацией ионов бора с энергией 1,5 кэВ (211 Ом/П) и ионов BF2 с энергией 3 кэВ (283 Ом/П), соответственно. Но по воспроизводимости и однородности легирования ионно-плазменная имплантация уступает ионно-пучковому методу. В ранних работах [112,113] приводятся результаты оценки воспроизводимости и однородности поверхностного сопротивления при обработке пластин 0150 в системе с возбуждением плазмы постоянным полем (2,9 и 2,4% на уровне 1а). В системе с СВЧ-возбуждением плазмы разброс ps уменьшается. В недавней работе [118] при обработке пластин 0200 достигнутая воспроизводимость и однородность ps составила менее 2% (1а) в сравнении с уровнем 0,5% (1а), характерным для ионно-пучковой имплантации.
/088 Глава 4. Формирование сток-истоковых областей 1Е+13 1Е+12 £ 1В+11 ш+ю 1Е+09 ОБРАЗЦЫ: Г jaJ и 1 Л ни 1 Q КОНТРОЛЬНЫЕ BPLAD;5kB i Я с и ч I Р 1Л 9 и 9 Г 3 f и U I ч 1 Ч 1 Ч 1 LL 1 1 rf 1 8, В, й,1й Ca Q Сг Си Fe К Mn M Примесь -Л V Zo а, Ё (U . Я • Л : о 1x104 a1x103 h j 1X102 £1x101 о 1x100 PLAD-A PLAD-B plad<: Несомненным преимуществом ионно-плазменного легирования является высокая производительность обработки пластин в сравнении с ионной имплантацией. Если для ионно-пучкового метода максимальная плотность тока ионного пучка составляет 7 мкА/см2 ( что в расчете на всю поверхность пластины дает 5 мА для 0300 мм), то для ионно- плазменного метода плотность тока Рис. 4.97. Результаты анализа на содержание составляет 3 мА/см2 [118]. Таким об- металлических примесей и хлора на поверхно- разом, для пластин 0300 мм увеличе- сти Si-пластин, полученные с помощью метода ние производительности составляет отражательной рентгеновской флуоресценции более 400 раз. в контрольных образцах и в образцах после В работе [116] исследована совме- ионно-плазменной имплантации (PLAD) стимость метода ионно-плазменного легирования с процессами стандартного маршрута изготовления КМОП- приборов. Показано, что плотность металлических примесей, вносимых на поверхность кремниевой пластины в процессе ионно-плазменной обработки, незначительно отличается от плотности на контрольных образцах, не прошедших обработку (рис. 4.97 [116]) и сравнима с плотностью вносимых примесей Рис. 4.98. Сравнение уровней поверхностной при ионно-пучковой имплантации концентрации атомов Al, Fe, Zn и Mg у трех об- (рис.4.98 [118]). Показано также, что разцов (А, В и С), прошедших операцию ионно- травление двуокиси кремния и крем- плазменной имплантации (PLAD), и у образца ния пренебрежимо мало, а толщина после ионно-пучковой имплантации (II) слоя фоторезиста в результате ионно- плазменного легирования уменьшается на 17—33 нм. Электрические характеристики МОПТ, изготовленных с использованием ионно-плазменной имплантации, не уступают, а по ряду параметров превосходят характеристики приборов, изготовленных с использованием ионно-пучковой имплантации. По величине подпорогового 5-фактора и подпорогового тока стока, а также по стойкости к воздействию горячих носителей не наблюдалось какого- либо существенного различия между/^-канальными МОПТ, при изготовлении которых использовали оба метода легирования [118]. Ток стока и крутизна МОПТ, изготовленного с использованием ионно- плазменной имплантации, выше, чем в случае ионно-пучковой имплантации (рис.4.99, 4.100 [115]), что обусловлено более высокой степенью активации примеси в сток-истоковых областях и в поликремниевом затворе [119]. Благодаря этим факторам снижается влияние последовательного сопротивления транзистора и роль эффекта обеднения затвора.
4.6. Формирование мелкозалегающих слоев методом быстрой диффузии из газовой фазы Одной из возможных проблем использования ионно-плазменной имплантации при легировании сток-истоковых областей является деградация подзатвор- ного окисла из-за накопления заряда в диэлектрике. Однако результаты исследований показали, что различия в величине эффективной подвижности носителей в канале при использовании обоих методов имплантации отсутствуют, что свидетельствует о пренебрежимо малом влиянии плазмостимулированных дефектов подзатворного окисла. 4.6. Формирование мелкозалегающих слоев методом быстрой диффузии из газовой фазы Наряду с ионной имплантацией, широко используемой в производстве СБИС, для изготовления КМОП- структур были предложены альтернативные методы формирования мелкозалегающих сток-истоковых областей с тем, чтобы избежать проблем, связанных с эффектом каналирования, влиянием дефектов структуры кремния, созданных имплантацией, теневым эффектом и т.д. Одним из таких методов является легирование из газовой фазы с использованием быстрого (лампового) нагрева пластин (RVD — Rapid Vapor-phase Doping) [120]. Процесс легирования проводится в эпитаксиальном реакторе при атмосферном давлении [121] или при по- -6 •2 L ', Lefr [• PLAD: Г II: ЮкэВ Г J UELa__.jL~. =0,18mkm,W = 1,5 кВ, 10,5см Ю|4см-\ -.1 1 „ 1 L = 10мкм 2 Vg = 1 J ^5bJ -2.ов_1 -LSBJ -LOB J 0 4X5 -I -1.5 -2 Напряжение на стоке (В) -2.5 Рис. 4.99. Вольт-амперные Ц ^-характеристики /ьМОПТ с эффективной длиной канала 0,18 мкм и с LDD-областями, формируемыми с использованием ионно-плазменной (BF3, PLAD) и ионно-пучковой имплантации ионов BF2 40 30 tf)Vd=-0,lB 6)Vd=-2,0B и x 20 CO к 10 -0.5 -1 -1.5 -2 0 -0.5 -1 -1.5 -2 Напряжение на затворе (В) Напряжение на затворе (В) Рис. 4.100. Зависимости крутизны от напряжения смещения на затворе р-МОПТ с эффективной длиной канала 0,18 мкм и с LDD-областями, формируемыми с использованием ионно-плазменной (BF3, PLAD) и ионно-пучковой имплантации ионов BF2
/JTi90 Глава 4. Формирование сток-истоковых областей 1000 <~> 2 я 03 О X <D о. Г 100 Й о. сЗ Я 5 ю >> ч 10 в2н6 Д 50мл/мин Q ЮОмл/мин г ^^^ОГ JCr***^^ W" J3 ^cr Ль^Г KS^tS^ &>Ъ rJ^ Xj 1 10 Время легирования (мин) 100 Рис. 4.101. Зависимость глубины р-я-пере- хода от времени легирования 1021 |Ю20 ю в 1м» §10 » |10" О 1016 , ! Г \^ С с L4 i—»—i—•—г- » v »- я 800°С 30 сек , В2Н« 50мл/мин^ —-—— Бор в SI J Бор в gj j \ (ПодЮнмБЮ!)] \ ] 1 >^т.1 1 1 1 1 1 J 20 40 60 80 Глубина(нм) 100 *л 21 — 10 S аю20 о ю « iiow о н 03 lio18 с<3 О* Н 1ю17 X О 10 ,6 ^1 1 1 ! | 1 1 1 1 | 1 1 1 1 [ 1 1 1 1 | 1 I ! i \ Имплантация ионов BF2 Д \(25кэВ, 2х1015 см*2 ) \ \ г \ N4 \ ч ■ \ Ч \ ч Г RVD : (800°С, 30 мин) 0 50 100 150 200 Глубина (нм) ~Т~: - 1 " "s 250 Рис. 4.102. Иллюстрация маскирующей способности тонких слоев Si02 (на примере концентрационных профилей распределения по глубине атомов В) в процессах быстрого легирования кремния бором из газовой фазы (RVD) ниженном давлении в установке LPCVD с использованием гидридов легирующих примесей [122]. Атомы примеси диффундируют в кремний непосредственно из газовой фазы после удаления естественного первичного окисла. Поверхностная концентрация примеси в кремнии определяется температурой и составом газовой смеси. Рис. 4.103. Концентрационные профили Слои, легированные бором, получали распределения атомов бора по глубине в на установке для эпитаксиального нара- областях истока и стока, измеренные после щивания кремния с использованием газа- операций термообработки при изготовле- источника - 0,1% В2Н6/Н2. На рис. 4.101 нии приборной структуры [121] представлены временные зависимости глубины диффузии при температуре 800°С. Изменением потока газа-носителя и длительности процесса обеспечивается формирование /?+-слоев с глубиной залегания /ья-перехода менее 100 нм и с поверхностной концентрацией в диапазоне 4-Ю18 см-3 — 4-Ю20 см3. Результаты исследования маскирующей способности слоев Si02 по отношению к диффузии бора представлены на рис.4.102, на котором представлены распределения концентрации бора в кремнии, в слое Si02 и в кремнии под слоем Si02 толщиной 10 нм. Как видно, при температуре 800°С и длительности процесса 30 с окисел толщиной 10 нм обеспечивает маскирование диффузии бора, несмотря на присутствие в реакторе водорода, способствующего, по данным работы [123], ускорению диффузии бора в Si02. Сравнение распределений концентрации бора в слоях, сформированных RVD-методом и имплантацией ионов BF2 с энергией 25 иЮ кэВ, представлено на рис. 4.103 [121] и рис.4.104 [124]. Как видно, при одинаковых режимах термиче-
4.6. Формированиемелкозалегающих слоев методомбыстрой диффузии из газовой фазы 29 уШ .10' S10: £10 3 10 10 22 21 20 19 18 17 16 | Ш 1 ■ ■ | "> RVD: Г BF21/ » \ \ Г 1Д г щ II 111 I » ■ I1 1 » > 1 1 1 900° С, 40 с ]А !: ЮкэВ , 5х1014 ^ RVD \гВр2,/| ■ 1 1 Г 1*г\ 1 1 м ч ч ч см"2 1 1 1 W9 1 ■ J •J 1 1 1 А 50 100 150 200 Глубина (нм) Рис. 4.104. Полученные методом ВИМС концентрационные профили распределения по глубине атомов бора в SDE-областях /?-МОПТ, формируемых методом быстрого легирования из газовой фазы (Н2 + В2Н6; 900 °С; 40 с) и с помощью имплантации ио- hobBF2+(10k3B;5-1014cm-2) 10 F с 0.1 10 После RTA 950° С, Юсек! RVD BF2 1/1 i i i 111 100 1000 Глубина р-п-перехода, Xj(hm) Рис. 4.105. Зависимости поверхностного сопротивления (R) от глубины залегания р-п- перехода (Л£) для слоев /ьтипа, формируемых методом быстрого легирования из газовой фазы (RVD) и методом ионной имплантации BF2, после быстрой термообработки (RTA: 950 °С, Юс) ского отжига глубина залегания /?-#-переходов X. и поверхностное сопротивление (при равных X) слоев, созданных RVD-методом, значительно ниже, чем в случае ионной имплантации (рис.4.105 [124]). Эти преимущества RVD-метода способствуют увеличению тока стока и улучшению короткоканальных характеристик транзистора в глубокосубмикронной области. На рис.4.106 [121] приведены зависимости Id(Vg) для МОПТ, изготовленных с использованием RVD-метода и имплантации ионов BF2 (Wch = 5 мкм, Тох = 6 нм, толщина спейсера Si3N4 составляла 10 нм) [121]. Как видно, при длине затвора Lg = 0,18 мкм применение имплантации BF2 приводит к образованию встроенного канала из-за эффекта проникновения бора через подзатворный окисел в область канала. На рис.4.107 показано различие в сдвиге порогового напряжения AV( при уменьшении длины затвора МОПТ. При легировании стоков из газовой фазы сдвиг порогового напряжения начинается при длине затвора 0,18 мкм, в то время как при Рис 4.106. Зависимость тока стока от ионном легировании- при 0,4 мкм. Пода- напряжения Vg для /?-МОПТ со сформи- вление эффекта короткого канала вплоть рованными диффузией из газовой фазы до L = 0,18 мкм подтверждает эффектив- и ионной имплантацией областями, ность метода легирования из газовой фазы Длина поликремниевого затвора 1,05 и 0,18 мкм 10 10 10 S о £ ю о Н 10* 10 А -6 -8 •10 •12 г»-"--" tx*** г " г Г ■ / Г 'ft Е ?] Е JDC*** / V 1 S L Ьэосил D • . . . j p L"l "i | 1 - a = • 1 l> ц = 120мВ/декада ! i I t Lg(MKM)[ RVD BF2 1/I 1 0.18 1 ■ | □ 1 1.05 • 0 ..i....l....l... 1 1 _j 210-1-2-3 Напряжение на затворе ,Vg(B)
|bZ92 Глава 4. Формирование сток-истоковых областей 3-1.0 0.5 0 h о J h 1.0 п—«—i—т -O-RVD -О BF2 I/I -ЧН V<t = «lB 1 I 0 0.2 0.4 0.6 0.8 1.0 1.2 Длина затвора, Lg (мкм) Рис. 4.107. Зависимости сдвига порогового напряжения AVt от длины затвора Lg при формировании сток-истоковых областей. Для формирования слоев, легированных мышьяком, использовали установку осаждения LPCVD с быстрым ламповым нагревом [ 122]. В качестве источника использовали арсин (0,2-9% в гелии) или трибутиларсин (ТВА) (10-100% в аргоне). Скорость нарастания температуры составила 10°С/с. Легирование с использованием арсина осуществлялось при давлении 760 и 150 Торр. Длительность процесса диффузии варьировалась в диапазоне 6—300 с, температура — 770-1150°С. На рис.4.108 и 4.109 [122] представлены распределение концентрации атомов мышьяка при диффузии в диапазоне температур 900—1100°С в течение 60 с при использовании 2,4 и 3,6% арсина в гелии. Максимальная концентрация мышьяка в кремнии превышала 1-Ю20 см3. Глубина залегания р-п- перехода при температуре 1000°С (2,4% арсина) составила 70 нм, поверхностное сопротивление слоя — 415 Ом/D. Зависимости поверхностного сопротивления я+-слоев от содержания арсина в газе- носителе для различных температур и 1x10 2* -г £ ixio17-i 1x10 >1б 1 900* С \ \\ \ \ ' I ' I ' I ' \ О 30 60 90 120 150 180 Глубина (нм) Рис. 4.108. Полученные методом ВИМС концентрационные профили распределения по глубине атомов мышьяка при диффузии из газовой фазы (2,4 % арсина в гелии) при атмосферном давлении и температуре 1000, 1050 и 1100 °С (60 с) 1x10 ~21 -з- -1x10 -4 1Х101 1Х101 1100*С пг \ ' I ' I 40 80 120 Глубина (нм) Рис. 4.109. Полученные методом ВИМС концентрационные профили распределения по глубине атомов мышьяка при диффузии из газовой фазы (3,6 % арсина в гелии) при атмосферном давлении и температуре 900, 1000иП00°С(60с)
4.6. Формирование мелкозалегающих слоев методомбыстрой диффузии из газовой фазы 2 9 3> /00 ~ 5^600- (Ом g 500- X s 400- о X S 300- <D О X о 200- Поверх? о о о- —г 2.2 ^ч~\-»-— 900' С/ ЗООсек ^"~\^^ ^^" """"""■■■■^^ 1000* С/ бОсек с^*^--^^"" 1000' С/ 300сек ^^^^^--^ ^ 1100"С/60сек "^"^1100' С/ ЗООсек I ' I » I ■ i • i • f « i * 2.6 3 3.4 3.8 Концентрация арсина (%) Рис. 4.110. Изменение поверхностного сопротивления слоев, полученных диффузией из газовой фазы, в зависимости от концентрации арсина в газе-носителе (гелии) 4 ,,21 „ 1x10 1 -г1хЮ 1 3 ^ 1 « 1 5 19 |1хЮ 1 3 1 s ] « J о 1 |lx101t4 ^ 5 а 3 SS н Я 1 н1хЮ 1 <D ] Я j ж 1 О .,„ 1 « 16 1x10 i 1vm15J IX iU П ( (Д.33% ТВА, 3 Торр, 12\ 900*С i ^k \ ^s 1 \ \ s^ 1 \ \ 1 \ \ \ \ \ \ \ \ \ \ \ \ \ \ \ \Л\ л \\\\^\ г\. /VVVV 100 % ТВА, 1Торр., 900*С, 12' —,—j—i—j—i—|—i—j—i—j ) 100 200 300 400 500 Глубина (нм) Рис. 4.111. Полученные методом ВИМС концентрационные профили распределения по длительности диффузии представлены глубине атомов мышьяка после их диффузии в течение 12 мин при 900 °С в случае использования трибутиларсина (ТВА) с процентным содержанием последнего в аргоне (газе- носителе) 33 и 100 % при давлении 3 Торр и 1 Торр, соответственно на рис. 4.110. Электронно-микроскопический анализ показал, что диффузионные слои в области /?-я-перехода свободны от структурных нарушений. Однако на поверхности легированного слоя наблюдались ямки травления (pitting), глубина которых увеличивалась с ростом температуры диффузии. Так, при температуре 900°С глубина ямок составляла 10 нм, а при температуре 1100°С — 150 нм. При температуре 770°С ямки травления отсутствуют. Предполагается, что происхождение ямок связано с травлением кремния водородом, выделяющимся при разложении арсина (2,4% арсина приводит к образованию 4% водорода). Использование трибутиларсина (ТВА) и триметиларсина позволяет избежать образования ямок травления благодаря меньшему количеству свободного водорода, образующегося при пиролизе. Кроме того, указанные элементоорганические соединения разлагаются при более низкой температуре, что позволяет проводить процесс диффузии при температуре 900°С. На рис. 4.111 [122] представлены распределения концентрации мышьяка в кремнии при температуре 900°С с использованием 33% ТВА при давлении 3 Торр и 100% ТВА при 1 Торр. При использовании 33% ТВА поверхностная концентрация мышьяка превышала 1-Ю20 см3.Значения поверхностного сопротивления слоев, полученных в процессах при давлении 1 Торр и 3 Торр, составили 453 и 284 Ом/D, соответственно. Как и в случае диффузии с использованием арсина диффузионные слои в области /ья-перехода свободны от структурных нарушений.
294 Глава 4. Формирование сток-истоковых областей Слои, легированные фосфором, получали в установке осаждения при атмосферном давлении (APCVD) вертикального типа при температуре 900°С в течение 10—30 мин с использованием смеси РНуН2 [125]. Глубина залегания /ьл-перехода в указанных условиях составляла 50—100 нм, приповерхностная концентрация 3-Ю18— 1,3-Ю19 см3, а удельное поверхностное сопротивление 2—4 кОм/П. Низкая поверхностная концентрация в диффузионном слое обусловлена малой эффективностью легирования кремния фосфором из газовой фазы в среде Н2 — низким отношением плотности атомов примеси в газовой фазе, контактирующих с поверхностью кремния, к их плотности в кремнии, которая на 2—3 порядка ниже, чем у бора. Это объясняется малым коэффициентом прилипания атомов фосфора к кремнию в атмосфере водорода, а также значительным различием давления паров фосфора и бора при температуре 900 °С: Рр = 100 Па, Рв = 10~6 Па [126]. Ограниченный диапазон значений поверхностной концентрации, обеспечиваемый данным методом диффузии, не позволяет считать его универсальным для формирования LDD- и контактных сток-истоковых (CSD) областей. Сравнительное исследование методов формирования мелкозалегающих слоев методом легирования из газовой фазы и ионной имплантацией продолжается [124], однако сведения о достигнутом уровне однородности и воспроизводимости параметров диффузионных слоев не публикуются. 4.7. Формирование SDE-областей быстрой диффузией из легированного окисла Обычный метод формирования SDE-областей имплантацией ионов низких энергий позволяет воспроизводимо получать значения глубины залегания р-п- переходов < 100 нм. Однако для этого метода характерно проявление эффекта нестационарной ускоренной диффузии (TED-эффект), неполнота электрической активации примеси и влияние остаточных имплантационных дефектов на характеристики ^-«-переходов даже при использовании ионов низких энергий. Методы быстрой диффузии из газовой фазы и диффузии из осажденных легированных стекол ФСС и БСС [127, 128] позволяют избежать указанных недостатков ионного легирования, однако страдают недостаточной воспроизводимостью поверхностного сопротивления и глубины залегания /?-я-перехода. В работе [129] предложено формирование легированного окисла осуществлять ионным легированием предварительно выращенного термическим окислением слоя двуокиси кремния. Последующая операция диффузии примеси в кремний из созданного источника производится быстрым термическим отжигом. При этом исключаются вышеуказанные недостатки легирования кремния методом ионной имплантации и обеспечивается воспроизводимость параметров слоев. Формирование /?+-слоев [129]. В слой окисла толщиной 6-7 нм имплантируются ионы бора (Е— 500 эВ, D = 3-Ю14—3-Ю15 см2), чем обеспечивается высокий уровень легирования окисла (до 10%). Последующий термический отжиг осуществляется при температуре 950—1050°С в течение 2—180 с. На рис.4.112 и 4.113 представлены распределения концентрации атомов бора в окисле и в кремнии, полученные в изохронных и изотермических процессах. Соответствующие зависимости поверхностного сопротивления и плотности носителей в легированном /?+-слое от температуры и времени приведены на рис.4.114 и 4.115.
4.7. Формирование SDE-областей быстрой диффузиейиз легированного окисла 29Syjj 10" to" 10м 10" 10" 1 после j* 1 имплантации 21" i . Доза бора 3x101* см -2 t025*C RTA ^*~*Ы***\*ъ ** .1, 1 L 1 20 40 $0 Глубина (нм) Рис. 4.112. Концентрационные профили распределения бора по глубине. По мере увеличения продолжительности RTA- обработки суммарная доза бора в кремниевой подложке заметно возрастает, что приводит к увеличению глубины залегания /ья-переходов и к более высокой поверхностной электропроводности 10 10 10 10 10 - 1 У!* , ...4. , t Доза бора Зх ? 0'*см-2 2СГ RTA ""^^^К Щшлп 20 40 $0 Глубина (нм) 60 Рис. 4.113. Концентрационные профили распределения по глубине бора в слоях, сформированных диффузией из имплантированного окисла. Поверхностная концентрация бора после RTA- термообработки существенно не изменялась с температурой (при фиксированной продолжительности, равной 20 с) Сравнение данного метода создания мелкозалегающих слоев с описанными в разделах 4.3, 4.4, 4.6 представлено на рис.4.116. Сочетания значений поверхностного сопротивления и глубины залегания /ья-перехода, полученные рассматриваемым методом, сравнимы с лучшими результатами других методов и соответствуют требованиям, предъявляемым к SDE-областям МОПТ с длиной затвора в суб-0,25-микронном диапазоне. Моделирование процессов диффузии в двухслойной системе «легированный окисел — кремний» в ^2.5 о 8 2.0 1.5 1.0 Он Ю о 0.0 Г ]г L и Имплантация бора- 500 эВ v" •""** .1, 111 •** 1. ^-* 1025*С RTA * * Холловские -" "* измерения 1 „. L, 1 1 i LJLU 1 2.0 1.5 1.0 0.5 10.0 10 100 Время RTA-обработки (с) Рис. 4.114. Зависимости поверхностного сопротивления и плотности носителей в р+-слоях, режимах, использованных в экспери- сформированных диффузией бора из имплан- менте, показало, что бор в кремнии тированного окисла (толщиной 7 нм), от дли- полностью активирован [129]. тельности термообработки. Доза имплантации Воспроизводимость параметров ионов бора: 1-1015 см2 (квадраты), 3-Ю15 см-2 диффузионных слоев, полученных (кружки) данным методом, определяется процессами быстрого отжига и выращивания тонкого окисла. Отклонение толщины окисла на 4% от номинального значения (7 нм) приводит к изменению глубины диффузии менее чем на 10 %, что соответствует общепринятым требованиям. В работе [128] предложен метод формирования мелкозалегающих слоев (Xj = 50 нм) для SDE-областей р-МОПТ с использованием имплантации ионов BF2 в слой поликремния, впоследствии удаляемого. В этом методе в процессе бы-
^296 Глава 4. Формирование сток-истоковых областей 3.5 3.0! 2.5 2.0 1.5 1.0 0.5 0.0 l,,illl ••*"" ж-- Л Имплантация • ^ п I L бора: 500эВ 1 20" RTA Холловские >• измерения ^ «■' . *^**' J 1 : 1 ', 2.0 1.5 1,0: 0.5 ' 950 975 1000 1025 1050 Температура (°С) 0.0 Поверхностное сопротивление (кОм/П ) о — ♦ 1 1 1 1 1 «[129] ♦ В Н [7] *VPD[6] x 0,1-1 кэВ, В [11] • Плазма[8] a 10k3B,BF2 [8] t Д • X V • • 1 1 1 1 t 1 1 ! 10 100 Глубина p-n-псрехода при концентрации 10|8см"3 (нм) Рис. 4.115. Поверхностное сопротивление и Рис. 4.116. Поверхностое сопротивление и плотность носителей в р+-слое в зависимо- глубина залегания р-п-переходов, создавае- сти от диффузии бора из имплантирован- мых различными методами формирования ного окисла (7 нм). Режимы имплантации и /?+-слоев. VDD = диффузия из газовой фазы; обозначения идентичны рис. 4.114 плазма = PLAD строй термообработки осуществляется диффузия бора из слоя поликремния через нижележащий тонкий (5 нм) слой окисла, необходимый в качестве стопорного слоя при последующем удалении поликремния. Предложенная технология может быть использована для создания SDE-слоев р-МОПТ, так как предельная поверхностная концентрация бора не превышала 5-1019 см-3 (1000°С, 25 с). Однако сведений о достигнутой воспроизводимости параметров легированных слоев в работе не приведено. 10 10 10 10 ч\ \\ После J импланта3 ции Доза имплантации J I Д у20" -Р: 6x10м см2 1 1025'С RTA SIMS-измерения ^-Vjeor 1Л , \ЛЛ*Л1 I 40 60 Глубина(нм) 80 Рис. 4.117. Полученные В ИМ С-методом концентрационные профили распределения по глубине атомов фосфора в слоях, сформированных диффузией из имплантированного окисла. Кратковременная (RTA) термообработка (1025 °С, 20 с) приводила к глубине залегания /ьл-перехода, равной 16 нм, при этом не наблюдалось проявление нестационарной ускоренной диффузии (TED). Ионы фосфора имплантировались (1 кэВ; 6-Ю14 см 2) в окисный слой толщиной 6,2 нм Поверхностное сопротивление (кОм/П I.I I I I а Доза имплантации Р: 6x10 см"2 \ 10254: RTA \ Холловские \ измерения / «. .т\ / * \ / \ / \ ч * ' ^^ V * ^^ \ 111 III!) I 1 1 I 1 1 III 10 100 Длительность термообработки (с) \ &\ ! 1 1 Г» Ь 5 о ъ£\ 2 1 0 Рис. 4.118. Зависимости поверхностного сопротивления и плотности носителей в слоях, сформированных диффузией фосфора из имплантированного окисла, от длительности термообработки
4.7. Формирование SDE-областей быстрой диффузиейиз легированного окисла шь 1.Формирование истока/стока S.MDD-диффузия примеси в SDE-области из имплантированного окисла 2.Удаление cneiicepa;MDD-MM- ^Формирование нового спейсе- плантация ра и силицидных слоев Рис. 4.119. Основные технологические этапы формирования КМОП-структур с использованием методики создания промежуточного спейсера и диффузии примеси в сток-истоковые области из имплантированного окисла 0.7 >~ шряжение р о» Пороговое иг о In 0.4 - 0.0 // я ' «ИмплантацияAs •——•Диффузия Р /* .»...!...«.., , *,,„«„ 0.1 0.2 0.3 0.4 Длина затвора, Ц,(мкм) 0.5 0.1 0.2 0.3 0.4 Длина затвора, Lg (мкм) Рис. 4.120. Сдвиг порогового напряжения (Vt) при уменьшении длины затвора (Lg) в случае я-МОПТ, созданного с использованием диффузии фосфора из имплантированного окисла в соответствии с последовательностью операции, представленной на рис. 4.119. Представленные данные сравниваются с результатами формирования р-я-перехода я-МОПТ с использованием прямой имплантации ионов As+ в Si-подложку (10 кэВ; 3-Ю14 см2) - см. кривую, задаваемую квадратами. Имплантация р+ в 7-нм окисный слой проводилась в режиме 1 кэВ; 6-Ю14 см2, а последующая диффузия фосфора инициировалась RTA- термообработкой (1040°С; 20 с) Формирование я+-слоев осуществляется из окисла толщиной 6,2 нм, имплантированного ионами фосфора Рис. 4.121. Подпороговый S-фактор и (£= i кэв, д = 6-1014 см"2). Распределе- DlBL-эффект для МОПТ, SDE-области ко торых сформированы диффузией фосфора из имплантированного окисла и ионной имплантацией мышьяка ния концентрации примеси в слое после отжига при температуре 1025°С в течение 2-180 с приведены на рис. 4.117. На рис. 4.118 представлены соответствующие зависимости поверхностного сопротивления и плотности носителей в слое. Рассмотренный метод диффузии из легированного окисла может быть использован для создания SDE-областей в соответствии с маршрутом изготовления МОПТ, приведенном в работе [129]. В этом маршруте сначала формируются глубокие сток-истоковые области, а после удаления временного нитридного спейсера рассмотренным методом создаются SDE-области (рис. 4.119). Благодаря уменьшению глубины залегания /?-я-переходов этих областей значительно снижается влияние короткоканалаьных эффектов в МОПТ (рис. 4.120 и 4.121). Необходимо отметить, что очевидным ограничением данного метода формирования SDE-областей является низкая энергия ионов и высокая доза имплантации при легировании окисла. Оба эти фактора значительно снижают производительность дорогостоящего оборудования.
Е2 Глава 4. Формирование сток-истоковых областей 4.8. Конструктивно-технологические проблемы субмикронных МОПТ 4.8.1. Ограничения использования LDD-структур МОПТ со структурой LDD успешно используются в диапазоне топологических размеров > 0,5 мкм. При меньших размерах применение LDD-областей ограничено из-за конфликтных требований к параметрам физической структуры, обеспечивающих снижение короткоканальных эффектов, с одной стороны, и подавление влияния горячих носителей — с другой. Кроме того, при использовании LDD-структур в глубокосубмикронной области растет влияние технологических отклонений от номинальных значений длины затвора (Lg), латеральной протяженности и крутизны концентрационного профиля LDD-области на эффективную длину канала Ье//и, соответственно, на величину сдвига порогового напряжения AVt(Lg) и AVt(Vd) и ток утечки транзистора. Действительно, можно показать, что для уменьшения максимума напряженности латерального электрического поля Elat до значения, не приводящего к значительной генерации горячих носителей (Isub < 50—200 нА/мкм), необходимо, чтобы протяженность п -области под затвором Ln = Г (рис. 4.122 [130]) превышала 100 нм, что соответствует глубине залегания /?-и-перехода XJn- > 0,14 мкм [131]. В МОПТ с длиной затвора Lg< 0,35 мкм при такой глубине диффузии и плавным профилем распределения концентрации примеси происходит значительное уменьшение эффективной концентрации примеси в канале, причем тем большее, чем меньше фактическое значение длины затвора Lg (рис. 4.123я). Такое снижение концентрации примеси в канале приводит Рис. 4.122. Основные типы структур к усилению короткоканальных эффектов, МОПТ с LDD-областями: (а) затвор пол- т.е. к росту AV((Lg) иА^(^)и тока утечки, ностью перекрывает «-область; (б) затвор Вариации средней концентрации примеси не полностью перекрывает п -область; (в) в каНале могут быть уменьшены при ис- затвор в виде инверсного Г, полностью ПОЛьзовании /r-областей с меньшей глу- перекрывает «-область биной залегания/>-л-перехода Х/я- и, соответственно, с более крутым концентрационным профилем (рис. 4.1236). Расчеты показали, что для LDD-транзистора с Lg = 0,35 мкм, Тох = 7 нм, NcA = 6,2*1017см3 (Vt = 0,7 В), XJn- = 0,15 мкм, вариации длины затвора ALg = ±70 нм (±20% на уровне За) приводят к изменениям Vt (Vd = 0,05 В) до 800 мВ [ 130]. При уменьшении XJn- до 75 нм изменения Vt снижаются до 65 мВ. Таким образом, для подавления короткоканальных эффектов в транзисторах с Lg< 0,35 мкм необходимо использовать п- области с глубиной залегания /ья-переходов XJn- < 75 нм, протяженностью боко- 0,35мкм I* -*1 J\l^Tl а) , 0,35мкм ^Я" J^^ZI б) 1,-Г
4.8. Конструктивно-технологические проблемы субмикронных МОПТ Рис. 4.123. Иллюстрация проблемы компенсации легирующей примеси в канале вблизи «-областей при длине затвора Lg < 0,35 мкм: (а) случай глубоких /?-я-переходов с пологим профилем; (б) случай мелких /?-я-переходов с более крутым профилем вого распространения примеси не более 60 нм и крутым профилем распределения концентрации. Однако расчеты показали что транзистор с указанными параметрами «-области и дозой имплантации ионов D = (1^2)-1013см_2 характеризуется большой величиной тока подложки Isub = 3—5 мкА/мкм. Таким образом, в глубо- косубмикронном LDD-транзисторе (рис. 4.\23а) короткоканальные эффекты могут быть уменьшены до приемлемого уровня, но однако, за счет недопустимого увеличения тока подложки, а следовательно, и снижения надежности МОПТ. На рис. 4Л23а,б приведены сечения других типов LDD-транзисторов: транзистора с неполным перекрытием «-области затвором и транзистора с затвором в виде инверсного Г, в котором «-область полностью перекрывается затвором [132, 133]. В этих транзисторах «-область самосовмещена с границами толстого (основного) затвора, а ее латеральная протяженность под толстым затвором, как и в рассмотренном случае, не превышала 60 нм (XJn- < 75 нм). Это обеспечивало идентичность короткоканальных свойств транзисторов всех трех типов. В транзисторе с неперекрытой «-областью (см. рис. 4.1236) ее латеральная протяженность Ln- и доза имплантации ионов выбираются таким образом, чтобы максимум напряженности латерального электрического поля Elatmax располагался под затвором. Это необходимо, чтобы избежать более быстрой деградации тока стока при захвате горячих носителей на границе Si-Si02, если бы максимум ^располагался под окисным спейсером [134]. Значение Elatmax в этом транзисторе меньше, чем в транзисторе, изображенном на рис. 4.123а, благодаря падению стокового напряжения на более протяженных «-областях. Поэтому расчетное значение тока подложки IsubMax для этой структуры уменьшается, но все еще выше 1 мкА/мкм, т. е. значения, которое считается предельно допустимым [130]. Но даже указанная величина Isub max была получена при достаточно большой протяженности «-области
щЗОО Глава 4. Формирование сток-истоковых областей Ln- = 150—200 мкм, вследствие чего снижается ток стока и практически вдвое ухудшается шаг транзисторных структур в сравнении с тем, который достигается при использовании обычного LDD-транзистора. В структуре LDD-транзистора с затвором в виде инверсного Г (рис. 4.1236), благодаря полному перекрытию «-области затвором, доза имплантации ионов в «-область может быть снижена в сравнении с транзистором, представленным на рис.4.1236, без ущерба для величины тока стока. Вследствие этого уменьшается максимум напряженности латерального поля и, соответственно, уменьшается ток подложки lsubmax. Однако и в этом случае снижение тока подложки обеспечивается за счет увеличения длины затвора с 0,35 до 0,50—0,65 мкм, что неприемлемо для проектирования ИС с высокоплотным размещением компонентов. Таким образом, использование LDD-транзисторов в глубокосубмикронной области обостряет конфликт между параметрами физической структуры транзистора, от которых зависит улучшение короткоканальных характеристик и снижение эффекта горячих носителей. Разрешение этой проблемы через использование LDD-транзистора с полностью перекрытой затвором «-областью приводит к снижению плотности размещения компонентов ИС. Поэтому при конструировании глубокосубмикронных транзисторов необходимо использовать методы формирования подзатворных диэлектриков с повышенной стойкостью к воздействию горячих носителей (см. гл.З) или использовать конструктивные решения (см. раздел 4.8.5), характеризующиеся низкой эффективностью создания поверхностных состояний горячими носителями. В противном случае необходимо снижать напряжение питания ИС. 4.8.2. Уменьшение размеров LDD-областей и надежность МОПТ Уменьшение глубины залегания сток-истоковых областей, необходимое для ослабления короткоканальных эффектов при уменьшении длины затвора МОПТ, приводит, как известно, к усилению влияния горячих носителей на параметры приборов [134—136]. Одновременно с уменьшением глубины залегания/?-я-переходов (X) все более важным становится требование снижения длины перекрытия LDD- областей затвором Ау для того, чтобы обеспечить требования к характеристикам транзисторов в подпороговом режиме. Так, например, для транзисторов с длиной затвора < 0,35 мкм значения X. и А/ не должны превышать 0,12 мкм и 60 нм, соответственно [137]. Уменьшение глубины залегания /?-я-переходов LDD-областей и степень их перекрытия затвором оказывают значительное влияние на деградацию параметров транзисторов при воздействии горячих носителей. Достаточно сказать, что различие между допустимым и недопустимым (с точки зрения обеспечения надежности приборов) перекрытием составляет всего лишь 200 А. На рис.4.124 [138] приведена зависимость изменения порогового напряжения AV( «-МОПТ после воздействия электрического стресса от длины области перекрытия стока затвором А/. Толщина спейсера составляла 1000 А, длина перекрытия регулировалась режимом отжига имплантированной примеси (As). В области «I» зависимости AVt(Aj), соответствующей условию А/ < 0, управляющее действие затвора на ка-
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 50 40 Ь 30 20 Тох = 200 A, W/L=20mkm/2mkm Условия стресса: Vg =3B, Vj =8В,50мин 1~*-П-#- Ш 20 40 80 160 Продолжительность разгонки примеси, tdi (мин) 320 I 200 400 600Q Область перекрытия стока затвором (А) нал вблизи края затвора мало, поэтому инжекция горячих носителей в окисный спейсер приводит к сильной деградации Vp а вариации длины перекрытия приводят к значительным изменениям скорости деградации. По мере увеличения длины перекрытия до 200 А (что соответствует области «II») вся область канала контролируется затвором и деградация Vt значительно снижается, при этом срок службы транзистора, определяемый временем достижения сдвига Vp равного 10 мВ, увеличивается на 1-2 порядка. Поэтому для обеспечения на- Рис. 4.124. Зависимость изменения порогово- дежности транзисторов необходимо го напряжения (К,), вызванного стрессовым г „ воздействием, от длины области перекрытия обеспечить достаточный запас длины ' т r^x-v ^ стока затвором (или от времени разгонки леги- области перекрытия LDD-области за- рующей примеси в стоковой области) твором с учетом допустимых изменений параметров физической структуры транзистора и влияния теневого эффекта при имплантации ионов. В области глубокосубмикронных размеров МОПТ влияние горячих носителей на деградацию параметров приобретает качественно новые особенности. Это связано с тем, что заряд горячих носителей, захваченных в окисле, влияет на распределение напряженности электрического поля и тока в кремнии на глубине, сравнимой с глубиной залегания Х} мелкозалегающих /?-я-переходов. Исследование влияния воздействия горячих носителей на характеристики «-канальных МОПТ с длиной затвора 0,35 мкм, в которых LDD-области легированы мышьяком (X. = 60—75 нм) или фосфором (Xj = 100—120 нм), выполнено в работе [139]. Обнаружено, что изменения порогового напряжения AVt и под- порогового S-фактора (AS/S) при воздействии электрического стресса проявляются существенно различным образом для МОПТ с LDD-областями, легированными фосфором или мышьяком. Стрессовые воздействия производили в течение 50 мин при напряжениях на стоке Vd и на затворе Vg9 обеспечивающих максимальное значение тока подложки Isubmax (Vg« 1/2 J/,). Для As- LDD-транзисторов изменения под- 109г ■10е 10' |Ю6 о 10' 105|- Н £ Lg=0,40MKM,Tox=10HM I I 8 КГ 710" 610 0Q СП 6 SP 510"6 I 410"° | .о з 310"6 ~ 6 210" 21013Ph 510,JPh 210,JAs Рис. 4.125. Допустимая продолжительность стрессового воздействия (срокслужбы), соответствую- порогового 5-фактора и порогового щая Ю%-ному уменьшению крутизны^, и вели напряжения после стресса были достаточно велики (AS/S » 1%, чина максимального тока подложки 1хи МОПТ c/7-LDD и As-LDD-областями для
Глава 4. Формирование сток-истоковых областей ^101U| 3 ^ю9 о и Ю8 £ 00 4 ю7 < ! I10 ' о S 105 л и ю4 ! 1 Г 1 1 1 Г 0.2 1 1 1 Р,21013 ©/ У^ЕГ*" Г^^Р^Ю13 ^t^jek *^\ \ jfrf^ ш^г^ X >^ 45 А __-——а _——-—""""ТЬ As,21013 А l l 1 0.3 0.4 0.5 Длина затвора (мкм) • : «1 ■1 ! ! J «1 а 0.6 AVt > 10 мВ), при этом наблюдалась асимметрия сдвига порогового напряжения при прямом ( AVt > 50 мВ) и инверсном (AVt > 110 мВ) включении транзистора. Кроме того, изменения максимальной крутизны AgJ gm при воздействии горячих носителей вдвое превышали изменения тока стока Ald/Id в линейной области (Vg = 3,3 В). В противоположность этому, деградация p-LDD- транзисторов едва проявляется: AS/S < 1%, AVt < 5 мВ. Такой уровень деградации P-LDD-транзисторов указывает на то, что состояния, создан- Рис. 4.126. Срок службы (tL) как функция дли- ные горячими носителями во время ны поликремниевого затвора (Lg) для различных стресса, локализуются в отличие типов LDD-областеЙ от случая As-LDD-транзисторов в пределах области перекрытия LDD- области затвором (Aj), длина которой для p-LDD составляет 50 нм. Для te-LDD- транзисторов участок дефектообразования выходит за пределы области перекрытия благодаря ее малой длине (А/ = 30 нм) и ступенчатому концентрационному профилю. Это приводит к увеличению последовательного сопротивления (Rs) и к более значительному уменьшению gm в случае As-LDD-транзисторов. В противоположность общеизвестной модели деградации МОПТ, в рассматриваемом случае МОПТ с p-LDD (Xj = 100-120 нм) и с As-LDD-областями (Xj = 60—75 нм) обнаружено отсутствие корреляции между сроком службы (временем допустимого изменения AgJ и ве- SR-2 -8 -10 -12 I Ч L V ^Ч Г \\ h V V к 1 1 МЯЩ 1 ГГ1ИЦ Г 1 HIHIf 1 1 IIWH Ph-LDD(210I3CM-2) J Условия стресса: J AVg(B)/Vd(B) 1 s. /2.8/5.5 1 >/ J >^ \ 2.0/4.0 J 1 2.5/5.0 ^ft-^ Ъ^ ] 1 i i ти1 iiiiMui i ill Mil ill nimiiM i i imiil личиной Isubmax (рис.4.125). Как видно из рис.4.119, при одинаковых стрессовых условиях Р-£Ш)-транзисторы характеризуются сроком службы, более чем на два порядка превышающим срок службы As-LDD-транзисторов. На рис. 4.126 время tL допустимой деградации максимальной крутизны gm представлено в зависимости от длины поликремниевого затвора Ь§для приборов c/7-LDD и As-LDD-областями. Для As-LDD-транзисторов значения tL слабо зависят от длины затвора, что характерно для приборов с не- Рис. 4.127. Изменение тока подложки (Isub) перекрытым стоком. Известно, что с увеличением продолжительности стрессового деградация gm и Id описывается по- воздействия(дприразличныхусловиях(^и^) казательной функцией (AtLn). Для для МОПТ с p-LDD-областями (Lg = 0,33 мкм) рассматриваемых приборов с ультрамелкими LDD-областями значение -1 10" 10и 10 ' 10* 10* Продолжительность стресса (мин) 10
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 303 ] показателя п существенно меньше, чем в ранее опубликованных работах [140, 141]: 0,15 < п < 0,27 для p-LDD; 0,25 < п < 0,35 для As-LDD. Деградация приборов с более глубокими стоковыми областями характеризуется показателем п > 0,5 [141], т.е. протекает более интенсивно, чем в рассматриваемых приборах. Из приведенных на рис.4.126 данных следует, что для Lg > 0,15 мкм целесообразно применять структуру p-LDD, при меньших же значениях As-LDD-транзисторы имеют преимущество по сроку службы (по времени допустимой деградации). Указанные особенности деградации МОПТ с LDD-областями, легированными фосфором и мышьяком, объясняются различием в изменении Isub транзисторов в процессе стрессовых испытаний. На рис. 4.127 представлена эволюция А15иЬ/15иЬддя /j-LDD-транзисторов от длительности воздействия электрического стресса (длительности стрессовых испытаний), имеющая минимум при определенной длительности стресса. Для As-LDD-транзисторов Isub во время стресса непрерывно растет, в то время как для приборов с /7-LDD рост Isub наблюдается лишь при увеличении отношения Vg/VD, т.е. более интенсивной генерации горячих носителей. Подобный характер эволюции Isub во время стресса может быть объяснен с учетом кинетики захвата горячих носителей в окисле в процессе стрессовых испытаний. Суммарный заряд ловушек в окисле зависит от соотношения скоростей процессов захвата горячих дырок и электронов, от сечения захвата ловушек для электронов и для дырок, от плотности инжектируемых горячих носителей и плотности ловушек в окисле. В рассматриваемом случае в «-канальном транзисторе при стрессовых испытаниях в режиме Vg « 1/2 Vd предпочтительной является инжекция горячих дырок. Поэтому благодаря большому сечению захвата ловушек для дырок на начальной стадии стрессовых испытаний в окисле будут доминировать положительно заряженные ловушки. Однако со временем инжекция горячих электронов приведет к нейтрализа- з атвор ПОДЗАТВОРНЫИ ОКИСЕЛ ИНВЕРСИОННЫЙ^ слой. ^ ^ • 5ef n ф \ ГЕНЕРАЦИЯ Nv^ 1 \ N<^f: Isub \ Г" J V опз сток -—* Рис. 4.128. Иллюстрация проявления эффектов горячих носителей: генерация, инжекция и захват. Для подавления эффектов горячих носителей следует: а) снижать генерацию носителей, т.е. уменьшать напряженность латерального электрического поля в LDD-области стока и отдалять путь максимальной плотности тока от области максимальной напряженности электрического поля; б) снижать инжекцию носителей, т.е. перемещать область лавинного размножения носителей вглубь Si-подложки и локализовать район инжекции под затвором; в) снижать захват носителей, т.е. уменьшать количество ловушечных центров и уменьшать концентрацию активного водорода
Глава 4. Формирование сток-истоковых областей 0Л |""1 щ f гп| Г»» f f2 10Ь ^Отрицательный заряд в окисле j ~ шьАиЬ > 0 Области инжекции: # (-) Край затвора 4 (•f) Край затвора /л Перекрытие \ / затвор/сток /а.\ Перекрытие \^г затвор/сток 'СТОК 4 Положительный заряд в окисле^ Д Isub/Isub < О ,1 Л ,,» , *„.1,„Л Д,,,.*..,,»,,.,!.,,».,,* I. А I t *.,„ 12 3 4 Плотность заряда в окисле (10,2см2) Рис. 4.129. Изменение тока подложки (lsub) с увеличением продолжительности стрессового воздействия (Q при различных условиях (Vn Vd) для МОПТ с/?-1ЛЮ-областями (1^=0,33 мкм) ции положительного заряда в окисле, а затем и к образованию отрицательного заряда. Схематически указанные процессы генерации, инжекции и захвата изображены на рис.4.128 [142]. На ранней стадии стрессовых испытаний, когда в окисле преобладает положительный заряд, напряженность латерального электрического поля снижается, соответственно уменьшается и значение тока подложки (см. рис. 4.127) [139]. Кроме того, увеличение положительного заряда в окисле приводит к уменьшению напряженности поперечного электрического поля, что способствует инжекции горячих электронов в окисел. При продолжении стрессовых испытаний, когда в окисле накапливается отрицательный заряд, напряженность латерального электрического поля растет и соответственно увеличивается ток подложки. Модель влияния положительного и отрицательного зарядов в окисле вблизи края затвора для транзистора с длиной затвора 0,35 мкм представлена в работе [139]. Результаты расчетов подтверждают такой механизм появления немонотонной зависимости тока подложки от длительности стрессовых испытаний Isub(ts) (рис.4.129). Следует подчеркнуть, что указанная особенность зависимости Isub(ts) появляется в том случае, если расстояние между областью генерации горячих дырок и границей Si-Si02 достаточно мало, т.е. в МОПТ с мелкозалегающими/?-я-переходами. В этом случае электрическое поле заряженных ловушек в окисле влияет на распределение напряженности электрического поля и тока в кремнии на глубине, сравнимой с глубиной залегания «-перехода. Подобная зависимость Isub(ts) наблюдается и в МОПТ с Xj > 120 нм, но при V « 1 В, когда генерация горячих носителей происходит в приповерхностной области [142, 143]. 4.8.3. МОПТ с асимметричной LDD-структурой Использование LDD-областей в МОП-транзисторах позволяет обеспечить необходимый уровень стойкости к воздействию горячих носителей. Однако нагрузочная способность приборов при этом снижается из-за паразитного сопротивления LDD- областей. Как показано на рис.4.130 [144], паразитное сопротивление истока приводит к значительному снижению тока стока, что объясняется уменьшением эффективного напряжения на затворе. С другой стороны, ток стока в области насыщения зависит от сопротивления стока незначительно. Этот факт используется при конструировании транзисторов с асимметричной LDD-структурой (A-LDD), в которой слаболегированная область формируется лишь у стока. Как правило, при изготовлении A-LDD-транзисторов используются дополнительные циклы фотолитографии. В работе [144] технологическая сложность изготовле-
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 305 jjj Рис.4.130. Влияние паразитных последовательных сопротивлений истока (Д.) и стока (Rd) на ток стока (/d) Имплантация LDD-областей ,/ V ния A-LDD-транзисторов снижена за Рис.4.131. Последовательность основных счет использования процесса селектив- технологических этапов при изготовлении ного осаждения окисла из жидкой фазы КМОП-структур с (а) асимметричными и (рис.4.131). (б) обычными LDD-областями. Для фор- Выходные характеристики A-LDD- мирования асимметричного спейсера ис- транзисторов с длиной затвора 0,35 мкм пользуется селективное осаждение окис- представлены на рис. 4.132 в сравнении с ла из жидкой фазы (LPD = liquid phase обычным LDD-транзистором. Как видно dep0S110П' из приведенных характеристик, ток стока A-LDD-транзистора на 45% выше, чем у обычного LDD-транзистора. Оба транзистора имеют практически одинаковые зависимости порогового напряжения от длины затвора, что указывает на близость зна- 40 I30 и н 20 о ню 0 \ Асимметричные LDD-области Прямое включение. Асимметричные LDD-области Симметричные LDD-области \^3 0 5 10 Напряжение на стоке (В) а) б) 0 5 10 Напряжение на стоке (В) в) 0 5 Ю Напряжение на стоке (В) Рис. 4.132. (а) Выходные Id{ ^-характеристики я-МОПТ с асимметричной LDD-областью в прямом режиме включения: (б) выходные Id{ Vd) характеристики я-МОПТ с асимметричной LDD-областью в обратном режиме включения, (в) Выходные /^(^-характеристики п-МОПТ с обычными (симметричными) LDD-областями. Основные параметры я-МОПТ- структуры: Lg = 0,35 мкм, Wg = 50 мкм, Гох = 13,5 нм, Lsp = 200 нм (толщина спейсера). Ионная (Р+) имплантация LDD-областей осуществлялась в режиме: 40 кэВ, 1-Ю13 см~2. Напряжение на затворе изменялось от 1 В до 5 В через 1 В
JO06 Глава 4. Формирование сток-истоковых областей 5L g4 О н 3L Расчетная кривая Асимметричные LDD-обяасти Симметричные LDD-области 2L 0.2 0.4 0.6 0.8 1.0 Длина затвора (мкм) }.0ШйШЙс. ■ а ▲ д A-LDD LDD A-LDD LDD 0.4 0.5 -JQ.0 3.0 Напряжение на стоке (Vd) или 3ATBOPE(Vg)(B) Рис. 4.133. Сравнение экспериментальной и расчетной зависимостей тока стока (Vd = Vg; W = 10 мкм) от длины затвора (Lg) для я-МОПТ с асимметричной LDD-областью. Приводится Рис. 4.134. Нормированные Id(V»)- и Isub(V)-3a- также fon(L) для п-ЫОПТ с обычными висимости свидетельствуют о том, что я-МОПТ с асимметричной LDD-областью (A-LDD) характеризуются повышенными значениями Idsat и Isub, что указывает на более высокую нагрузочную способность по току, но более короткий срок службы (симметричными) LDD-областями. Доза имплантации ионов /?+ в LDD- чений эффективной длины канала. Различие области составляла НО13 см2. Толщи- в токе стока обычного и A-LDD-транзистора на спейсера 200 нм увеличивается при уменьшении длины затвора (рис.4.133) [144]. Расчетное значение тока стока на рис. 4.133 для A-LDD-транзистора определялось из выражения Id,sa<,A-LDD = h,at.LDD /(l - RsSm.sauA-LDD ' V4#)> ГДО R, ~ паразитное сопротивление, 8m sat a ldd~ крутизна длинноканального транзистора (L = L0) в области насыщения (Г.-5В). Исследование надежности МОП- транзистора с асимметричной LDD- структурой (Lg = 0,35 мкм, Тох = 6 нм, Wn = 5 мкм) выполнено в работе [144]. Зависимости Id{Vd) ПРИ ^ = 2,7 В и Isub(Vg) при Vg = 3,75 В для обычного и A-LDD-транзисторов представлены на рис.4.134. Как видно, максимальный ток подложки A-LDD-транзистора на 30% выше, чем у LDD-транзистора. Это увеличение Isubtfnax связано с более высоким (на 12%) током стока A-LDD- транзистора и, соответственно, с более интенсивной генерацией горячих носителей. На рис.4.135 представлены за- 10° Я "в < я Ег Й « я о S S о. о X 10* 1 ; Условия стресса: Vg -соответствует Isab max __•-• : vd=3,75B Jr'o ° A-LDD ^М~ а \ jm^n° j*r a ^^ ~ о Х^* ... \LDD s^ о or a щг^' 0* 102 103 Время (мин) 104 Рис. 4.135. Зависимость деградации тока xdsat I dsat ной LDD-областью (A-LDD) и с обычными (симметричными) LDD-областями (LDD). Скорости деградации приборов одинаковы, висимости деградации тока стока MJ однако в случае A-LDD-я-МОПТ срок служ- h Д™ обоих транзисторов при воздей- бы (из-за стрессового воздействия горячих ствии электрического стресса в режиме: носителей) оказывается примерно в 2,5 раза Vds = 3,75 В, Vg — соответствует макси- более коротким, чем для обычного я-МОПТ муму тока подложки. Как видно, при
4.8. Конструктивно-технологические проблемы субмикронных МОПТ ю4 юл|- £1°а 10' L р t Sd?a Условия стресса: Vd=3,25;3,5;3,75B Наклон=-2,8 ■ A-LOD D LDD '"■f--.. о ■ --.р | ■*•••. I 'Ш ••• а --в. »-. ■ ■ . *« Нормированная величина Isab/W Рис. 4.136. Зависимость срока службы я-МОПТ от тока подложки (1SUJW) для обоих типов приборной структуры (с асимметричной LDD-областью и с обычными LDD-областями). Представленные данные свидетельствуют о том, что срок службы можно оценить в соответствии с аналитической зависимостью: tHC oo (Isub/W)2-* 10» 2 £10* и X a 1Ql ю 81 о ю* : ш:... . ^ -!'• X — A-LDD LDD"-*- \ Х^ .« ""-•••^VorfASB 0.6 0.7 0.8 0.9 1.0 Нормированная величина Idsat Рис. 4.137. Для обеспечения одинакового срока службы (tHC) МОПТ с симметричными и асимметричными LDD-областями (например, 10 лет) необходимо использовать более низкое напряжение питания (на 0,12В). При этом ток стока Idsat МОПТ с А-LDD-областями на 8% выше, чем у обычных МОПТ равных значениях рабочего тока срок службы A-LDD-транзистора в 2,5 раза меньше, чем у обычного транзистора. Срок службы обоих транзисторов представляется идентичной зависимостью от тока подложки (рис. 4.136), что указывает на единый механизм деградации. Поэтому для конкретных приборов с одинаковой структурой стока величина Isub может рассматриваться в качестве критерия оценки надежности приборов. Сравнение LDD-и A-LDD-транзисторов при одинаковом значении I5Ub показывает, что при одинаковом сроке службы A-LDD-транзистор обеспечивает более высокий (на 8%) ток стока и, как видно из приведенных на рис. 4.137 данных, при меньшем напряжении питания (на 5%). Это означает 10%-ный выигрыш по потребляемой мощности и 5%-ное повышение быстродействия. 4.8.4. Обратная последовательность формирования LDD- и контактных сток-истоковых областей Последовательность операций в обычно используемом маршруте изготовления КМОП-транзисторов представлена на рис. 4.138. В этом маршруте сначала создаются LDD-области, а после формирования окисного спейсера осуществляется имплантация мышьяка в контактные сток-истоковые области МОПТ (в дальнейшем CSD-области — Contact Source Drain). В процессе постимплантационного отжига CSD-областей, легированных мышьяком, происходит ускорение диффузии примеси в SDE-областях, что отрицательно сказывается на короткоканальных характеристиках МОПТ. С другой стороны, уменьшение температуры и длительности постимплантационного отжига ограничивается возможной деградацией сток- истоковых /?-я-переходов при формировании силицидных контактов. Указанное противоречие разрешается при использовании обратной последовательности фор-
Глава 4. Формирование сток-истоковых областей Имплантация Р+ ♦ t I Резист а) Резист р-карман Имплантация В \ t * п-карман б) Имплантация As+ \ I I Экранирующий окисел над S/D-областями Резист Имплантация В ♦ ♦ ♦ Рис.4 Л 38. Обычная последовательность технологических этапов формирования КМОП-структур с LDD-областями. Для создания топологии областей истока- стока (S/D) в л-МОПТ и/ьМОПТ с LDD- областями требуется использовать четыре операции маскирования, поскольку селективная имплантация гг и /^-областей совмещается с краем поликремниевого затвора, а последующая селективная имплантация п+- и/?+-областей совмещается с краем спейсера. (а) Селективная имплантация /r-LDD-областей. (б) Селективная имплантация р -LDD-областей. (в) Формирование спейсера реактивно-ионным травлением с последующими операциями термического окисления (с целью создания экранирующего окисного слоя над S/D областями) и селективной имплантации As+ (с целью создания CSD-A7+- областей), (а) Селективная имплантация В+ (с целью создания CSD-^-областей р-канальных МОПТ) мирования SDE- и CSD-областей транзисторов с Leff = 0,5-0,25 мкм [146-149]. Схема изготовления КМОП- транзисторов с обратной последовательностью формирования SDE- и CSD- областей представлена на рис. 4.139 [146]. После осаждения слоя нелегированного поликремния, фотолитографии, травления и окисления затвора производят осаждение второго слоя поликремния (рис. 4.139я), который используется для создания временного («жертвенного») поликремниевого спейсера (рис.4.1396, г). На этом этапе осуществляются операции имплантации мышьяка (D = 5-1015 см-2) с последующим отжигом (900°С, 15- 90 мин) и имплантации бора (D = 5-1015 см-2) в CSD-области. После удаления временных спейсеров (рис. 4.139#, д) производят операции имплантации фосфора (D = 5-1013см-2) и бора (D = МО14 см2) в SDE-области, которые завершаются отжигом (900 °С, 10 мин) и формированием постоянного спейсера (рис. 4.139). В предложенной последовательности операций изготовления транзисторов обеспечивается большая полнота отжига имплантационных дефектов в CSD- областях, легированных мышьяком, и таким образом исключается причина ускорения диффузии примеси в SDE- областях. Кроме того, благодаря этому длительность финального отжига слоев в SDE-областях может быть выбрана минимальной. В результате подобного подхода обеспечивается формирование более глубоких слоев в CSD-областях и более мелких — в SDE-областях. Как следствие, этим достигается снижение тока утечки /?-я-переходов в я+-слоях, легированных мышьяком, и улучшение короткоканаль- ных характеристик транзисторов. Маршрут изготовления МОПТ с обратной последовательностью формирования глубоких и мелких сток-истоковых
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 309 Рис.4.139. Последовательность основных технологических этапов формирования КМОП- структур с LDD-областями с использованием временного (жертвенного) поликремниевого спейсера. (а) Осаждение нелегированного поликремниевого слоя для временного спейсера. (б) Формирование резистивной маски и реактивно-ионное травление поликремния с целью создания временного спейсера у я-МОПТ-структуры с последующей имплантацией As в п+- CSD-области. Удаление резиста и проведение термообработки с целью активации атомов As. (в) Безмасочная имплантация р+ с целью создания n+-LDD-областей. Для защиты /?-МОПТ-структуры используется нестравленная часть поликремниевого слоя, (г) Проведение второй операции формирования топологического рисунка, когда резистом маскируется уже я-МОПТ-область. Формируется поликремниевый спейсер для р-МОПТ- структуры с последующей операцией имплантации В+ с целью созданияр+- CSD-областей. (е) Удаляется временный спейсер и выполняется операция имплантации В+ с целью изготовления /?-LDD- областей. Далее следуют обычные операции формирования контактных окон и изготовления А1-разводки областей позволяет подавить эффект ускоренной диффузии фосфора в SDE- областях. На рис. 4.140 представлены зависимости тока утечки сток-истоковых р-п- переходов от длительности активационного отжига, выполняемого в CSD-областях перед имплантацией ионов фосфора или мышьяка в SDE-области. Обе компоненты утечки — по периметру и по площади — оказываются уменьшенными почти на два порядка при проведении отжига после имплантации мышьяка в сравнении с образцами, в которых отжиг мышьяка не проводился. На вставке рис. 4.140 иллюстрируется соответствующее уменьшение тока МОПТ (Leff = 0,4 мкм) в выключенном состоянии по сравнению с транзистором, в котором отжиг мышьяка не проведен. Как видно из зависимости Ij(Vd), ток выключенного состояния для транзистора, изготовленного по обычному технологическому процессу, определяется током утечки стокового /ья-перехода, а для транзистора с обратной последовательностью формирования CSD- и SDE-областей - током смыкания стока
(JO 10 Глава 4. Формирование сток-истоковых областей 1<И| Плотность тока утечки (нА/см2) —.— Ток утечки по периметру(пА/см) i t . i 'i с истоком. Подобные результаты, как сообщается в работе [146], были получены и для р-канальных МОПТ. Исследования МОПТ-транзисто- ров, изготовленных с использованием обратной последовательности формирования CSD- и SDE-областей, показали, что для заданной длины поликремниевого затвора Lgop эффективная длина канала увеличивается. На рис. 4.141 представлена зависимость L^Lg) для я-канального транзистора с обычной и обратной последовательностями формирования CSD- и SDE-областей; различие в значениях Leff составляет 75 нм [146]. Благодаря уменьшению латеральной диффузии примеси в описывае- Рис.4.140. Составляющие тока утечки п+-п- мом технологическом процессе воз- /7-диодных структур (отнесенные к единице можно использование фосфора вместо Напряжение на затворе(В) Мышьяк Vd=3,3B Vbb=0,0B о зо во м iao Продолжительность термообработки (мин) мышьяка для создания SDE-областей в транзисторах с Leff< 0,5 мкм. Такой выбор легирующей примеси, характеризующейся менее крутым фронтом распределения, площади или периметра) как функции продолжительности активационной термообработки (900°С) CSD-областей, имплантированных мышьяком перед имплантацией фосфора в SDE-области. Измерения проводились при напряжении обратного смещения VR = 5 В. концентрационного Для сравнения приводятся токи утечки как улучшает стойкость к воздействию го- для образцов с ^-областью, имплантирован- рячих носителей. На рис. 4.142 пред- ной /?+, так и для образцов с /r-областью, им- ставлены зависимости максимального плантированной As+. На вставке приводятся тока подложки я-канального МОПТ типичные Л/^-зависимости для я-МОПТ с от эффективной длины канала, из длиной канала Lch = 0,4 мкм и W= 25 мкм при которых ВИДно, что для транзисторов использовании отжига после имплантации с SDE.ouiacTHMII легированными мышьяка в CSD-области , , ~ л фосфором, ток подложки в 2—3 раза меньше, чем в случае легирования SDE- областей мышьяком. Из представленных на рис.4.143 зависимостей [146] следует, что для ^-канального МОПТ с Leff= 0,3 мкм и SDE-областями, легированными фосфором, при типовом токе подложки 1 мкА/мкм и напряжении питания 3,3 В прогнозируемый срок службы составляет более 10 лет. Использование описанного технологического процесса позволило изготовить п- и /^-канальные транзисторы с низкой чувствительностью порогового напряжения Vt к напряжению на стоке ^(DIBL-эффект). Как следует из зависимости dVt/dVd от Lejp представленной на рис.4.144, хорошие короткоканальные свойства как л-, так и р- канальных транзисторов сохраняются при значениях Leff вплоть до 0,3 мкм. Подобные результаты получены в работе [148] при изготовлении МОПТ с Leff= 0,25 мкм. Исследования также показали, что использование обратной последовательности формирования CSD-
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 31 Пй Импл. р ЗОмин) 0.4 0.5 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 1.5 Длина окисленного поликремниевого затвора , Lg ор(мкм) Рис. 4.141. Взаимосвязь между длиной канала (Lch) и длиной окисленного поликремниевого затвора (L&op) для п-МОПТ в случае применения активационной термообработки (900 °С, 30 мин) CSD-областей перед имплантацией фосфора в SDE-области, так и без нее. В первом случае наблюдается увеличение £е(Тна 75 нм во всем наблюдаемом диапазоне Lch = 0,4-2 мкм :> 350 Н 200| CQ §150| S С tool W» тох vd | Vbb 25мкм = 125 А «5В =»ов LDD(n>p+\ — LDD(n>As+ Без термообработки п^областей Без термообработки- п- областей 0.4 0.3 О.в 0.7 0.8 0.0 1.0 Длина канала, LcH(mkm) Рис. 4.142. Зависимости пиковых значений тока подложки /sub от длины канала (Lch) для я-МОПТ в случаях, когда для создания л -LDD-областей применялась имплантация As или Р+. При имплантации Р+ наблюдалось уменьшение /sub в 2,2 раза (при Lch = 0,5 мкм). Зафиксировано небольшое увеличение /sub (в 1,3 раза) для образцов с «-областями, имплантированными Р+, когда применялась активационная термообработка я+-областей, имплантированных As+, что обусловлено более резким латеральным градиентом рапределе- нияпримеси (Р) в «-областях
шЪ 12 Глава 4. Формирование сток-истоковых областей 106 105 !«И 103 10* 101 г Jk ^t~LDD(n )_р+ \ ^ВШ Бе"3 теРмообРаботки \ \йк п-областей Г \А Ч^ 1 LDD(n )-As+ 4A& ^ 1 Без термообработки *\ * 4^ п*областей ^Ч ' А \а СтермообраЧ А^Р боткой lfc п-обпастей We 25 м км Тох -125 А Vbb -0 В >- i i > t, i.i i i I t i ii ,i t„,i,„J 10 100 Ток подложки , Isub/W (мкА/мкм) да 80 3-50 iST «Электрическая» длина канала (мкм) Рис. 4.144. Зависимость чувствительности порогового напряжения к изменению напряжения на стоке (dVt/dVd) от эффективной длины канала (DIBL-эффект) для п- и /7-МОПТ, изготовленных по технологии с использованием временного поликремниевого спейсера Рис. 4.143. Зависимости срока службы п- МОПТ (определяемые по 10%-ному спадут под воздействием горячих носителей) от нормализованной величины максимального тока подложки (Isub/W). Используемые я-МОПТ соответствовали приборным структурам, представленным на предыдущем рисунке, когда LDD (п~) области формировались путем имплантации либо As+, либо Р+, а также с использованием предваряющей термообработки я+-областей или же без нее и SDE-областей позволяет исключить обратный короткоканальный эффект в «-канальных транзисторах [149]. 4.8.5. Структура типа LATID При масштабировании МОПТ в область проектных норм < 0,5 мкм рабочее напряжение уменьшают с целью повышения надежности и снижения мощности, рассеиваемой прибором. Однако в связи с тенденцией увеличения поверхностной концентрации примеси и крутизны концентрационного профиля SDE-слоев проблема обеспечения надежности масштабируемых МОПТ, несмотря на снижение рабочего напряжения, продолжает оставаться ограничивающим фактором. При эксплуатации транзистора под воздействием горячих носителей в окис- ном спейсере вблизи края затвора происходит захват носителей на участке максимальной напряженности латерального электрического поля LDD-области. Под воздействием поля захваченных зарядов максимум плотности тока канала смещается от поверхности вглубь LDD-области, в результате чего ток стока уменьшается. Результаты моделирования распределения тока канала по глубине LDD-области, не перекрытой затвором, показывают, что при плотности захваченных электронов в окисном спейсере Q = Ъ1012 см2 плотность тока на границе Si-Si02 уменьшается с 6104 А/см2 до 1,2-104 А/см2 (рис. 4.145л) [150]. Одновременно вследствие этого
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 313j|] 10 Г LOO I Una О г/% г #»0О( hr • -il I OJmkm^^HL ^-J-iCtok I О При отсутствии заряда • При наличии заряда Vq=3.3B Vd= 0.05 В Эодо^ Оя2Е12сма rnr-rr -» " 1 i LDD ~0 10 20 30 40 Расстояние от поверхности Si(HM) Линия тока VyJ„*♦' СТОК при отсутствии"^ заряда а) FOLD ЗАТВОР НВВ Линия тока при наличии заряда 0 10 20 30 40 Расстояние от поверхности Sj(hm) Линия тока при отсутствии заряда б) Рис. 4.145. Полученные с помощью двумерного моделирования зависимости распределения по глубине плотности тока в канале у границы с п -областью стока (LDD) в случаях наличия или отсутствия фиксированного заряда. Рассматривались я-МОПТ с Le{f= 0,3 мкм, имеющие LDD-область без перекрытия ее затвором (а) или же полностью перекрываемую затвором (б) FOLD-структуру Условия моделирования: Vg = 3,3 В; V6 = 0,05 В (плотность захваченного заряда над LDD-областью (?= 2 • 1012 см2) снижается и максимальный ток подложки. При увеличении в определенных пределах дозы имплантации фосфора в «"-область деградация тока стока уменьшается (рис. 4.146я), однако максимальный ток подложки, начиная с дозы 3-Ю13 см2, увеличивается из-за роста максимальной напряженности латерального электрического поля. Как следует из экспериментальных данных (рис.4.146я) [150], величина дозы ионов фосфора, имплантируемых в «-область, соответствующая минимальному значению максимального тока подложки Isubmax, не равна дозе, при которой достигается минимум деградации тока стока. Поэтому для обеспечения надежности (срока службы) МОПТ со структурой LDD необходим выбор оптимального соотношения между степенью деградации тока стока транзистора AIJId при воздействии горячих носителей и величиной тока подложки. Для повышения надежности масштабируемых МОПТ используют три основных метода, связанных с выбором структуры стоковых областей. Во-первых, уменьшают скорость генерации горячих носителей, осуществляя пространственное разделение положения максимума напряженности латерального электрического Eut поля и максимума плотности тока канала. Во-вторых, уменьшают интенсивность инжекции горячих носителей в окисел, смещая положение максимума скорости ударной ионизации носителей (максимума плотности тока канала) вглубь кремния. В-третьих, обеспечивают положение максимума напряженности
Глава 4. Формирование сток-истоковых областей *& 15f-OAId/ici 1^ржО,Змкм n":Viec»50KB СтрессУо» 6В Время стресса=Ю00сек \ Минимум Aid/Id МИНИМУМ lsub.n й 0.3 1 0.2 10.1 а) 5 10 Доза (хЮ|3см" 20 115 «10 й о н с* S а (Я & 5 OAId/Id h • Isub.max *~ V \ * Цн=0,3мкм П":Увее*50кВ Стресс, Vo=6B Врнмя стресса3 1 1 И i 0 Минимум A Id/Id /* 1 : Ч i / Л t МИНИМУМ I sub,max =1000Сск „i 1 1 6) 2 5 Доза(хЮ1 10 20 см2) 0.3 1 H0.2 0.1 латерального электрического поля в области, перекрытой затвором. В МОПТ с полностью перекрытой затвором SDE-областью, где велика составляющая поперечного электрического поля, снижается интенсивность генерации и инжекции горячих носителей в окисел, а кроме того, уменьшается последовательное сопротивление SDE-области [154] благодаря аккумуляции носителей под воздействием поля затвора. Структура стока, полностью перекрытого затвором, получила название FOLD- структуры (Fully Overlapped Lightly Doped Drain). Известны различные конструктивно-технологические варианты структуры МОПТ, в разной степени реализующие вышеуказанные рекомендации по повышению надежности и снижению сопротивления SDE-области МОПТ Среди них наиболее известны: структура МОПТ с заглубленным максимумом распределения концентрации в LDD- области (buried LDD = BLDD) [152]; МОПТ с затвором в виде инверсного Т (ITLDD) [153, 155]; МОПТ с умеренно повышенным уровнем легирования LDD-области (MLDD) [156] и МОПТ с LDD-областью, имплантированной пучком ионов с большим углом наклона относительно нормали к поверхности кремния (LATID = Large Angle Tilt Implanted Drain) [150-152, 157-160]. „ A Л Ar _ Последний вариант физической струк- Рис.4.146. Зависимости деградации тока „. стока (МЛ) и максимальной величины тока ТУРЫ Транзистора является наиболее подложки (/submax) под воздействием элек- предпочтительным, так как он удовлет- трического стресса от дозы имплантации воряет всем условиям подавления эф- фосфора (Е = 50 кэВ) при формировании фекта горячих носителей. п -области МОПТ (Lci{= 0,3 мкм) с FOLD- и LDD-структурами области стока: (a) LDD- структура; (б) FOLD-структура Исследование характеристик и стойкости к воздействию горячих носителей «-канальных транзисторов со структурой LATID выполнено в работах [150-152, 160,161]. На рис. 4.1455 представлены результаты моделирования распределения тока канала в «'-области при плотности захваченных электронов в подзатворном окисле Q = 2-Ю12 см-2, которые иллюстрируют преимущества МОПТ со структурой
4.8. Конструктивно-технологические проблемы субмикронных МОПТ LATID [150]. Благодаря перекрытию затвором участка п -области, в котором располагается максимум Е1аП захват носителей в окисле в значительно меньшей мере влияет на траекторию движения носителей, а также на плотность тока в канале у поверхности кремния. В данном случае снижение поверхностной плотности тока составляет 20% в сравнении с 80%-ным снижением в LDD-транзисторе (рис. 4.1466). Вследствие указанных особенностей LATID- транзистора минимум зависимости тока подложки Isuhmax от дозы имплантации фосфора в п -область соответствует минимуму деградации тока стока AId/Id. Следует отметить, что доза ионов фосфора, при которой достигается минимум IsubMax и MJId в LATID-транзисторе, более чем в два раза превышает соответствующую дозу в LDD-транзисторе. Таким образом, конструкция LATID-транзистора не только обеспечивает повышение надежности и срока его службы, но и снижение паразитного сопротивления сток- истоковых областей. Очевидно, что указанные характеристики LATID-транзистора зависят от угла наклона пучка и энергии ионов, имплантируемых в ^-область. При увеличении угла наклона пучка ионов фосфора изменяется соотношение между вертикальным и латеральным распространением примеси в «-области. Экспериментальные исследования зависимости максимального тока подложки от угла наклона ионного пучка показывают, что минимальная величина тока обеспечивается при значении угла наклона пучка около 0 = 45° (рис. 4.147). С повышением энергии ионов значение Lb,max снижается из-за увеличения латеральной Rx и вертикальной Ry глубины диффузии примеси. Двумерное моделирование концентрационного профиля, сформированного наклонной имплантацией, показало, что, действительно, S 0.3 S * 0.2 :подл( LgfjpQ«3MKM Затвор Г тох-11 нм rTTW', п: n*: 1Е14см"2 ^х// е~о° / 6=45° 0s60° ^Ч^44^^^ „ * Vacc= 35кВ о Фч. rv'Vacc=50KB * 1 ^^ У 1 s <-> ^ Л 1 l s » t I t J \ .. . 1 1 Угол имплантации, 0 (градусы) Рис.4.147. Зависимости максимальной величины тока подложки (при Vd = 3,3 В) от угла имплантации ионов фосфора при формировании я -области (LDD) с дозой 1 • 1014 см2 (для случая я-МОПТ с IefT = = 0,3 мкм и Тох = 11 нм) при трех различных значениях энергии ионов 1 5Л° PQ юле 5 3.5 5 S3.0 о X :имал се Затвор г \ ^^^Ьтп* \ yyZr .. \ /6=45° i\\ e=eoe \\ ^ П* 1Е14см*2 1,й=0.3мкм Vgs2b Toxs11hm VQS3.3B . . . . i . . . . ) 50 90 Угол имплантации , 6 (градусы) Рис.4.148. Полученная с помощью двумерного моделирования зависимость максимальной величины латеральной составляющей напряженности электрического поля (при Vg = 2 В и Vd = 3,3 В) от угла имплантации ионов фосфора при формировании я-области (LDD) с дозой D= 1 • 1014 см2 и энергией 50 кэВ для случая я-МОПТ
(316 Глава 4. Формирование сток-истоковых областей VD=3.3B Т0х=1"Ь 100 Ускоряющее поле (кВ) Leff«0.3MKM, гг:Уасс»35кВ, 1Е14см*2 Область эл. тока Z а) тГ -энергия имплантации 35кэВ Left» О.Змкм,гг: Voce» 80кВ 1ЕН см"2 Область эл. тока б) if -энергия имплантации 80кэВ Рис. 4.149. Экспериментальные зависимости максимальной величины тока подложки (4ib,max) 0T энергии ионов фосфора при имплантации в я-область (LDD) для трех различных значений дозы D = 31013; 5-Ю13 и 1 • 1014 см2 (при угле имплантации 45°) для случая я-МОПТ Рис. 4.150. Полученная с помощью двумерного моделирования картина распределения напряженности электрического поля и области растекания тока для я-МОПТ с FOLD-структурой (Leff= 0,3 мкм) при одинаковых дозах имплантации (D= 1 — 10 см2), но различных значениях энергии ионов фосфора: (а) 35 кэВ; (б) 80 кэВ максимальная напряженность латерального электрического поля достигает минимального значения при Э = 45° и снижается при увеличении энергии ионов (рис. 4.148, 4.149) [150, 151]. На рис. 4.150 [150] представлены результаты двумерного моделирования распределения напряженности латерального электрического поля и тока канала вблизи края ^-области, сформированной с использованием наклонной имплантации при 0 = 45°. При энергии ионов £=35 кэВ ток канала проходит практически через область пика напряженности электрического поля, причем глубина залегания пика незначительна. При этих условиях эффективность ударной ионизации и инжекции горячих носителей в окисел максимальны. С другой стороны, при энергии ионов Е = 80 кэВ пик напряженности латерального электрического поля смещается вглубь кремния, а ток канала протекает вблизи поверхности, что создает условия для снижения интенсивности ударной ионизации (см. рис.4.147). Однако использование наклонной имплантации фосфора с энергией ионов 80 кэВ может привести к ухудшению короткоканальных характеристик МОПТ из-за уменьшения эффективной длины канала. Изменением соотношения значений угла наклона ионного пучка 0, энергии ионов Е и дозы D добиваются оптимальных условий формирования «-областей, обеспечивающих выполнение требований к короткоканальным характеристикам, надежности и паразитному последовательному сопротивлению МОПТ. В работе [150] показано, что для МОПТ с эффективной длиной канала Leff= 0,3 мкм оптимальными условиями имплантации фосфора в n-область являются: 0 = 45°, £=50кэВ,£=Ы014см-2.
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 31 Табл. 4.12. Критерии, использованные для оценки предельно допустимых значений Vr Характеристика Надежность подзатворного слоя (времязависимый диэлектрический пробой — TDDB) Минимальное рабочее напряжение Ток утечки в цепи затвора (GIDL = Gate Induced Drain Leakage) Уменьшение порогового напряжения, Vt (DIBL = Drain Induced Barrier Lowering) Надежность, определяемая стрессовым воздействием горячих носителей (AV = 10 мВ или 10%-ная деградация Id) Критерий 5 МВ/см 2V, Id = 1 пА/мкм при Vg = 0 Vt(Vd = VDD)-Vt(Vd = 50MB) = 100 мВ Шлет (=3,154- 108сек) Масштабирование МОПТ со структурой LATID Оптимизация физической структуры сток-истоковых областей и напряжения питания МОПТ приобретает все большее значение при уменьшении длины затвора. Для приборов в глубокосубмикронном диапазоне размеров снижение латеральной напряженности электрического поля обычно обеспечивают уменьшением напряжения питания, что приводит к потере нагрузочной способности приборов. Использование структуры стока с полным перекрытием «-области затвором позволяет повысить напряжение питания и, соответственно, ток стока. Тем не менее, в работе [160] показано, что и нагрузочная способность, и стойкость к воздействию горячих носителей у LATID-транзисторов выше, чем у МОПТ, не содержащих «-области (SD-транзисторов) вплоть до размеров затворов Lg > 0,15 мкм. В указанной работе проведен анализ зависимости допустимого напряжения питания VDD МОПТ от толщины подзатворного окисла с учетом таких параметров, как времязависимый пробой окисла (TDDB), индуцированный затвором ток утечки стока (GIDL-эффект) и сдвиг порогового напряжения Vt(Vd) (DIBL- Рис.4.151. Области допустимых значений напряжения питания (KDD), ограниченные указанными на рисунке факторами, в зависимости от толщины подзатворного окисла (Гох) в координатах VDD от Гох (заштрихованные участки) для МОПТ с длиной затвора Lg = 0,35 мкм в случаях: (а) транзистор без LDD (SD-структура); (б) транзистор с полным перекрытием LDD-области затвором (LATID-структура)
ш$ 18 Глава 4. Формированиесток-истоковыхобластей ^3 1 b I | I I I ц I I » I | I I I I | I I I ■ | ■ ■ » » ! i » ■> 1 ■ Тох =6: 0.2 0.4 Lg (мкм) 0.6 0.8 0.7 0.6 0.5 0.4 30.3 0.2 0.1 {• ■ i ■ ■ ■ ■ 1 ■ ■»■ i»■»■ i ■ ■ ■ ■ i»■ " »■ О OL-LDO О SD 0.2 0.4 Lg (мкм) 0.6 0.8 Рис. 4.152. Максимально допустимое зна- Рис. 4.153. Зависимости тока стока (/d) от чение напряжения на стоке (Kdmax), обеспе- длины затвора (Lg) при V] = Vg = Kdmax, почивающее 10-летний срок службы МОПТ, лученные при анализе различных поколе- как функция длины затвора (Lg) в случа- иий КМОП, в случаях использования SD-и ях использования SD- и LATID-структур LATlD-структур сток-истоковых областей сток-истоковых областей. Толщина подзатворного окисного слоя (Гох), концентрация эффект). Ограничения на значения ука- имплантируемой легирующей примеси в занных параметров, использованные LDD-областях (/г), энергия имплантируе- для оценки предельно допустимых зна- мых ионов и их доза, а также температурный чеНий VDD, приведены в табл. 4.12 [160], режим термообработки после операций им- а результирующие области допустимых плантации областей истока-стока оптими- ,„т„„л„тяЛ i/ „„„ qt\ ™„т.г,„„™*~л„ (<z^ , ч значении Упп для SD-транзисторов (без зировались (масштабировались) для каждо- т ^^v T Ai;;n ^ллглл LDD) и LATID-транзисторов представ- го поколения КМОП-структур ' ^ г г * лены на рис. 4.151. Как видно из представленных графических зависимостей в области малых Тох, допустимое значение VDD ограничено деградацией подзатворного окисла при воздействии поля напряженностью 5 МВ/см; в области больших Тох допустимое напряжение VDD ограничено DlBL-эффектом (условием AVt, (VDD) < 100 мВ), а в области промежуточных, значений Тох — напряжением Vdmax, при котором деградация тока стока Ald/Id при воздействии горячих носителей не превышает 10% в течение заданного срока службы приборов (обычно составляющего -10 лет). Из полученных результатов следует, что для простых SD-транзисторов допустимое напряжение Кдд ограничено, главным образом, эффектом горячих носителей, т.е. значением Vdmax, в то время как для LATID-транзистора значения допустимого напряжения питания Кд/) ограничены, главным образом, надежностью подзатворного окисла (TDDB) и сдвигом порогового напряжения при V, = VDD (DIBL-эффектом). Как видно из представленных на рис.4.151 а,б, область допустимых значений VDD для LATID-транзистора значительно шире, чем для SD-транзистора. В работе [160] экспериментальный анализ, подобный проведенному для транзисторов с длиной затвора Lg = 0,35 мкм, был выполнен для масштабированных SD- и LATID-транзисторов в диапазоне значений Lg до 0,15 мкм. Масштабирование физической структуры транзисторов осуществлялось с оптимизацией толщины подзатворного окисла, концентрации примеси в канале, дозы и энергии ионов фосфора, имплантируемых в «-область, и режимов отжига сток-истоковых областей. На рис. 4.152 и 4.153 представлены зависимости максимально допустимого напряже-
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 3 I9 ния на стоке Vdmax и тока стока при Vd = Vg = Vdmax от длины затвора Lg. Как видно, нагрузочная способность LATID- транзистора значительно выше, чем у SD-транзистора, когда напряжение питания Vd равно Vdmax. Кроме того, очевидно, что LATID-транзистор характеризуется меньшей в сравнении с SD-транзистором емкостью затвора относительно стока Cgd как в режиме обеднения, так и в режиме обогащения. Благодаря этим преимуществам LATID-транзисторы обеспечивают высо- Рис. 4.154. Зависимости времени задержки кое быстродействие КМОП-инверторов. (tpd) от длины затвора (Lg), полученные при На рис. 4.154 приведены значения вре- анализе различных поколений КМОП, в слу- мени задержки распространения сиг- чаях использования SD- и LATID-структур нала КМОП-инверторов с длиной за- сток-истоковых областей (при VDD - VDmJ твора транзисторов в диапазоне от 0,6 до 0,15 мкм. Напряжение питания инверторов, использованное при измерениях, составляло Vdmax. Быстродействие КМОП-приборов с LATID-транзисторами значительно выше в сравнении с приборами на SD-транзисторах благодаря более высокому току стока и более низкой паразитной емкости. LATID-тронзисторы с заглубленным концентрационным профилем п-области. Дальнейшее улучшение параметров LATI D-транзисторов может быть обеспечено за счет снижения паразитной емкости Сd [152,161]. В работе [161] снижение емкости Cgd достигается за счет выбора таких режимов наклонной имплантации, при которых пик концентрационного распределения располагается ниже поверхности кремния, а поверхностная концентрация примеси приблизительно вдвое ниже, чем в обычной LATID-структуре (рис. 4.155). Последовательность процессов изготовления МОПТ со скрытой lATID-структурой такая же, как и при изготовлении обычного транзистора; отличие заключается лишь в величине угла наклона пучка 0 и энергии ионов фосфора Е при формировании п -области. В работе [161] использовали значения 0 = 25° и Е = 60 кэВ вместо 0 = 45° и Е = 50 кэВ, используемых при изготовлении обычного LAT1D- транзистора. На рис. 4.156 представлены результаты двумерного моделирования рис. 4.155. Смоделированные концентра- распределения концентрации примеси ционные профили распределения фосфора в «-слоях, полученных в режимах фор- по глубине вблизи края затвора для случа- мирования скрытой и обычной LATID- ев скрытой LATlD-структуры и обычной структуры. Эффективные дозы легиро- LATID-структуры 10 19, 18 ;10 m 10 16 \TBOF го § " • ■ ■ 1 ' ' ' ' 1 ' ' ' ' 1 Скрытая —-*" ^sT"4^ Обычная Ч^ч>структура-1_АТШ \ X 1 * X 1 4 X 1 , > 1 , 1 1, ninnl » 1 1 \ 1 1 1 |и„> ik„uk i\u\ 0.05 0.1 0.15 0.2 Глубина(мкм)
(О 20 Глава 4. Формирование сток-истоковых областей а) Si02 K<LJ> \ I j 6) 0=45», , 4 Si02 ^0.1 ж s Ю £o.2| -0.4 -0.3 -0.2 -0.1 0 0.1 0.2 Расстояние от края затвора (мкм) he» to»«cm* -0.4 -0.3 -0.2 -0.1 0 0.1 Расстояние от края затвора (мкм) 0.2 Рис. 4.156. Смоделированная двумерная картина изоконцентрационных профилей распределения легирующих примесей (Р и As) вблизи края затвора для случаев: (а) скрытой LATID-структуры (9 = 25°; Z>eff= 21013 см2; 9 =69 кэВ) и (б) обычной LATID-структуры (9 =45°; Z)eff=2- 19,3см-2; £= 59 кВ) вания Deff (произведение фактической дозы ионов и cos 0) в обоих случаях были равны 2-Ю13 см2. Из представленных на рис.4.156 распределений концентраций примеси видно, что концентрация фосфора у края затвора в скрытой LATID- структуре (0,7-1018 см3) ниже, чем в обычной структуре (1,5* 1018 см3), в то время как длина перекрытия «-области затвором приблизительно одинакова. Вследствие этого емкость Сdуменьшается на 10%, достигая уровня емкости CgdB LDD- структуре (рис. 4.157). Значения тока стока МОПТ со скрытой и обычной LATID- структурой практически не отличаются несмотря на различие в поверхностной концентрации примеси в п -области (рис. 4.157). Однако в сравнении с LDD- транзистором, ток стока МОПТ со скрытой LATID-структурой (2,8 мА) выше на ~ 50%, чем в LDD-транзисторе (1,9 мА) при одинаковом значении (V — V) =2,5 ю-3 ю-6 "о"9 1 m -12 10 '*•! Скрыт a*LATlDk «Обычна h-LATIDA^-sSS!^" ' /• Обычная-САТЮ^д.-** 9 . i i ) 1 2 V.(B) ~** ' i / loo/ /V 4 V ;*Скрытауг1АТ10 . I 3 * \ 0.15 Ф/мкм) o.io g: X» CD о 0.05 Рис. 4.157. Зависимости тока стока (Id) и емкости затвора относительно стока (Cd) от напряжения на затворе (Vg) при Vd = 3,3 В для случаев: скрытой LATID-структуры, обычной LATID-структуры и LDD-структуры. Длина затвора Lg = 0,5 мкм, ширина затвора W— 19 мкм. Пороговое напряжение ^ = 9,55; 9,55 и 9,6 В для случаев скрытой LATID- структуры, обычной LATID-структуры и LDD-структуры, соответственно ^^ i с/ каскад о о с 50 ч \ \ v .% '>qLDD - \ . Обычная , АТ¥1^ «. Ч "структура-LATID У^ Скрытая , .^^•*N__**^. структура-LATID ^^—■»— ,1иЯ . F/0»1 *- 45-каскадный КМОП кольцевой генератор i.i 2.0 3.0 4.0 1 vdd (В) Рис. 4.158. Зависимости времени задержки/на каскад (/pd) от напряжения питания (Vdd) для кольцевого генератора на КМОП- вентилях, сформированных на МОПТ (Leff= 9,3 мкм) и изготовленных со скрытыми LATID-структурами, обычными LATID- структурами и с LDD-структурами
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 3 21 10 & /Id( -о и—' < i LDD_.^a ."** .Ж Г -^•0" Обычная д** структура-LATID ■ ^ .-Л^г V" А'* ^"^ Скрытая » ,,•• ^/^ структура-LATID •** ^^ A*" JT i 1 1 11 10 100 1000 10000 Длительность стресса (с) а) р-подложка (карман) б) LATID о|г> р" - LATI D-имплантация бОкэВ, 3x10" см2 в, = 30° /// Irfnfllffftf ■•■•fa/ У-м.У.,. ,V.J #) Приповерхностный скомпенсированный слой djr> BFj-SCD-имплантация 40кэВ, ЗхЮ'Чм2 = 60° г) SCD-LDD Ц»0.09мкм CVD-оксидный / AS I! Рис. 4.159. Зависимости относительной деградации тока стока (AId/Id) от продолжительности стрессового воздействия (/stress). Значения A/d//d определялись при условии максимального тока подложки (/submax): К£1=7В;Ке = 2ВдляМОПТ(1е1Г=0,6мкм)^со- держащих скрытые LATID-структуры; обычные LATID-структуры и LDD-структуры В. В результате указанных преимуществ МОПТ со скрытой LATID-структурой Рис. 4.160. Последовательность основных длительность задержки распростране- технологических операций при изготовления сигнала КМОП инвертором сни- нии: а - исходная структура n-МОПТ перед зилась на 7% в сравнении с обычным созданием LATID; б - создание LATID- LATID-транзистором за счет сниже- структуры; в - создание приповерхностно- ния емкости С\ и на 40% в сравнении с го скомпенсированного слоя; г - «-МОПТ т у^т^ g с приповерхностным скомпенсированным LDD-транзистором за счет повышения к т ^ - /сг-тл т т^т-Г с г ^ 2 слоем в LDD-области (SCD-LDD = Surface тока стока (рис.4.158). Couter-doped Lightly Doped Drain) Сравнение стойкости к воздействию горячих носителей транзисторов со скрытой LATID-структурой и обычных LATID-транзисторов показало, что благодаря еще большему смещению линий тока канала в глубь «-области деградация тока стока AId/ldуменьшается на 10% (рис.4.159). По совокупности характеристик транзистор со скрытой LATID- структурой является перспективной конструкцией для использования в глубоко- субмикронной области топологических размеров. Другой, более сложный, но и более эффективный вариант обеспечения надежности МОПТ со скрытой LATID-структурой предложен в работе [152]. В этом варианте концентрация носителей в приповерхностной области снижается путем наклонной имплантации ионов компенсирующей примеси (рис.4.160). В результате представленных операций формируется «-область, полностью перекрытая затвором, с повышенной проводимостью в нижней части концентрационного профиля, причем отклонение траектории тока канала вглубь «-области регулируется отдельной операцией имплантации ионов BF2. Вследствие этого в большей степени обеспечивается подавление генерации и инжекции горячих носителей в подзатворный
(JO 22 Глава 4. Формирование сток-истоковых областей окисел, а также снижение тока подложки, по сравнению с МОПТ с обычной скрытой LATID-структурой. Благодаря этому срок службы при ускоренных испытаниях МОПТ увеличивается в 2,5 раза. Однако этот выигрыш в надежности достигается за счет снижения, хоть и незначительного (7,6%), тока стока. 4.8.6. Структура стока с pocket-областями Подавление короткоканальных эффектов является ключевой проблемой при масштабировании МОП-транзисторов. Естественным решением этой проблемы наряду с уменьшением толщины подзатворного окисла является повышение концентрации примеси в кармане. Однако подобное решение приводит к повышению порогового напряжения, снижению подвижности носителей и увеличению емкости /?-я-переходов. Последующие альтернативные конструкции МОПТ с ретроградным распределением примеси в канале, хоть и обеспечивают низкую поверхностную концентрацию и снижение тока утечки транзистора при повышенном напряжении на стоке (DlBL-эффект), характеризуются повышенной паразитной емкостью /?-я-переходов и емкостью слоя обеднения. Это отрицательно сказывается на быстродействии приборов, на подпороговом S-факторе и на величине тока приборов в выключенном состоянии Ioff= IdiVg= Vf)/№ V/S. Следующий этап развития конструкции МОПТ в направлении подавления короткоканальных эффектов связан с созданием вокруг сток-истоковых слоев так называемых halo- или pocket-областей, имеющих противоположный тип проводимости (рис.4.161) [163]. Эта конструкция позволяет улучшить короткоканальные характеристики транзистора AVt(Lg), AV—(VD) без увеличения подпорогового 5-фактора, но не обеспечивает снижение емкости /?-#-переходов. Использование наклонной имплантации позволяет создавать pocket-области с меньшей глубиной залегания /?-я-переходов, чем Х} сток-истоковых слоев, благодаря чему формируются локальные pocket-области, что позволяет значительно снизить емкость /ья-переходов (рис. 4.162) [164]. Таким образом, в МОПТ с локальными pocket- областями обеспечивается снижение поверхностной концентрации примеси в канале и улучшения короткоканальных характеристик при незначительном jsjfj) р-тип ^ду; Силицид вольфрама Lyy •. Гсыо-облдсти ''VxA Рис. 4.161. Поперечное сечение симметричного я-МОПТ с дважды имплантируемыми LDD-областями (DI-LDD = Double Implanted LDD). Гало-область сформирована примесью /?-типа Рис.4.162. Последовательность основных технологических операций создания я-МОПТ с pocket-областями: (а) формирование /i-LDD-областей; (б) наклонная имплантация примеси /?-типа с целью создания halo-областей, проводимая после формирования спейсера; (в) Имплантация п+- областей истока/стока (образование pocket-области)
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 323jm] Табл. 4.13. Режимы проведения основных технологических операций, используемых при изготовлении физической структуры л-МОПТ и/?-МОПТ для КМОП-СБИБ (для случаев операций ионной имплантации последовательно указываются имплантируемый ион, доза, энергия, угол наклона, например: В+; 6,0-1012 см2; 30 кэВ; 45°) Технологические этапы Изоляция Карманы Ионная имплантация канала Подзатворный оксид Ионная имплантация LDD-областей Спейсер Ионная имплантация halo-областей 1 Ионная имплантация областей 1 исток/стока я-МОПТ | р-МОПТ LOCOS В+;3,0х10,3;500кэВ BF?+;2,0x10,2;60k3B Р+;3,0х10,3;800кэВ BF,+;5,5x1012;60k3B Т„ = 60А Р+; 1,0хЮ,3;30кэВ Толщина оксидной стенки = 500 А В+;5,0хЮ,2;30кэВ,45° В+;5,0хЮ12;50кэВ,45° As+; 5,0 х 1015; 30 кэВ Р+;2,4хЮ13;80кэВ, 30° Р+;2,4х1013; 120 кэВ, 30° Р+;4,0хЮ,3;80кэВ, 30° Р+;2,4хЮ13;80кэВ,45° BF2+;2,0x10,5;30k3B увеличении паразитной емкости ^-«-переходов. МОПТ с подобными характеристиками позволяют создавать приборы, обладающие как высоким быстродействием, так и малой рассеиваемой мощностью. Дополнительное и важное достоинство использования pocket-областей заключается в том, что они создаются на последней стадии маршрута формирования структуры транзистора, в отличие от других ■•— Обычная структура «— вСб.0ж10а^0кэВ,45* "*— B&0xl0tt,50 кэВ,45* 0.25 0.35 0.45 Длина затвора, Ц(мкм) 0.55 - Обычная структура " Р&4х10*\8О кэВ,30в J -Р^хЮ^ПОкэвЗО"! -Р,н4.0х10и,80кэв,30* i 0.15 0.25 0.35 0.45 Длина затвора, Lg (мкм) 0.55 Рис. 4.163. Сдвиг порогового напряжения (Vt) при уменьшении длины затвора (Lg) в зависимости от режимов формирования pocket- областей: (а) л-МОПТ и (б) р-МОТТТ а) п-мопт Обычная структура вЖОжМ^ЗОкэВ^Г 0.25 0.35 0.45 Длина затвора, Ц(мкм) 0.55 0.25 0.35 0.45 Длина затвора, Ц(мкм) 0.55 Рис. 4.164. Напряжение пробоя между истоком и стоком (BVds) в зависимости от длины затвора (Lg) для случаев: (а) «-МОПТ и (б) /?-МОПТ
324 Глава 4. Формирование сток-истоковых областей Рис. 4.166. Зависимость тока стока (/d) от напряжения на стоке (Vd) при различных на- тина распределения нулевой эквипотенциа- пряжениях смещения на затворе (Kg = 0,2; ли, границ ОПЗ и металлургического центра 0,0 и -0,2 В) для случаев: (а) л-МОПТ (Lg = /?-я-переходов истока/стока и векторные ли- 0,25 мкм) с pocket-областями и (б) р- МОПТ нии тока в случаях: (а) обычного я-МОПТ и (Lg = 0,25 мкм) с pocket-областями (б) я-МОПТ с pocket-областями. Длина затвора составляла L& = 0,25 мкм. Условия мо- методов подавления короткоканальных делирования: Vg = 0;Vd = 6,0 В эффектов. Создание pocket-областей осуществляется имплантацией либо перед формированием LDD-областей, либо после формирования спейсеров перед имплантацией примеси в контактные сток- истоковые области. На рис. 4.162 и в табл. 4.13 приведены последовательность и режимы операций имплантации, используемых при изготовлении структуры pocket- транзисторов с длиной затвора 0,25 мкм. [164]. Формирование pocket-областей является самосовмещенным процессом и не требует дополнительного цикла фотолитографии. Спад порогового напряжения Vt в области малых значений длины затвора у pocket-транзистора значительно меньше, чем у обычного транзистора (рис. 4.163). Улучшение короткоканальных свойств pocket-транзистора иллюстрируется также увеличением напряжения смыкания областей обеднения стока и истока, оцениваемое при уровне тока 1 нА (рис. 4.164). Как видно из рис.4.164, благодаря уменьшению ширины областей обеднения LDD-сяоев минимальная длина затвора «-канальных pocket-транзисторов может быть снижена на 0,05 мкм, а/ьканальных — на 0,12 мкм относительно длины затвора LDD-транзистора Рис. 4.165. Смоделированная двумерная кар-
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 1 i » > i "«' ♦— LDD- структура «—В^.ОхЮи,30кэВ,45в ^~~~ ВДОж10и£0кэВ<4$° 0.15 0.25 0.35 0.45 Длина затвора, Ц (мкм) 0.55 0.25 0.35 0.45 Длина затвора, Lg(MKM) 0.55 Рис. 4.167. Ток стока в режиме насыщения (/dsat) как функция длины затвора (Lg) для МОПТ с pocket и с LDD-областями. Условия измерений: Vd = 2,0 В и V% = 2,0 В + Vx (я)л-МОПТ;(б)р-МОПТ (а) п-МОПТ Гало-области ; В, 6.0ж101Х, 50 кэ& 4S* гН о S Ш(Г1Э К^0,0В / Обычная структура,^J /Гало-структура 0.2 1.4 Напряжение на затворе , \^(В)=0,2В/деление (Ь) р-МОПТ Гало-области: Р,4.0хЮ13,80кэВ,ЗО* Г £т Г L [• -тс Обычная структура Гало-структура \^ ^Оч >. . , , . >. V....Vr ^Vd=-2,(B -1,0В^" 0,0В { "^^vvv^J 0.2 04 Напряжение на затворе, Ув(В):=0,2В/деление Рис. 4.168. Подпороговые Id(Vg) характеристики при трех различных значениях | Vd\ = 0,0; 1,0 и 2,0 В для случаев: (а) «-МОПТ с L = 0,17 мкм; (б)р-МОПТ с L = 0,25 мкм при сохранении значения напряжения смыкания. Картина распределения потенциала в структурах обычного и pocket-транзистора по результатам моделирования при значениях напряжений Vg = 0 и Vd = 6 В представлена на рис. 4.165. Видно, что введение pocket-областей эффективно предотвращает поверхностное смыкание слоев обеднения истока и стока. С приведенной картиной распределения потенциала согласуются экспериментальные зависимости тока утечки стока от напряжения Vd (рис.4.166). Как видно, в отличие от pocket-транзистора в обычном транзисторе с Lg = 0,25 мкм ток утечки наблюдается даже при запирающем напряжении на затворе Vg— 0,2В. Зависимости тока стока насыщения от длины затвора п- и /?-канальных pocket- транзисторов приведены на рис. 4.167. Использование безмасочной ионной имплантации при формировании я -LDD-областей (см. табл. 4.13) отражается на сопротивлении сток-истоковых областей /^-канального МОПТ. Поэтому значения тока стока Idsat, /^-канальных pocket-транзисторов ниже, чем Idsat обычных транзисторов. Подпороговые Id( ^-характеристики pocket-транзисторов приведены на рис. 4.168. В обычном транзисторе из-за протяженной области обеднения стокового /?-я-перехода в большей степени проявляется DIBL-эффект, чем в pocket- транзисторах. При уменьшении длины затвора МОПТ ниже 0,25 мкм подпорого- вый наклон характеристик резко возрастает, причем для pocket-транзисторов при меньших значениях Lg, чем для обычных транзисторов (рис.4.169).
Глава 4. Формирование сток-истоковых областей 150 §130 I90 " 70 50 1 а) п 1 L -мопт 2 > 1, '»■''■ 1 '''■ 1 - Обычная структура 1 " ОДОхМ^кэВ^ 1 "" В,6.0х10",50кэВ,45о J 1 В'»""«"»«"•«'■">■■■'» ж •""■ щ 1 1 1 1 1 1 \ I У 1 1 1 0.15 0.25 0.35 0.45 Длина затвора, Lg(MKM) 0.55 ев Влияние параметров ионной имплантации при формировании pocket- областей на короткоканальные характеристики п- и /ьканальных МОГТТ с Lg = 0,18 мкм исследовано в работе [165]. Сечение физической структуры транзистора и параметры процессов имплантации SDE- и pocket-областей исследованных МОПТ, представлены на рис.4.170. Эффективная длина канала МОПТ благодаря малой глубине залегания р-п- переходов SDE-областей, легированных бором и мышьяком, составляла 0,13-^0,14 мкм. Для минимизации ширины слоя обеднения SDE-областей глубина залегания максимума концентрационного распределения pocket-областей была равна глубине залегания /ья-переходов SDE-областей. На рис. 4.171 приведены зависимости порогового напряжения Vt и подпорогового 5-фактора от длины за- Рис. 4.169. Изменения подпорогового твора „-канального МОПТ для различ- 5-фактора как функции длины затвора Ных углов наклона ионного пучка 9. При (L.) при различных режимах имплантации ~ ^оч г 8. ; г. „ , ч лтпт малом значении 0 (7 ) наблюдается спад pocket-областей для случаев: (а) я-МОПТ; Tr v ' (б) р-МОПТ ^ ПРИ большей величине длины затвора, чем при 0 = 25° и 45°. Это объясняется тем, что при уменьшении бокового распространенрш бора блокирующее влияние pocket-области на расширение слоя обеднения стока уменьшается. При значении угла наклона ионного пучка 0 = 45° происходит увеличение порогового напряжения, а спад Vt сдвигается в область малых значений длины затвора. Кроме того, наблюдается увеличение подпорогового 5-фактора. Полученные результаты объясняются тем, что при большом угле наклона ионного пучка и энергии ионов 15 кэВ происходит латеральное легирование области канала бором на расстоянии, большем, чем 0,5Le#, вследствие чего концентрационные профили pocket-областей смыкаются под затвором. Поэтому из-за повышения поверхностной концентрации примеси в кана- 1JU 130 110 90 70 : ' а I г \ Л \б)р -.j ъ. t ' Г% ' ' -мопт _1—1—1—1^ 1 1 ■ t 1 ) 1 т-y-t г-ч-ч» л —•—Обычная структура А - Р,2.4ж10,3,80кэВ,30в | * Р,2.4х1013Д20КэВ*30° J —«— р,4.0хЮ13^0кэВ30° 1 \ ° Р,2.4хЮ ,80кэВ»4Г Ц fcte^ ^^^^--^ "1 ^"^" "-51—jjs J 0.15 0.25 0.35 0.45 Длина затвора , Lg (мкм) 0.55 Extension-области истока/стока (SDE) * Имплантация .областей исток/стока Сильно легированный слой, препятствующий смыканию ОПЗ Г Имплантируемые j области Pocket-область Extension-область п-МОПТ 5Е12В+ 15кэВ 7/25/4#угол наклона ЗЕ14М+ 15кэВ р-МОПТ (У5/8Е12Р+ 50кэВ 25^угол наклона ЗЕ14В+ 1кэВ Рис. 4.170. Поперечное сечение МОПТ с SDE- и pocket-областями и режимы имплантации при формировании этих областей
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 3 27j| h n-мопт ►7-градусов Q—ЕЗ 25-градусов .(и., | 45-градусов > I I I I I 90 _ ^85 CQ 3 фактор ел 80 75 • п-МОПТ -... JL L 1 ф—+ 7-градусов Q—H 25-градусов -| 1- 45-градусов —J. ..I...I, IM Длина затвора, Lg(MKM) Длина затвора, Lg(MKM) Рис. 4.171. Сдвиг порогового напряжения (Vt) и увеличение подпорогового 5-фактора при уменьшении длины затвора (L ) я-МОПТ при различных углах имплантации (7°, 25° и 45°) 104 ю-4 10$ 'цм* S 10? < 10* ~ 10* ww to-*' 10"" кг" - - %,= 4A a Р-МОПТ ; N-МОПТ,^-*---- l;-l,4;-l,8Bf Vd = l; 1,4; 1,8В 1 . . , ill . , . I х . . . -0.9 0 0 0.9 1. Vg(B) Vd(B) Рис. 4.172. Вольт-амперные характеристики р-МОТП и л-МОПТ с длиной затвора Lg = 0,18 мкм: (а) подпороговые Id(V)-характеристики (при трех различных напряжениях на стоке \Vd\ = 1,0; 1,4 и 1,8 В); (б) Id( Fd)-характеристики (при четырех различных напряжениях на затворе \V\ =0,6; 1,0; 1,4 и 1,8 В) 10"' 0.6 в 5 >говое напряжен 'Л а 0.4 о 0.3 р-МОПТ I , I. fl) -—*— . 1 . U- АГ|- . J =::~-ф—%=i-U_« •-• 0Е12 &~€3 5Е12 н—1- 8Е12 1 1 .1 1 1, " 1J Дтина затвора, Lg(MKM) 90 ^ ада g» со S-фактор 75 ■ • р-МОПТ 1 б) 1 о-* 0Е12 &~D 5Е12 +-+ 8Е12 JL I.......L i-J—LlJ Длина затвора, Lg(MKM) Рис. 4.173. (а) Обратный короткоканальный эффект (RSCE) в р-МОПТ при увеличении дозы имплантации фосфора в pocket-область до 8-Ю12 см2; (б) Подпороговоый S-фактор при уменьшении длины канала (Lg) при различных дозах имплантации pocket-областей
Глава 4. Формирование сток-истоковых областей 11Г н>—Без pocket-o&iaera -*— Имплантация 1п+ -а--Имплантация Ga+ О 0,5 1 Напряжение на затворе, Vg (В) 1,5 0 ЬЗ 1 1J Напряжение на затворе , Vg(B) Рис. 4.174. Сравнение подпороговых Id(Vg) характеристик я-МОПТ (Lg = 0,1 мкм и Vx = 1,5 В) с pocket-областями, сформированными имплантацией ионов 1п+ и Ga+, a также без pocket-областей Рис. 4.175. Сравнение подпороговых Id(Vg) характеристик для я-МОПТ с pocket- областями, легированными галием, и с различной длиной затвора при Vd= 1,5 В ле происходит увеличение порогового напряжения, а из-за увеличения емкости слоя обеднения — возрастание подпорогового 5-фактора. Имплантация бора с наклоном ионного пучка 0 = 25° обеспечивает компромиссное соотношение значений Vt и S. 1( ^-характеристики транзисторов в подпороговой области и в области насыщения приведены на рис. 4.172. В/?-канальном транзисторе pocket-области создавали имплантацией ионов фосфора (D= 5-Ю12 см2 и 8-Ю12 см2). При использовании дозы 8-Ю12 см2 спад порогового напряжения начинается при значении длины затвора Lg ~ 0,15 мкм. Однако, как видно из рис.4.173, при этом значении дозы имплантации наблюдается обратный короткоканальный эффект, а высокая концентрация примеси в канале вызывает увеличение емкости /ья-переходов и подпорогового ^-фактора. Поэтому в работе [ 165] в качестве оптимальной для pocket-областей была выбрана доза 5-1012 см2. Как в случае я-канальных, так и /ьканальных транзисторов наличие pocket-областей не влияет на величину длинноканального порогового напряжения. Использование pocket-областей с целью подавления короткоканальных эффектов при низкой поверхностной концентрации примеси в канале Ns = (2-гЗ)- 1017см3 оказалось возможным и в я-канальных транзисторах с длиной затвора до 0,12 мкм [166]. Но в этом случае имплантацию в pocket-области осуществляли ионами более тяжелых элементов — галлием или индием, имеющих низкие значения проекции пробега, что позволяло создавать узкие pocket-области, ограничивающие расширение областей пространственного заряда стоковых /?-я-переходов. Использование индия в качестве легирующей примеси приводило к повышенным токам утечки /?-я-переходов. Подпороговые характеристики я-канальных транзисторов приведены на рис. 4.174 и 4.175. Pocket-области, легированные галлием, обеспечивают величину подпорогового ^-фактора, не превышающую 86 мВ/дек. Ток стока Idsat МОПТ при Vd = Vg = 1,5В составлял 430 мкА/мкм при низком уровне тока в выключенном состоянии Ioff< 5 нА/мкм. Очевидно, что использование pocket-областей приводит к повышению напряженности латерального электрического поля вблизи стока. Поэтому требования по надежности МОПТ с pocket-областями не могут быть жесткими, а напряжение питания должно быть ограничено сверху. В то же время ясно, что для улучшения
4.8. Конструктивно-технологические проблемы субмикронных МОПТ короткоканальных характеристик транзисторов достаточно иметь pocket-области только у истока, в то время как у стока желательно формировать LATID-области для обеспечения стойкости к воздействию горячих носителей, «-канальный транзистор с подобной асимметричной структурой сток-истоковых областей исследован в работе [163]. Схематическое изображение поперечной структуры ассим- метричного транзистора представлено на рис. 4.176. Результаты моделирования показывают, что надежная работа асимметричного транзистора с эффективной длиной канала Leff= 0,15 мкм может быть обеспечена при напряжении питания К^ = 3,5 В. Более того, указанная конструкция транзистора делает возможной независимую оптимизацию таких противоречивых характеристик, как коротко- канальные характеристики и стойкость к воздействию горячих носителей. Однако применение МОПТ с асимметричными сток-истоковыми областями затруднительно из-за топологических ограничений. 4.8.7. МОПТ с поликремниевыми контактами Имплантация HALO-p-области В последующих разделах будут описаны методы создания МОПТ с использованием поликристаллических или монокристаллических слоев кремния, формируемых на сток-истоковых областях с целью уменьшения площади, занимаемой транзистором, и/или с целью уменьшения глубины залегания /?-я-переходов без сопутствующего в обычных транзисторах повышения последовательного сопротивления. При использовании минимальных топологических размеров менее 0,13 мкм данный метод оказался единственно возможным для создания надежных МОПТ. Первоначально самосовмещенные поликремниевые контакты к сток-истоковым областям использовали при формировании МОПТ с длиной затвора > 0,5 мкм в технологии изготовления БиКМОП-приборов. Помимо совместимости с технологией изготовления биполярных приборов это обеспечивало снижение площади, занимаемой транзистором, и уменьшение паразитных емкостей /?-я-переходов и последовательного сопротивления МОПТ Последовательность операций изготовления МОПТ представлена на рис. 4.177 [167]. Во время имплантации примеси в область базы биполярного транзистора подзатворный диэлектрик защищается слоем поликремния толщиной 500 А (рис. 4.177д). Затем осаждают и легируют слой поликремния (0,3 мкм), наносят слой нитрида кремния (0,3 мкм) и анизотропным травлением Ка имплантации под большими углами формируют области затворов (рис. 4.1776). (LATI = Large Angle Tilt Implantation), (б) После легирования ZDD-областей создают Окончательная структура асимметричного окисные спейсеры толщиной 0,2 мкм, а HS-GOLD-МОПТ перед металлизацией -тонкий-TEOS (<200А) а) Спейсер У TiSi* СТОК ЗАТВОР ^ -л n+-HQJiM-Si У////Л р-тип V \ И б) Рис.4.176. (а) Структура асимметричного п-МОПТ с halo-областью у истока и слабо легированной LDD-областью у стока, полностью перекрываемые затвором (HS-GOLD = Halo Source Gate Overlapped Lighly doped Drain). Используется техни-
Глава 4. Формирование сток-истоковых областей (а) После имплантации базы . NjcapMi тззй 'шшшт (б) После травления затвора/эмиттера шш (в) После формирования окисных и поликремниевых спейсеров ущ/тт Шшшж в Продолжение (формирование межсоединений) (г) После травления второго Si-слоя (локальные межсоединения) (д) После диффузии из поликремниевых спейсеров и удаления нитрида кремния с поликремниевых затворов ///ГПКЛ7Г/7ТП ~"*V р-МОПТ J KI+\_ А гН 4_n« <^ы ^ , ^ Р ^ Р:подложка Рис. 4.178. Поперечное сечение вдоль канала для р-МОПТ и л-МОПТ, сформированных с помощью SIFT-технологии затем — поликремниевые спейсеры толщиной 0,7 мкм (рис. АЛИ в). Локальные межсоединения создают при помощи второго слоя поликремния (рис. 4.177г). Легирование поликремниевых спейсеров и межсоединений осуществляют ионной имплантацией. Последующий процесс быстрого термического отжига необходим для разрушения естественного окисла между моно- и поликремнием, а отжигом в печи обеспечивают диффузию примесей из поликремниевых спейсеров в сток-истоковые области (рис.4.1775). После удаления нитрида кремния с поликремниевых затворов в ортофосфор- Рис. 4.177. Последовательность основных ной кислоте на поликремниевых межтехнологических этапов формирования р-МОПТ структуры (на примере БиКМОП структуры) с самосовмещенными поликремниевыми электродами к областям ис- соединениях и затворах формируют слой силицида платины (рис. 4.178). Слои силицида платины PtSi2 на межсоединени- тока/стока с использованием SIFT- процес- ях и затворах изолируются друг от друга са (SIFT = Fillet self-aligned contact) окисным спейсером толщиной 0,2 мкм. Использование самосовмещенных поликремниевых электродов к сток-истоковым областям в этом маршруте обеспечивает значительное снижение емкости /?-я-переходов и не приводит к увеличению последовательного сопротивления. 4.8.8. Использование приподнятых сток-истоковых областей в МОП-структурах При уменьшении длины затвора масштабируемых МОПТ менее 0,13 мкм, когда глубина залегания р-я-перехода SDE-областей XjSDE достигает 40 нм, доминирующим параметром, ограничивающим ток стока Idsat становится последовательное сопротивление транзистора Rs (см. [28] — гл. 2). Кроме того, в МОПТ с длиной затвора менее 0,15 мкм усугубляется проблема тока утечки /?-я-перехода после формирования силицидно- го контакта к сток-истоковым областям. Это связано с тем, что толщину слоя силицида невозможно уменьшать пропорционально глубине залегания/7-я-перехода
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 3 3 П| ESDI LOCOS- изоляция ' V Ctok/j 'исток-областц б) 10я i 10е |ю» я ж 5 10" 10* Г — г р г 1 шшшш Ч ^ \ \ \ \ V Е ESD2(2E15,2SK3B> Г Эпитаксиальны и Е слой Г 1 т i d • RTA 950 °С Юсек j 1 1 ! 4ESD1 (4Е15,80кэВ)!| 1 X j 1 \ 1 ! \ 1 1 X ! Подложка <ч» v. 3 .1 1 Г* ,1 0.05 0.1 Глубина (мкм) 0.15 0.2 Рис. 4.180. Полученные методом ВИМС концентрационные профили распределения имплантированной легирующей примеси (As) в эпитаксиальном слое и в подложке после RTA-термообработки (950°С, 10 с) для двух вариантов формирования приподнятых SD-областей: ESDI (4 • 1015 см2, 80 кэВ) и ESD2 (2 • 1015 см2, 25 кэВ) Рис. 4.179. Поперечные сечения, демонстрирующие структуру МОПТ: (а) с приподнятыми областями истока-стока (два варианта: ESDI и ESD2); (б) с SDE-областью CDS-областей XjCDS [ 170], вследствие чего при формировании силицидного слоя толщиной 50 нм на сток-истоковых областях с XjCDS = 70 нм происходит значительное (на 2-3 порядка) увеличение тока утечки /?-я-перехода [168]. Ограничения на минимально допустимые значения XjSDE и XjCDS сток- истоковых областей, обеспечивающие подавление короткоканальных эффектов масштабируемых МОПТ, с одной стороны, и минимально допустимые значения последовательного сопротивления Rs и тока утечки, с другой, могут быть преодолены при использовании конструкции МОПТ с так называемыми приподнятыми (Elevated) сток-истоковыми областями [168-170]. Поперечное сечение двух вариантов МОПТ с приподнятыми ^-областями приведено на рис. 4.179, а соответствующие концентрационные профили легирования - на рис. 4.180 [ 168]. В приведенных структурах на поверхности 5/)-областей осуществляется наращивание слоя кремния методом локальной эпитаксии. В результате такие структуры позволяют одновременно обеспечить улучшение короткоканальных характеристик и уменьшение последовательного сопротивления и тока утечки МОПТ. В работе [168] локальное наращивание эпитаксиального слоя толщиной 0,1 мкм осуществлялось после имплантации примеси в слаболегированные сток- истоковые области и формирования окисного спейсера толщиной 0,07 мкм. В структуре МОПТ с приподнятыми 5/)-областями (Elevated Source-Drain = ESD) первого типа (ESD-1) ионная имплантация мышьяка (Е = 80 кэВ) в 37)-области производилась после эпитаксиального наращивания, при этом обеспечивалось однородное легирование эпитаксиального слоя, а глубина залегания /ья-перехода в подложке составляла « 70 нм. В структуре типа ESD-2 ионное легирование осуществлялось с меньшей энергией ионов (Е = 25 кэВ) с целью снизить кон-
Глава 4. Формирование сток-истоковых областей 12 3 4 5 Напряжение обратного смещения И! HIIIIIIIIIIMI I После SEG | Перед SEG й 1Й \ I I и -11.2 -11.0 -10.3 -10.0 lg 10 (ток утечки (А)) -9.4 -9.1 Рис. 4.182. Гистограмма распределения величин тока утечки обратносмещенных (2,5 В) сил ицидизированных мелких /?-я-переходов, сформированных имплантацией мышьяка перед и после локального наращивания эпитаксиального слоя (SEG). Площадь р-п- переходов составляла 2,8-10^ метре, равном 0,14 см см^ при пери- Рис. 4.181. Экспериментальные зависимости тока утечки от напряжения обратного смещения /?-я-переходов SD-областей для «приподнятого» варианта (ESD) и для обычной структуры. Толщина силицида CoSi2 на SDE-областях равна 70 нм, в обычных транзисторах — 50 нм {X]LDD = 40 нм) центрацию примеси в SD-областях и обеспечить снижение максимальной напряженности латерального электрического поля в стоке. Выбором значения энергии ионов мышьяка, имплантируемых в £1)-области, достигается оптимальное соотношение между величиной последовательного сопротивления сток-истоковых областей и интенсивностью генерации горячих носителей. Так, в структуре ESD-1 поверхностное сопротивление сток-истоковых областей р5 было равно 55 Ом/П (Rs = 500 Оммкм) в сравнении с LDD-транзистором, в котором ps было равно 200 Ом/П (Rs = 2000 Ом-мкм). Дальнейшее снижение последовательного сопротивления структуры ESD-1 возможно при уменьшении толщины стенок спейсера Lsp. Однако при этом необходимо учитывать увеличение емкости между затвором и стоком Cgd, а также уменьшение эффективной длины канала и, следовательно, ухудшение короткоканальных характеристик МОПТ. Завершающей стадией формирования транзисторных структур с приподнятыми SD-областями является создание слоя силицида TiSi2 или CoSi2 на поликремниевых затворах и локальных эпитаксиальных слоях. Использование эпитаксиального слоя в структурах ESD позволяет исключить деградацию /?-я-переходов (рис. 4.181) [168]. Недопустимо большой ток утечки />-я-перехода наблюдается в обычных МОПТ в сравнении с МОПТ с приподнятыми SD-областями, так как при формировании слоя силицида (~50 нм) происходит потребление более 50% толщины легированного слоя [172]. Изготовление МОПТ с приподнятыми 51)-областями возможно двумя альтернативными методами: 1) легирование сток-истоковых областей осуществляется после наращивания эпитаксиального слоя (мышьяк, D = 4-Ю15 см2; Е= $0 кэВ); 2) до операции эпитаксиального наращивания (D- 1,5-1015 см-2; Е= 15 кэВ). Приведенные на рис. 4.179 [168] варианты ESD-структуры имеют различные характеристики. В первом варианте структуры глубина залегания/?-и-перехода под фаской эпитаксиального слоя оказывается больше, чем во втором варианте, что приводит
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 333 а) LOCOS- Фасетные участки ^/SEG-слоя v \Ш7777Ш n't область Подложка р-типа Подложка р-типа Рис. 4.183. Проникновение слоя силицида (CoSi2) в легированную (п+) область подложки вблизи LOCOS-изоляции под фасет- ными участками селективно выращенного эпитаксиального слоя (SEG) в двух случаях: а) имплантация легирующей примеси (As) осуществлялась после эпитаксии; б) имплантация As осуществлялась непосредственно в подложку перед эпитаксией, что повышает вероятность проникновения си- лицидного слоя в нелегированную область подложки />-типа Эпитаксиальный слой Фаска а) \ Фаска ^ Затвор Первый спейсер ^чПерв б> ГПТ\ в) <тр& Второй спейсер Рис.4.184. Поперечные сечения трех вариантов МОПТ-структур с приподнятыми сто к/исто ковыми областями: а) эпитаксиальный слой с фаской, б) эпитаксиальный слой без фаски, в) эпитаксиальный слой с фаской, закрытой вторым спенсером к ухудшению короткоканальных характеристик транзистора. С другой стороны, структуры, формируемые по второму варианту, характеризуются увеличенными токами утечки обратносмещенных/?-я-переходов после формирования слоя силицида (рис. 4.182), что связано с уменьшением глубины залегания /?-и-перехода Xj и образованием фаски по периметру активных областей (рис. 4.183). Проблема большого тока утечки ^-«-перехода в работе [169] решена введением операций формирования второго окисного спейсера (рис.4.184). При этом исключается увеличение глубины залегания /?-я-перехода в области фаски, а край CSD-области отдаляется от затвора. Благодаря этому короткоканальные характеристики транзистора улучшаются, однако ток стока уменьшается из-за повышения последовательного сопротивления. В работе [171] проблема токов утечки решена благодаря снижению температуры эпитаксиального наращивания. Вследствие этого из-за латерального роста эпитаксиальный слой распространяется на область «птичьего клюва», так что край образующегося слоя силицида располагается на окисле. Помимо низкой температуры процесса эпитаксиального наращивания особенностью технологии, предложенной в работе [171], является использование нелегированного эпитаксиального слоя малой толщины. В указанной работе толщина эпитаксиального слоя (30 нм) лишь незначительно меньше толщины слоя кремния, потребляемого при образовании силицида (45 нм). Подобное решение обеспечивает ряд преимуществ: 1) благодаря независимому выбору глубины залегания /?-я-перехода и толщины эпитаксиального слоя обе-
Глава 4. Формирование сток-истоковых областей 200нм Осаждение a -Si щЦ 150нм Контрольная ESD а) В+(6кэВ)^ As+(70k3B) Имплантация затвора и истока/стока B+(5k3B)xAs+(50k3B)| б) Селективная эпитаксия: 30нм;810°С в) Силицидация: Ti/Co (8/15нм) г) ESD Рис.4.185. Последовательность основных технологических операций изготовления обычной (контрольной) структуры МОПТ и МОПТ с приподнятыми областями истока/стока (ESD), создаваемыми с использованием операции селективного наращивания эпитаксиального слоя кремния спечивается возможность управления расстоянием между границей силицида и ^-«-переходом; 2) структурное совершенство эпитаксиального слоя не является критичным фактором, так как дефекты и фаска не оказывают влияния на профиль /?-#-перехода и качество силицида; 3) толщина слоев силицида одинакова как в я-МОПТ, так и в/ьМОПТ, поскольку образование силицида происходит с потреблением всей толщины нелегированного эпитаксиального слоя; 4) дополнительный термический бюджет, вносимый процессом эпитак- сии, незначителен, так как толщина эпитаксиального слоя мала, а высокотемпературный предэпитаксиаль- ный отжиг не используется. Последовательность операций изготовления МОПТ с приподнятыми ££>-областями с тонким нелегированным эпитаксиальным слоем представлена на рис. 4.185 [171]. Толщина слоя аморфного кремния для формирования затвора составляла 150 нм (для контрольного МОПТ с обычной конструкцией — 200 нм) (рис. 4.185я). На поверхность затворного слоя аморфного кремния не наносился слой защитного диэлектрика для предотвращения эпитаксиального роста, как это делается в работах [168—170, 172, 173], что позволяло уменьшить сложность технологического процесса изготовления МОПТ После фотолитографии и реактивно- Табл. 4.14. Плотность тока утечки /?-я-переходов, измеренная при напряжении обратного смещения 2 В. Площадь /?-л-перехода Л = 1,2-105 мкм2, периметр Р = 1,4 • 103 мкм - для контрольных структур, для структур с приподнятыми областями истока-стока (ESD) А = 4,3 • 104 мкм2 и Р= 4,1 • 104 мкм Компонента тока утечки п7р р+/п По площади По периметру По площади По периметру Контрольная структура 0,90 нА/см2 1,30пА/см 0,12нА/см2 2,90 пА/см ESD-структура 0,95 нА/см2 2,10пА/см 0ДЗнА/см2 2,50 пА/см
4.8. Конструктивно-технологические проблемы субмикронных МОПТ ионного травления областей затвора осуществляли ионную имплантацию для легирования сток-истоковых SDE-областей для я-МОПТ; в структуре /?-МОПТ SDE-области не использовали. Спейсеры толщиной 80 нм формировали из нитрида кремния. После ионной имплантации мышьяка (Е = 50 кэВ) и бора (Е = 5 кэВ) в SD-области производили быстрый постимплантационный отжиг (1050 °С) (рис.4.1856). Эпитак- сиальное наращивание локальных слоев кремния толщиной 30 нм осуществляли при температуре 810 °С в течение 2 мин без использования предэпитаксиального отжига (рис. 4.185#). Толщина 5 10 2030 50 7080 SO95 99 99.999.99 Процентная доля Рис.4.186. Вейбулловское распределение токов утечки между силицидизированными областями затвора и стока/истока для МОПТ, эпитаксиального изготовляемых по стандартной (контрольная слоя выбиралась из условия обеспе- структура) технологии, и для МОПТ с при- чения малого потребления силици- поднятыми областями истока/стока (ESD). дом кремния подложки. Так, при Ток утечки измерялся при смещении 1,5 В в толщине эпитаксиального слоя 30 нм структуре с эффективным периметром 1 метр общая толщина слоя кремния, использованного для формирования силицида, составила 45 нм. Благодаря наличию эпитаксиального слоя затвор после операции создания слоя силицида приобретал Г-образную форму (рис. 4.185d), что способствует снижению его сопротивления. Основными параметрами, определяющими возможность использования такой структуры МОПТ с приподнятыми SD-областями, являются токутечки/ья-переходов и ток утечки между затвором и сток-истоковыми областями («закоротки»). В табл. 4.14 [171] представлено сравнение тока утечки /?-я-переходов сток- истоковых областей МОПТ с приподнятыми £/)-областями и обычных МОПТ. Причем в первом случае глубина залегания /?-я-перехода определялась имплантацией мышьяка с энергией 50 кэВ, а во втором — с энергией 70 кэВ. Температура быстрого постимплантационного отжига (1050°С) и толщина слоя силицида в обоих случаях были одинаковыми. Из приведенной таблицы видно, что ток утечки /?-я-перехода в транзисторе с приподнятыми CSD-областями оказывается сравнимым с током утечки обычного транзистора, несмотря на меньшую глубину залегания /?-я-перехода. Использование локально выращиваемого эпитаксиального слоя в рассматриваемой структуре МОПТ приводит к боковому росту силицида на затворе и, как следствие, к уменьшению расстояния между силицидом затвора и силицидом сток-истоковых областей. На рис. 4.186 [171] представлены результаты измерения тока утечки между затвором и сток-истоковыми областями тестовой структуры с эффективным периметром активной области транзистора, равным 1 м. Как видно, несмотря на Т-образную форму затвора, приобретаемую после формирования силицида, ток утечки в транзисторе с приподнятыми CSD-областями не отлича-
Глава 4. Формирование сток-истоковых областей Табл. 4.15. Сравнения эффекта обеднения затвора Материал затвора я+-поликремний р+-поликремний Контрольная структура 11,4% 12,2% ESD-структура 8,5% 8,3% а) Tisi2; Исток Спейсер Сток Мв=1.5Х1019см-3 Xj =55нм(ВР2-имш1:4Х1013см*2,15кэВ) BSG б) T,SI2 " ется от тока утечки в обычном транзисторе. Такой результат получен благодаря малой толщине «жертвенного» эпитаксиального слоя. При изготовлении глубокосубмикронных МОПТ ограниченный термический бюджет операций формирования £/)-областей является основным фактором, приводящим к эффекту обеднения затвора носителями на границе с подзатворным окислом в режиме инверсии. В МОПТ с приподнятыми SD-областями толщина затворного слоя на этапе его легирования меньше, чем в обычном транзисторе на толщину эпитаксиального слоя, вследствие чего концентрация примеси в затворе на границе с подзатворным окислом выше, и эффект обеднения затвора проявляется слабее. В табл. 4.15, приводятся результаты сравнения эффекта обеднения затвора (количественно определяемого отношением (Cinv - Сасс)/Сасс, где Cim и Сасс — емкости затвора в режиме инверсии и обогащения) для обычного транзистора и для МОПТ с приподнятыми £/)-областями: в первом случае эффект обеднения равен 11,4% (12,2%), во втором - 8,5% (8,3%) для «-МОПТ и /?-МОПТ, соответственно. Данные, приведенные в табл. 4.15, показывают также, что термический бюджет, вводимый процессом локального эпитаксиального наращивания (Т= 810 °С; / = 2 мин), не вызывает значительной дезактивации примеси. Преимущество конструкции МОПТ с приподнятыми SD-областями проявляется лишь в том случае, когда для обеспечения удовлетворительных короткоканальных характеристик необходимо обеспечить минимальный термический бюджет и нельзя повысить температуру отжига с целью снижения эффекта обеднения затвора или увеличить глубину залегания /?-я-переходов для уменьшения последовательного сопротивления и предотвращения утечек, вводи - Рис. 4.187. Поперечные сечения и линии Мых операциями формирования силицида. тока/ьМОПТ, изготавливаемых с помо- Значение длины затвора Z= 0,18 мкм, ис- щью различных технологий: а — LDD- - rin МОПТ б - SPDD-МОПТ (с областями пользованное в работе [171], не является истока-стока, формируемыми методом предельным для обычного транзистора, диффузии из твердой фазы (SPDD = Используя различные приемы снижения Solid-Phase Diffused Drain), (в) S4D-MOnT короткоканальных эффектов (введени- с областями сток-истока, содержащими ем pocket-областей, снижением толщины полицидный спейсер, самосовмещенный подзатворного окисла), еще можно повы- с SDE-областями (S4D = Sili-cided Silicon- сить температуру отжига и глубину залега- Sidewall Source and Drain). ния ^-я_Переходов сток-истоковых обла- Исток Спейсер Сток Xj ==34нм (RTA:l000eC,15ceK ) S4D-o6iacTb в) TiSi2 : NB =4.0X1020 см-3 Nb=2.0X102°cm-3 " Xj =50Нм (RTA:1000"C,10cck )
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 3 3 Тш стей без ухудшения характеристик транзисторов. Однако при уменьшении длины затвора до 0,13 мкм и ниже получить хорошие характеристики транзисторов без использования структуры с приподнятыми ^-областями затруднительно. 4.8.9. МОПТ со сток-истоковыми областями, содержащими полицидный спейсер (S4D) Наибольший эффект с точки зрения уменьшения последовательного сопротивления может быть достигнут в конструкции МОПТ, в которой приподнятые сток-истоковые области самосовмещены непосредственно с SDE-областями. На рис. 4.187 [174] представлено поперечное сечение и траектории движения носителей в предложенной структуре транзистора (S4D — Suicided Silicon-Side-wall Source and Drain). Помимо снижения последовательного сопротивления МОПТ, такая структура обеспечивает также улучшение короткоканальных характеристик благодаря большей эффективной длине канала LeJfB сравнении с LDD-МОПТ, так как латеральная диффузия примеси в SDE-областях происходит от внешней границы диэлектрического спейсера (рис. 4.187). Последовательность этапов изготовления МОПТ со структурой S4D приведена на рис. 4.188 [174,175]. После фотолитографии и анизотропного травления слоя легированного аморфного кремния для затворов формируют спейсеры из нитрида кремния толщиной 20 нм, затем осаждают слой легированного аморфного кремния (150—250 нм) для приподнятых SDE-областей. Формирование спейсе- $Ю2(100"м) и Si3N4(20HM) Пристеночная область иза-Si (150нм), легированная бором для РМОПТ и фосфором для NMOflT Рекристаллизация U. -Si (отжиг bN2,600°C,30mhh) Имплантация в 1 S/D-ofcacTHBFT (15кэВ;Ы016см ), А^30кэВ,3-1015с\П Активация леги- 1 рующих примесей диффузия из твёрдой фазы(ЯТА: 1000°С,1Осек) =,4-0 т. Осаждение слоя i (20нм) исили- цидирование 10 1 Гю20 ho 19 J8 gio после RTA 1000 QC 10 сек 0.1 0.2 Стравленный слой (мкм) а) 22^ 10 Ё МО I §10 СЗ Си lio1 X 3 20 ~ Спейсер |з.7Х1015см-2 |2.0Х1012см'2 С (1.9Х10"3подавле-| I ние) V,. J I" 1 Подложка осаждение при 480 °С осаждение при 350 °С i i . • ,. -0.1 0,1 Граница раздела (мкм) б) Рис.4.189. Полученные ВИМС-мето- Рис. 4.188. Последовательность основных техно- Д°м концентрационные профили рас- логических этапов формирования 84Э-структуры пределения примесей по глубине: (а) для р-МОПТ и л-МОПТ б°Ра и (Ф кислорода
Шо38 Глава 4. Формирование сток-истоковых областей : : / 1 1 UiL~J '= 1 3 1 Si* 1 С 1\ Удаляемые (с участки поли 11 1 I^^^^_^j ^илицидирован- Л^У^ ная (TiSi2) при- j стеночная область i?T. 7ТТ ; "- Ь1 3iN4 -СПеИСЕр )бтравливание) кремниевого спейсера Рис.4.190. Топология S4D структуры с указанием удаляемых участков полицидного спейсера ра осуществляют анизотропным травлением по расчетному времени, исходя из скорости травления аморфного кремния. Незначительное подтравливание подложки при этом является допустимым, так как после этой операции производится ионная имплантация примеси в CSD-области МОПТ (мышьяк, Е = 30 кэВ, D = 3-Ю15 см2; бор, Е = 15 кэВ, D = 1-Ю16 см-2). Во время постимплантационного отжига (1000°С, 10 с) атомы примеси диффундируют из поликремниевого спейсера в подложку, в результате чего формируются SDE-области. Глубина залегания я+/?-переходов при указанных условиях составляет 32 нм при поверхностной концентрации Ns = 2*1020 см3, а для /?+-я-переходов — 50 нм при Ns = 2*1020 см3. Для успешного выполнения операции диффузии примесей в SDE-области осаждение аморфного кремния осуществляется при низкой температуре (350 °С), что обеспечивает малую концентрацию кислорода на границе раздела с подложкой (рис. 4.189) [174]. После формирования силицида (40 нм) на поликремниевых спейсерах и на CSD-областях производят обтравливание спейсеров в тех частях периметра затвора, которые приводят к закорачиванию стока и истока. Области, подлежащие обтравливанию, указаны на рис.4.190 [174]. Рис.4.191. Выходные /d( ^-характеристики я-МОПТ (L = 0,2 мкм) со структурами S4D 500 S400 б 300 X 1200 в ад 100 0 - Зь£*ь- LDD Vd=2B LDD: As 2X10H см-2 I l I .1 0.2 0.3 0.4 0 Lg(MKM) .5 Рис. 4.192. Зависимости максимальной крутизны (gmtmax) от длины затвора (Lg) для я-МОПТ со структурами S4D и LDD hLDD Табл. 4.16. Параметры SDE-областей для я-МОПТ со структурами S4D и LDD Структура S4D LDD; доза As+: 5-Ю13 1-Ю14 2-Ю14 Концентрация (см3) 5x1020 3-Ю19 61019 1-Ю20 Xj (нм) 32 28 32 36 Rs (Ом/П) 38 (поли-Si); 5 (ESD-область) 536 460 366 Reff(OM) 1,8 10,7 9,2 7,3 |
4.8. Конструктивно-технологические проблемы субмикронных МОПТ 3 3Sh w-e -О J? 10"9 lilt — 10*12 0 S«D / 4ldd / \ Vd=2B Ц«0,2мкм i i 1 2 3 Vg(B) ~3 10 -о \ х> -6 10 < \ so/ / - vNQi /у / / i LDD* f)\/ / '' (As: v. /X ''' 2х10«см^)^Х/ /X/ (As: уд / X IxIO^cm-s) Л/, V л7?Л A-*_,2Г k.- s ^^m—^ > [> 1 2 Vg(B) 0.4 km) s 0.2 m «Г О О w о 0 H 3 Рис.4.193. Зависимости тока подложки (/sub) Рис. 4.194. Зависимости Isub/Id и Id от на- от напряжения на затворе (Vg) для я-МОПТ пряжения на затворе (^) для п-МОПТ (Lg = 0,2 мкм) со структурой S4D и LDD (Lg = 0,2 мкм) со структурой S4D и LDD Электрические характеристики n-канальных МОПТ со структурой S4D В табл. 4.16 [ 175] приведены параметры ^/ХЕ-областей, используемых в S4D- и LDD- транзисторах. Толщина спейсера в ZDD-транзисторе составляла 100 нм. Как видно из табл. 4.16, поверхностное сопротивление SDE-областей в транзисторах со структурами S4D и LDD различается более чем на порядок, а после формирования силицида - на два порядка. Значительное различие в величине последовательного LDD ХУ п JD-' :bf гт€\ ,-0"" О" Щ--Ш" 0.1 а) .-.•--*►" lg =0.2мк S"D Измерено при: Vg-2B;Vd-50MB; реверсный режим 100 ^stress (Сек) 10 » * • D--V 0Q S > □ Р-; ,-Cf LDD Lg=0.2MKM 0.1 Измерено при: Vd=50MB; реверсный режим! в) 10, с stress (сек) s £ Л 1 £ б) LDD п 1... JOT • « ""Т □ °' v P--"U Р" S4D 1 Измерено при: ] Vd=50MB; реверсный режим j ,1 ,„I,,I„U,U I. I ..A.„tJ l stress (С СК) 10'9 L10'" Lg=0.2MKi S*D ...o- •0 o- i ,U ,---сз LDD Ю 4o г) stress (C ^*V Рис.4.195. Иллюстрация влияния горячих носителей (при Vd = 2,5 В и Vg9 соответствующим условию достижения максимального тока подложки (/sub>max) — в зависимости от продолжительности стрессового воздействия (/stress) на: (а) деградацию тока стока (A/d//d); (б) деградацию максимальной крутизны (Agmmax/gmmax); (в) смещение величины порогового напряжения (А^); (г) приращение тока накачки заряда (А/ср)
Глава 4. Формирование сток-истоковых областей сопротивления МОПТ обеспечивается не только за счет использования самосовмещенных приподнятых полицидных контактов к SDE-областям, но и благодаря возможности сильного легирования этих областей, не приводящего к снижению надежности транзистора. Снижение последовательного сопротивления обеспечивает превосходство МОПТ со структурой S4D по току стока в сравнении с LDD- транзистором (рис. 4.191) [175], которое возрастает по мере уменьшения длины затвора (рис. 4.192). На рис.4.193 приведены зависимости тока подложки Isub от напряжения на затворе Vg для обоих типов транзисторов. Из-за более высокой концентрации примеси в SDE-слоях ток подложки транзистора со структурой S4D выше, чем у LDD-транзистора. Большая величина тока подложки в S4D-TpaH3HCTopax обусловлена как более высокой эффективностью ударной ионизации lsab/Id, так и большим током стока (рис. 4.194). Оба эти фактора, вообще говоря, должны приводить к меньшей стойкости 840-транзисторов к воздействию горячих носителей. Однако исследования деградации тока стока AId/Id и крутизны Agjgm транзисторов, подвергнутых электрическому стрессу (Vd = 2,5 В, Vg соответствует условию Isub = Isub,max), показали, что она слабее, чем в £/)/)-транзисторе (рис. 4.195я, б) [175]. Деградация порогового напряжения под воздействием горячих носителей, в противоположность приведенным результатам, у S4D-TpaH3HCTOpB выше, чем у LDD- транзисторов (рис.4.195#). Однако интенсивность деградации порогового напряжения относительно невелика и, по оценкам [175], сдвиг Vt не превышает 30 мВ после 10 лет работы транзистора при Vd = 2 В. Высокая надежность S4D- транзисторов может быть объяснена с учетом распределения напряженности латерального электрического поля вблизи стока и особенности SDE-структуры с высокопроводящим полицидным спейсером (рис. 4.196). Сильное легирование SDE-областей и малая толщина диэлектрического спейсера в транзисторах со структурой S4D обуславливают более высокую максимальную напряженность латерального электрического поля Ех вблизи стока, чем в Х/Ш-транзисторе. Захват носителей в подзатворном окисле и в диэлектрическом спейсере, обусловленный генерацией горячих носителей, приводит к Рис. 4.196. (а) Эпюры распределения латеральной составляющей напряженности электрического поля (Ех) вдоль канала, полученные двумерным моделированием для «-МОПТ со структурой S4D или LDD (при различных уровнях легирования), (б) Иллюстрация механизма генерации поверхностных состояний на границе раздела Si- подложка/подзатворный окисный слой и захвата электронов в последнем 0.8 0.6 0.4 0.2 0 -0.2 (:|-Cb-LDO Ь Vcb-1.5B L_ \ I X^Wsl .. t.t i 1 0.01 0.1 1 Длина затвора, Ьё(мкм) Рис. 4.197. Зависимости подпорогового ^-фактора от длины затвора (L) для /7-МОПТ со структурами S4D, SPDD и LDD
4.8. Конструктивно-технологические проблемы субмикронных МОПТ сдвигу порогового напряжения тем большему, чем выше напряженность электрического поля Ех. Деградация тока стока и крутизны связана с модуляцией сопротивления SDE-слоя под воздействием поля зарядов, захваченных в диэлектрическом спейсере. В случае LDD-МОПТ захват носителей происходит в более протяженной области, так как в этом случае ширина распределения поля Ех больше, чем в МОПТ со структурой S4D (рис. 4.196я). Кроме того, в S4D-TparoHCTOpax модуляция сопротивления ограничена с одной стороны высокой концентрацией примеси в SDE-слое, а с другой стороны — малой толщиной диэлектрического спейсера (рис. 4.1966). Благодаря указанным факторам деградация тока стока и крутизны транзистора со структурой S4D слабее, чем в /,/)1)-транзисторе. Электрические характеристики ^-канальных МОПТ со структурой S4D Благодаря самосовмещенному формированию SDE-областей и высокопроводя- щему полицидному спейсеру последовательное сопротивление S4D-TparoncTopa Rs имеет очень низкое значение: при глубине залегания /ья-перехода 50 нм Rs оказывается на порядок меньше, чем в ZZ)D-TpaH3HCTope, и не превышает 100 Оммкм. В работе [174] отмечаются хорошие короткоканаль- §100| 60l 0.1 Длина затвора, 1ё(мкм) 1.0 Рис. 4.198. Зависимость порогового напряжения (/g) от длины затвора (Lg) для p-MOUT со структурами: S4D, SPDD и LDD S4D />-МОПТ JJJ .L я75нм JO О -1.0 -2.0 Напряжение на затворе, Vg (В) Рис. 4.199. Зависимости тока стока (/d) и крутизны (gm) от напряжения затвора (Vg) при различных напряжениях смешения стока (Vd) для S4D-/>-MOnT с длиной затвора L = 75 нм а) -0.6, S4D ~ -O.SU-МОПТ Lg= 75нм -2.0 "Щр-МОХП g -60Кё=75нм -5. ~Щ й -40Г Ж -30г .о.вв -0.1 -0.2 -0.3 -0.4 -0.5 Vd(B) Рис. 4.200. Выходные /d( Vd)-характеристики для S4D-P-MOnT с длиной затвора Lg = 75 нм: (a) V6 меняется в интервале от 0до-2,0В; (б) Vd меняется в интервале от О до-0,5 В
OS Глава 4. Формирование сток-истоковых областей ные характеристики 840-транзистора даже при длине затвора Lg = 75 нм, что обусловлено малым различием между Lg и Lejr При уменьшении длины затвора вплоть до Lg = 75 нм, значение подпорогового 5-фактора не превышает 85 мВ/дек (рис. 4.197) [174], а сдвиг порогового напряжения Vt при изменении напряжения на стоке Vd от -50 мВ до -2В не превышал 200 мВ. Так же незначителен и сдвиг порогового напряжения A Vt при уменьшении длины затвора до 75 нм (рис. 4.198). На рис.4.199 и рис. 4.200 приведены зависимости тока стока и крутизны от напряжения на затворе и выходные характеристики, иллюстрирующие высокое качество /^-канального транзистора со структурой S4D. Тем не менее, следует отметить, что недостатком структуры S4D является относительно высокая емкость между затвором и истоком, обусловленная малой толщиной спейсера и высокой диэлектрической проницаемостью нитрида кремния. Кроме того, не продемонстрирована еще возможность изготовления р- и «-канальных транзисторов в одном технологическом маршруте, что связано с необходимостью осаждения легированных слоев аморфного кремния для затвора и полицидного спейсера. ЛИТЕРАТУРА 1. Peters L., «Thermal Processing's tool of the choice: single-wafer RTP or fast ramp batch?», Semicond. Internat, 1998, N4 (April), pp.83-90. 2. Yoo W.S., Yamazaki T, Enjoji K., «Rapid thermal furnace», SST, 2000, N7 (July), 223-228. 3. NilsonR.H., Griffiths S.K., «Eliminating silicon crystal defects induced by thermal and gravitational stresses», Electrochem. Soc. Proc, 1999, v.99-1, p. 199. 4. Obry M. et al., «The role of metal contamination and crystal defects in quarter micron technology», Electrochem. Soc. Proc, 1999, v.99-1, p. 133. 5. Osborne N., Laser A., Rust W, «Processing for advanced devices with hot-wall furnace RTP», SST, 2000, N7 (July), pp.211-218. 6. Tanabe Y. et al., «Key aspects of thermal processing for deep submicron device fabrication», Rapid Thermal Processing, 1996, Ed. by Fair R.B. et al, pp.5-9. 7. Thakur R.P.S., Timans P.J., Tay S.P «RTP technology for tomorrow», SST, 1998, N6, (June), pp.171-183. 8. Tillmann A. et al., «Transient thermal behavior in new RTP chamber», J. Electronic Materials, 1998, v.27, N12, pp. 1278-1285. 9. Atanos A.J., Daviet J.-F, Parihar V., «Susceptor-based rapid thermal processing for forming ultra- shallow junctions», SST, 2000, N4 (April), pp.50-56. 10. Hebb J., Shajii A., Flynn M., «Furnace-based rapid thermal processing» Solid-State Technology, 2000, N10 (Oct.), pp. 155-164. 11. Todorov S. et al., «Optimization of the RTP annealing of low-energy BF2+ and B+ implants», Proc 5-th Conf. on Advanced Thermal Processing of Semiconductors (RTP'97), 1997, p.77. 12. Giles M.D., «Transient phosphorus diffusion below the amorphization thresholds» «, J. Electrochem. Soc, 1991, v. 138, N4, pp.1160-1165. 13. Solmi S., Cembali F, Fabbri R. et al., Appl. Phys. A., 1989, v.48, p.255. 14. Hoefler A., «4.1.2-Studies of damage creation with Si implants; 4.1.3-Interaction of damage and implanted doping profiles», in series in Microelectronics, 1997, v.69, pp.61—64. 15. Eaglesham D.J. et al., «Implantation and transient В diffusion in Si; The source of the inter-stitials», Appl. Phys. Lett., 1994, v.65, pp.3205-2307. 16. Jones K.S., Lin J., Zhang L., «Evidence of two sources of interstitials for TED in boron implanted silicon», in Process physics and modeling in semiconductor technology, The Electrochemical Society, Inc. (Pennington, N.J.), pp.116—126.
ЛИТЕРАТУРА 34f 17. Ни S.M. «Silicon defects in silicon technology» in Proc. 8-th International Symposium of Silicon Materials and Technology, 1998, v.l, pp. 220—253. 18. Matthews M.D., Phys. Letters-A, 1971, v.37, p.257. 19. Growder B.L., Title R.S., Radiat. Eff., 1970, v.6, p.63. 20. Sands T, Washburn J., Gronsky R. et al., Appl. Phys. Lett., 1984, v.45, pp.982-984. 21. Seidel Т.Е., Knoell R., Poli G. et al., J. Appl. Phys., 1985, v. 58, p.683. 22. Tsai M.Yet al., Appl. Phys. Lett., 1978, v.32, pp.144-146. 23. LaffR.A., Hutchins G.L., IEEE Trans. Electron Devices, 1974, v.21, p.243. 24. Eaglesham D.J., Stolk P.A., Grossmann H.J., Poate J.M., Appl. Phys. Lett., 1994, v.65, pp.2305-2307. 25. Cowen N.E.B., Van der Walle G.F.A., Zalm PC, Vandenhoudt D.W.E., Appl. Phys. Lett., 1994, v.65,pp.2981-2983. 26. Stolk PA., Grossman H.J., Eaglesham D.J. et al., J. Appl. Phys., 1997, v.81, p.6031. 27. Seidel Т.Е., «Rapid thermal processing (RTP) of shallow silicon junctions», Material Research Society Symposium Proceedings, 1985, v.45, pp.7—20. 28. Seidel Т.Е. et al., Nuclear Instrum. & Methods in Physics Research, 1985, B7/8, pp.251-260. 29. Olson G.L. et al., Material Research Society Symposium Proceedings, 1983, v.43, p. 141. 30. Kamgar A. et al., Appl. Phys. Lett., 1984, v.45, N7, p.754. 31. Шоу (редактор), Атомная диффузия в полупроводниках, 1975, изд. «Мир»; гл. V, Ху.С, «Диффузия в кремнии и германии», стр. 248—405. 32. Fairfield J.M., Masters B.J., J. Appl. Phys., 1967,v.38, p.3148. 33. FairR.B., WortmanJJ., Liu J., IEDMTechn. Digest, 1983,p.29.2. 34. Болтакс Б.И. Диффузия в полупроводниках, 1961, ТИФМЛ, Москва, гл. VII «Диффузия в кремнии», стр.264—295. 35. Seidel Т.Е., IEEE Electron Device Letters, 1983, v.4, pp.353-355. 36. Tamura M., Hiroyama Y, Nishida A., «Secondary defects in 1-10 keV As+ and BF2+-implanted Si», 98' Internat. Conf. on Ion Implantation Technology Proceedings, 1998, pp.744—747. 37. Tamura M. et al., «Secondary defects in low-energy As-implanted Si», Appl. Phys. A, 1998, v.66, pp.373-384. 38. Agarwal A. et al., «Reduction of transient diffusion from 1 -5 keV Si ion implantation due to surface annihilation of inertitials», Appl. Phys. Lett., 1997, v.71, pp.3141—3143. 39. Nishida A., Murakami ET AL., Kimura S., «Characteristics of low-energy BF2- or As-implanted layers and their effect on the electrical performance of 0.15-mm MOSFET's», IEEE Trans, on Electron Devices, 1998, v.45, N3, pp.701-709. 40. Parab K.B. et al., «Analysis of ultrashallow doping profiles obtained by low-energy ion implantation», L. Vac. Sci. Technol., 1996, v. В 14, pp.260-264. 41. Hong S.N. et al., «Characterization of ultrashallow p+n-junction diode fabricated by 500-eV boron-ion implantation», IEEE Trans, on Electron Devices, 1991, v.38, pp.28—31. 42. Chern J.G.J, et al., «A new method to determine MOSFET channel length», IEEE Electron Device Letters, 1980, v.l, pp.170-173. 43. Kubicek S., Biesemans S., De Meyer K., «Investigation of the effects of the extension implant energy on deep submicron CMOS device performance», 27-th ESSDRC, 1997. 44. Eaglesham D.J. et al., Appl. Phys. Lett., 1994, v.65, pp.3205-2307. 45. Rafferty C.S. et al. «Simulation of cluster evaporation and transient enhanced diffusion in silicon», Appl. Phys. Lett., 1996, v.68, N17, pp.2395-2397. 46. Frank W, Goesele U., MahrerH., SeegerA., Diffusion in Silicon and Germanium 1984, Academic Press, Ch.2, pp.64-137. 47. Giles M.D. et al., «Implantation damage and transient enhanced diffusion modeling», Solid State Technology, 1998, N2, pp.97-104. 48. Mineji A. et al., «Reduction of point defects in PMOS source/drain formation», 98' Internat. Conf. on Ion Implantation Technology Proceedings, 1998, v.l, pp.34—37. 49. Hoefler A. «Development and Application of a Model Hierarchy for Silicon Process Simulation»,
^44 Глава 4. Формирование сток-истоковых областей Series in Microelectronics (Hartung-Gorre), 1997, v.69. 50. Uematsu M. «Implantation species dependence of transient enhanced diffusion in silicon», J. App. Phys., 1998,v.83,Nl,p.l20. 51. Mazure C, Orlowski M., «Guidelines for reverse short-channel behavior», IEEE Electron Device Lett., 1989, v. 10, N12, pp.556-558. 52. Griffin P.B., Plummer J.D., «Advanced diffusion models for VLSI», Solid State Technology, 1988,N5,p.l71. 53. Codella C.E, Ogura S., «Halo doping in submicron DI-LDD device design», IEDM Techn Dig., 1985,p.230. 54. Fahey P. et al., Appl. Phys. Lett., 1985, v.46, pp.784-786. 55. Giles M.D. «Transient phosphorus diffusion below the amorphization threshold», J. Electrochemical Society, 1991, v.138, N4, pp.1160—1165. 56. Salmi S., Baruffaldi F, Canteri R., «Diffusion of boron in silicon during post-implantation annealing», J. Appl. Phys., 1991, v.69, pp.2135-21242. 57. Fair R.B. «Point defect charge-state effects on transient diffusion of dopants in Si», J. Electrochemical Society, 1990, v. 137, N2, pp.667-667. 58. Michel A.E. «Rapid annealing and the anomalous diffusion of ion implanted boron into silicon», Appl. Phys. Lett., 1987, v.50, N7, pp.416-418. 59. Sedgwirck TO. et al., «Transient boron diffusion in ion-implanted crystalline and amorphous silicon «, J. Appl. Phys., 1988, v.63, pp.1452-1463. 60. Cowern N.E.B., Janssen K.T.F., Jos H.F.F., «Transient diffusion of ion-implanted В in Si: dose, time and matrix dependence of atomic and electrical profiles'» J. Appl. Phys., 1990 v.68 pp.6191-6198. 61. Fair R.B., «Point defect charge-state effects on transient diffusion of dopants in Si», J. Electrochemical Society, 1990, v. 137, p.667. 62. Sedgwick Т.О. et al., «Investigation of transient diffusion effect in rapid thermally processed ion implanted arsenic in silicon» Appl. Phys. lett., 1985, v.47, pp.848—850. 63. Kim Y, Massoud H.Z., Fair R.B., «The effect of ion-implantation damage on dopant diffusion in silicon during shallow-junction formation», J. Electronics Materials, 1989 v. 18 pp. 143—150. 64. Jones K.S. et al., «Transient enhanced diffusion in low energy arsenic implanted silicon», Technology Proceedings, 1998, v.2, pp.841-842. 65. Tamura M., Hiroyama Y, Nishida A., «Secondary defects in 1—10 keV As+- and BF2+-implanted Si», ibid, pp.744-747. 66. Sai-Halasz G.A., Short K.T., Williams J.S., «Antimony and arsenic segregation on Si-Si02 interfaces», IEEE Electron Dev. Lett., 1985, v.6, p.285. 67. Rousseau P.M., Griffin P. В., Plummer J. D., «Electrical deactivation of arsenic as a source of point defects», Appl. Phys. Lett., 1994, v.65, N5, p.578-580. 68. Rousseau P.M. et al., «Process Physics and Modeling in Semiconductor Technology», Electrochemical Society Proceeding, N3 (The Electrochemical Society, Pennington, NJ, 1993), p. 130. 69. Shishiguchi S. et al., «Boron implanted shallow junction formation by high-temperature/short- time/hugh-ramping-rate (400°C/s) RTA, 97' Symposium on VLSI Technology Digest of Technical Papers, 1997, pp.89-90. 70. Shahidi G.G. et al., VLSI Technology Symposium, 1990, p.93. 71. Taur Yet al., IEDM Technology Digest, 1992, p.901. 72. Mitani Y et al., VLSI Techn. Symp., 1996, p. 176. 73. Shimizu S., et al., «Impact of surface proximity gettering and nitrided oxide side-wall spacer by nitrogen implantation on sub-quarter micron CMOS-LDD MOSFET IEDM Techn. Digest, 1995, pp.859-862. 74. Murakami T et al., «Application of nitrogen implantation to ULSI», Nuclear Instrum. and Methods in Phys. Research, 1997, v. B-121, pp.257-261. 75. Lee Т.К. et al., «Nitrogen implantation-reverse short channel effects improvement and its
ЛИТЕРАТУРА 345' drawbacks», Proc. S PI Е-Microelectronic Device Technology, 1997, v.3212, pp.304—311. 76. Orlowski M., Mazure C, Lau F, «Submicron short channel effects due to gate reoxidation induced lateral interstitial diffusion», IEDM Techn. Digest, 1987, p.632. 77. NishidaM., OnoderaH., «An anomalous increase ofthreshold voltages with shortening the channel lengths for deeply boron-implanted N-channel MOSFET's», IEEE Electron Device Lett., 1981, N9,p.l01. 78. Gamo K., Semiconductor Ion Implantation Technology (Sangyo Tosho), 1986, p.41. 79. Collart E.J.H. et al., «Characterization of low energy boron implants and electrical results of submicron PMOS transistors», Internat. Conf. on Ion Implantation Technology, 1998, pp.905— 908. 80. Collart E.J.H. et al., «Low energy (0.1-10 keV)U B+ ion implantation damage characterization using Rutherford Backscattering Spectrometry», ibid, pp.712—715. 81. Niess J. Appl. Phys., et al., «Controlled thermal kinetics in RTP», J. Appl. Phys., Electrochemic. Materials, 1998, v.27, N12, pp.1286-1290. 82. Ghinidni G., Smith F.W, JACS, 1984, v.131, B12, p.2924. 83. Ditchfield R., Seebauer E.G., Mater. Res. Soc. Symp. Proc, 1996, v.439 (Pittsburgh, PA: Mater. Res.Soc),p. 133. 84. Marcus S. et al., «RTP requirements to yield uniform and repeatable ultra-shallow junctions with low energy boron and BF2 ion implants», J. Appl. Phys., Electronic Materials, 1998, v.27, N12, pp. 1291-1295. 85. Downey D.E, Marcus S. Chow J.W, «Optimization of RTP parameters to produce ultra-shallow, highly activated B+, BF+ and As -ion implanted junctions», J. Appl. Phys., Electronic Materials, 1998, v.27, N12, pp. 1296-1314. 86. Ling P. et al., «A new method for making shallow P-type junctions», 98' Internat. Conf. on Ion Implantation Technology Proceedings, 1998, v.2, pp. 1175—1178. 87. Yamada I. et al., Nucl. Instrum. Methods Phys. Rev, 1993, v.B-79, pp.223-226. 88. Goto K. et al., International Electron Device Meeting (IEEE), 1996, pp.17.1.1-17.1.4. 89. Matsuo J. Appl. Phys., et al., Proc. 11-th Int. Conf. on Ion Impl. Techn. (IEEE), 1997, p.768. 90. KusabaT. et al., 98' Internat. Conf. on Ion Implantation Proceedings, 1998, v.2, pp.1258-1261. 91. Foad M.A. et al., «Formation of shallow junctions using decaborane molecular ion implantation. Comparision with molecular dynamic simulation», 98' Internat. Conf. on Ion Implantation Proceedings, 1998, v.l, pp. 106-109. 92. Goto K. etal., «Decaborane (B10H14) ion implantation technology for sub-O.l-mm PMOSFET's», IEEE Trans, on Electron Devices, 1999, v.46, N4, pp.683-689. 93. Dirks A.G. et al., «Low-energy implantations of decaborane (B10H14) ion clusters in silicon wafers», 98* Internat. Conf. on Ion Implantation Proceedings, 1998, v.2, pp.1167—1170. 94. Insepov Z., Yamada J., «Molecular dynamics study of shock wave generation by cluster ion beams», Nucl. Instrum. Methods Phys. Res.-B, 1996, v. 112, pp. 16-22. 95. AokiT. etal., «Molecular dynamics simulation of damage by cluster ion implantation», ibid, 1997, v.l21,pp.49-52. 96. Ohyu K., Jtoga T, Natsuaki N., «Advantages of fluorine introduction in boron-implanted shallow p+/n junction formation», Japan J. Appl. Phys., 1990, v.29, p.457. 97. Mogul H.C. et al., «Advantages of LDD-only implanted fluorine with submicron CMOS technologies», 1997, v.44, pp.388-394. 98. Nandan S.R., Agarwal V, Banerjce S., «Low-energy BF2, BC12 and BBr2 implants for ultra- shallow p+n-junctions», Proc SPIE, Microelectronic Device Technology, 1997, v. 3212, pp. 147-150. 99. Hong S.N., «0.2-mm p+n-junctions characteristics dependent on implantation and annealing processes», IEEE Electron Device Lett., v.20, N2, pp.83—85. 100. Fair R.B., Subrahmanyan R., «PREDICT-A new design tool for shallow junction processes», Proc. SPIE, 1985, v.530, pp.88-96. 101. Kwon S.J., Kim H.J., Lee J.D., «As+ preamorphization method for shallow p+n-junction for-
^46 Глава 4. Формирование сток-истоковых областей maton», Jap. J. Appl. Phys., 1990, Pt.2, N12, pp.L2326-L2328. 102. Hong S.-N. et al., «Material and electrical properties of ultra-shallow p+n-junctions formed by low-energy ion implantation and rapid thermal annealing», IEEE Trans. Electron Devices, 1991, v.38,N3,pp.476-486. 103. Liu C.T, Baumann F.H., Vuong H.H. et al., «Manufacturable shallow-junction processes to overcome defect formation and dopant reactions for sub-0.18-mm CMOS technologies», 97' Symposium on VLSI Technology, Digest of Technical Papers, 1997, pp.91—92. 104. Yabu T. et al., «Improvement of asymmetrical characteristics in submicron CMOS devices», IEICE Trans. Electronics, 1989, v.72, N5, pp.456-462. 105. Baker F.K., Pfiester J.R., «The influence of tilted source-drain implants on high-field effects in submicrometer MOSFET's», IEEE Trans. Electron Devices, 1988, v.35, N2, pp.2119-2124. 106. Ohzone T et al., «Electrical characteristics of scaled CMOSFET's with source/drain regions fabricated by 7° and 0° tilt-angle implantations», IEEE Trans. Electron Devices, 1995, v.42, N1, pp.70-77. 107. Ohzone T et al., «Influence of asymmetrical/symmetrical source/drain region asymmetry and mismatch of CMOSFET's and circuit performance», IEEE Trans. Electron Devices, 1998, v.45, N2, pp.529-537. 108. Jones E.C. et al., «Plasma immersion ion implantation for electronic materials», Jap. J. Appl. Phys., 1996, Pt.l, v.35, N2-B, pp.1027-1036. 109. Akane T, Nii T, Matsumoto S., Jap. J. Appl. Phys., 1992, v.31, p.4437. 110. Matsumoto S. et al., J. Appl. Phys., 1990, v.67, p.7204. 111. Weiner K.H. et al, Microelectron. Eng., 1993, v.20, p. 107. 112. ShengT, Felch S.B., Cooper-IH C.d., «Characteristics of a plasma doping system for semiconductor devices», J. of Vac. Sci. Technology-B, 1994, v.12, N2 (March/April), pp.969-972. 113. Qin S., Chan C, «Plasma immersion ion implantation doping experiments for microelectronics», J. of Vac. Sci. Technology-B, 1994, v. 12, N2 (March/April), pp.962-9682. 114. Qin S. et al., «Plasma immersion ion implantation doping using a microwave multipolar bucket plasma», IEEE Trans. Electron Devices, 1992, v.39, N10, pp.2354-2358. 115. Ha J.M., ParkJ.W, KimW.S. et al., «High performance P-MOSFETwith BF2 plasma doped gate/ source/drain and S/D extension» IEEE IEDM Techn. Digest, 1998, pp.639—642. 116. Lenoble D. et al., «Evaluation of plasma doping for sub-0.18-mm devices», Internat. Conf. on Ion Implantation Technology Proceedings, 1998, pp. 1222—1225. 117. Yang B.L. et al., «Ultra-shallow 28—88-nm n+p junction formation using PH3 and AsH3 plasma immersion ion implantation», Internat. Conf. on Ion Implantation technology Proceedings, 1998, N2, pp.1163-1166. 118. Chu PK. et al., «Plasma doping progress and potential (part one of two)», Solid-State Technology, 1999,N9(Sept.),pp.55-60. 119. Yeap G.C.-F. et al., «High performance sub-0.2-mm gate length PMOSFET's with source/drain extensions fabricated by plasma doping», Internat. Conf. on Ion Implantation technology Proceedings, 1998,v 1, pp. 114-117. 120. Kiyoto Y. et al., «Characteristics of shallow boron doped layer in Si by rapid vapor-phase direct doping (RVD)», J. Electrochem. Soc, 1993, v. 140, p.l 117. 121. Kiyoto Y, Nakamura T, Inada T, «Subquarter-micrometer PMOSFET's with 50-nm source and drain formed by rapid vapor-phase doping (RVD)», IEICE Trans. Electronics, 1994, v.77, N3, pp.362—366. 122. Ransom СМ., Jackson T.N., Degelermo J.F. et al., «Shallow n+-junction in silicon by arsenic gas-phase doping», J. Electrochemical Society, 1994,v.l41, N5, pp.1378—1381. 123. Diamond Y.S., Oldham W.G., «The effect of hydrogen on boron diffusion in Si02», J. Electronic Materials, 1986, v. 15, p.229. 124. Ushino T, Kiyoto Y, Shiba T, «0.1-mm CMOS with shallow and step source/drain extensions fabricated by using rapid vapor-phase doping (RVD)», VLSI Technical Symposium, 1999. 125. Kiyoto Y et al., «Phosphorus direct doping from vapor phase into silicon for shallow junctions»
J. Electrochemical Society, 1994,v.l41, N8, pp.2241-2244. 126. Honig R.E., RCA Review, 1962, v.23, p.4. 127. Miyake M., J Electrochemical Society, 1991,v.l38, N10, p.3031. 128. Togo M. et al, VLSI Symposium, 1994, p.21. 129. Schimtz J., Van Gestel M., Stolk P.A., Ponomarev YV et al., «Ultra-shallow junction formation by outdiffusion from implanted oxide», IEEE IEDM 1998 Tech. Digests, pp.1009-1012. 130. Tasch A. F. et al., «Limitations of LDD types of structure in deep-submicrometer MOS technology», IEEE Electron Dev. Lett., 1990, v.ll, N11, pp.517-519. 131. Izawa R., Takede et al., «The impact of N-drain length and gate-drain/source overlap on sub micrometer LDD devices for VLSI», IEEE Electron Dev. Lett., 1987, v.8, pp.480-482. 132. Izawa R., Kube T, Takede ET AL., «Impact of the gate-drain overlapped device (GOLD) for deep submicrometer VLSI», IEEE Trans. Electron Devices, 1988, v. 35, p.2088. 133. Chen I.-C, Wei C.C, Teng C.W, «Simple gate-to-drain overlapped MOSFET's using poly spacers for high immunity to channel hot-electron degradation», IEEE Electron Dev. Lett., 1990, v.ll, N2, pp.78-80. 134. Hsu EC, Grinolds H.R., «Structure-enhanced MOSFET degradation due to hot-electron injection», IEEE Electron Dev. Lett, 1984, v.5, N3, pp,71-73. 135. Wang T. et al., «A comprehensive study of hot carrier stress-induced drain leakage current degradation in thin-oxide n- MOSFET's», IEEE Trans. Electron Devices, 1999, v.46, N9, pp. 1877— 1882. 136. Hui J., Moll J., «Submicrometer device design for hot-electron reliability and performance», IEEE Electron Device Lett., 1985, v.6, N7, pp.350-352. 137. Roadmap'97 (The National Technology Roadmap for Semiconductors - 1997), Semiconductor Industry Association (SIA) USA. 138. Chan T.Y, Wu A.T., Ко Р.-К., Ни С, «Effects of the gate-to-drain/source overlap on MOSFET characteristics», IEEE Electron Dev. Lett., 1987, v.8, N7, pp.326-328. 139. Orlowski M., Mazure C, Gunderson C, «Reliability of 0.35 mm devices. Impact of ultra-shallow LDD-source/drains», ESSDERC, 1993,p.809. 140. Chung J., Jeng M.-C, Moon J., Ко R-K., HuC, IEEE Trans. Electron Devices, 1991, v.38, p.545. 141. Hu C, Tam S.C, Hsu F.-C, Ко P.-K., ChanT.-Y, Terril K.W, IEEE J. Solid State Circuits, 1985, v.20, p.295. 142. Chen M.-L. et al., «Suppression of hot-carrier effects in submicrometer CMOS technology», IEEE Trans. Electron Devices, 1988, v.35, N12, pp.2210-2220. 143. Teng K.-W, Fu K.-Y, «Characterization and analysis of drain-stress induced hot-carrier effects on NMOSFETs», Solid State Electronics, 1988, v.31, N10, pp.1533-1536. 144. Horiuchi T et al., «An asymmetric sidewall process for high performance LDD MOSFETs», IEEE Trans. Electron Devices, 1994, v.41, N2, pp.186-190. 145. Chen J.F, Tao J., Fang P., Hu C, «0.35 mm asymmetric and symmetric LDD device comparison using a reliability/speed/power methodology», IEEE Electron Dev. Lett., 1998, v. 19, N7, pp.216— 218. 146. Parkillo L.C et al., «Disposable polysilicon LDD spacer technology», IEEE Trans. Electron Devices, 1991, v.38, N1, pp.39-46. 147. Pfiester J.R., «LDD MOSFETs using disposable sidewall spacer technology», IEEE Electron Dev. Lett., 1988, v.9, N4, pp. 189-191. 148. Hayden J.D. et al., «A high-performance half-micrometer generation CMOS technology for SRAM's» IEEE Trans. Electron Devices, 1991, v.38, N4, pp.876-886. 149. Kerber M., Schawalke U., Heinrich R., «Low-cost CMOS process with complete post-gate implantation scheme», 97'ESSDEREC, 1997. 150. Matsuoka F. et al., «Drain structure optimization for highly reliable deep submicrometer n-channel MOSFET», IEEE Trans. Electron Devices, 1994, v.41, N3, pp.420-425. 151. Matsuoka F. et al., «Drain structure optimization for highly reliable deep submicrometer
ЕВ Глава 4. Формирование сток-истоковых областей n-MOSFETs with 3.3 V high performance operation on the scaling trend», IEEE IEDM, 1990 Techn. Digest, pp.833-836. 152. Wei C.Y., Pimbley J.M. Nissan-Cohen Y, IEEE Electron Dev. Lett., 1986, v.7, pp.380-382. 153. Huang T.Y et al., IEEE IEDM Techn. Digest, 1986, p.742. 154. Izawa R. et al., IEEE IEDM Techn. Digest, 1987, p.38. 155. Moon J.E. et al., IEEE Electron Dev. Lett., 1990, v.l 1, pp.221-223. 156. Krieger G. et al., IEEE Trans. Electron Devices, 1991, v.38, p. 121. 157. Hori T. IEEE IEDM Techn. Digest, 1989, p.777. 158. Hori T. et al., IEEE Trans. Electron Devices, 1992, v.39, p.2312. 159. Okumura Y. et al., IEEE Trans. Electron Devices, 1991, v.38, p.2647. 160. Shimizu M et al., «Scalability of gate/N-overlapped lightly doped drain in deep-submicrom-eter regime», Jap. J. Appl. Phys., Pt.l, 1998, v.37, N12A, pp.6340-6347. 161. Hirase J., Hari T, Odake Y, «LATID (Large-Angle-Tilt Implanted Drain) FETs with buried n-profile for deep-submicrometer ULSIs», IEICE Trans. Electronics, 1994, v.77, N3, pp.350—354. 162. Chou J.W et al., «New large angle tilt implanted drain structure: surface counter-doped-lightly doped drain for high hot carrier reliability», Jap. J. Appl. Phys., Pt.l, 1994, v.33, N7A, pp.3864- 3872. 163. Buti T.N. et al., «A new asymmetrical halo source GOLD drain (HS-GOLD) deep sub-half- micrometer n-MOSFET design for reliability and performance», IEEE Trans. Electron Devices, 1991, v.38, N8,pp.l757-1764. 164. Jung D.J. et al., «A 0.25 mm complementary metal oxide semiconductor field effect transistor (CMOSFET) using halo implantation for 1-Gbit dynamic random access memory (DRAM)», Jap. J. Appl. Phys., Pt.l, 1996, v.35, N2B, pp.865-868. 165. Schimitz J., Ponomarev YY, Montree AH., Woerlee PH., «Study of pocket implant parameters for0.18mmCMOS», ESSDERC, 1997. 166. Guegan G. et al., «A comparative study of three designs of 0.10 mm NMOSFETs processed with heavy ion implanted pocket», ESSDERC, 1997. 167. Liu T.M. et al., «Ultra-high-speed ECL-BiCMOS technology with silicon field self-aligned contacts», IEEE Trans. Electron Devices, 1994, v.41, N9, pp. 1546-1554. 168. Sun J.J. et al., «The effect of the elevated source/drain doping profile on performance and reliability of deep submicron MOSFETs», IEEE Trans. Electron Devices, 1997, v.44, N9, pp.1491-1498. 169. Sun J.J., Osburn СМ., «Impact of epi facets on deep submicron elevated source/drain MOSFET characteristics», IEEE Trans. Electron Devices, 1998, v.45, N6, pp. 1377—1380. 170. Sun J. et al., «A comparative study of n+/p junction formation for deep submicron elevated source/ drain metal oxide semiconductor field effect transistors», J. Electrochemical Society, 1997,v.l44, N10,pp.3659-3664. 171. Augendre E. et al., «Elevated source/drain by sacrificial selective epitaxy for high performance deep submicron CMOS: process window versus complexity», IEEE Trans. Electron Devices, 2000, v.48,N7,pp. 1484-1491. 172. Sun J. et al., «Parasitic resistance considerations of using elevated source/drain technology for deep submicron metal oxide semiconductor field effect transistors», J. Electrochemical Society, 1998,v.l45,N6,pp.2131-2137. 173. Sun J.J., Tsai J.-Y, Osburn СМ., «Elevated n+/p junctions by implant into CoSi2 formed on selective epitaxy for deep submicron MOSFETs», IEEE Trans. Electron Devices, 1998, v.45, N9, pp. 1946-1952. 174. Yoshitomi T et al., «High performance of silicided silicon-sidewall source and drain (S4D) structure» IEEE Trans. Electron Devices, 1998, v.45, N6, pp. 1295—1299. 175. Yoshitomi T et al., «A hot-carrier degradation mechanism and electrical characteristics in S4D n-MOSFETs», IEEE Trans. Electron Devices, 1997, v.44, N11, pp.2053-2058.
ГЛАВА 5 ФОРМИРОВАНИЕ ОБЛАСТИ КАНАЛА СУБМИКРОННЫХ МОПТ 5.1. Структура области канала субмикронного МОПТ Снижение толщины подзатворного окисла Тох и глубины залегания/ья-переходов сток-истоковых областей Х} — эффективный путь подавления короткоканальных эффектов при масштабировании МОПТ. Третий, и последний, метод улучшения короткоканальных характеристик МОПТ связан с оптимизацией распределения примеси в области канала, целью которой является минимизация тока утечки МОПТ в закрытом состоянии при одновременном увеличении тока стока как в крутой, так и в пологой области выходных характеристик. Иначе говоря, при уменьшении длины затвора МОПТ можно, управляя распределением примеси в области канала, уменьшить сдвиг порогового напряжения AVt(Lg) и длиннока- нальное пороговое напряжение Vt при минимальном возрастании подпорогового -^-фактора. В полной мере одновременное выполнение указанных требований для МОПТ с однородным распределением примеси в области канала невозможно. Действительно, для снижения сдвига порогового напряжения AVt(Lg) (при заданной толщине подзатворного окисла Тох) необходимо уменьшить ширину области обеднения канала, для чего следует увеличить среднюю концентрацию примеси в области канала. Однако это приводит к росту плотности объемного заряда и напряженности поперечного электрического поля в обедненном слое, вследствие чего увеличивается пороговое напряжение Vt и снижается ток стока. Обычно для обеспечения требуемых короткоканальных характеристик МОПТ область канала легируют методом ионной имплантации, причем толщина легированного слоя должна быть меньше ширины области обеднения, а плотность атомов электрически активной примеси должна соответствовать требуемой величине порогового напряжения (технологическая операция «подгонка Vp). Кроме того, в этой же области ионной имплантацией создают более глубокий слой, назначение которого — предотвращать смыкание ОПЗ сток-истоковых областей в объеме (слой PTS — Punchthrough stop, рис. 5.1) [1]. Слой, создаваемый операцией «подгонка Vf, как указывалось, должен быть тоньше ширины области обеднения, так как только в этом случае обеспечивается нужная величина порогового напряжения без увеличения подпорогового 5-фактора и емкости /?-я-переходов. Пик концентрации PTS-области должен располагаться на глубине, не превышающей глубину залегания сток-истоковых /ья-переходов Хг В результате достигается ограничение бокового распространения области обеднения стока без существенного влияния на значение порогового напряжения. Однако при этом не удается полностью избежать некоторого возрастания подпорогового ^-фактора. В /7-МОПТ короткоканальные эффекты и эффект смыкания проявляются сильнее, чем в «-МОПТ Это связано с отсутствием акцепторной примеси, используемой для легирования сток-истоковых областей с меньшим, чем у бора,
(О 50 Глава 5. Формирование области канала субмикронных МОПТ Затвор Концентрация бора Слой, легированный бором Затвор Край области обеднения Глубина Концентрация бора J-::\J^ ■\- Слой, легированный бором Край области обеднения Глубина Рис. 5.1. а) Структура я-МОПТ после ионной имплантации бора для «подгонки» порогового напряжения; б) структура я-МОПТ после ионной имплантации бора для предотвращения смыкания области обеднения истока и стока -14 g-10 « Я X * -8 ►я Длина канала = 1,2 мкм 4 6 8 Доза( х10псм"2) 10 12 Рис. 5.2. Влияние дозы ионной имплантации фосфора при формировании PTS-областей на напряжение смыкания (прокола) /ьМОПТ (/d = 50 нА/мкм, Vg = О, Vt = -0,8 В) [1] коэффициентом диффузии, с высокой максимальной растворимостью в кремнии и мелкоза- легающим примесным уровнем в запрещенной зоне. Использование же бора всегда приводит к меньшей длине канала р-МОПТ, чем у л-МОПТ, при одинаковых длине затвора и термическом бюджете. Формирование PTS-области р-МОПТ осуществляется ионной имплантацией мышьяка и фосфора. Следует отметить, что применение имплантации относительно легких ионов фосфора для формирования PTS- области субмикронных р-МОПТ становится недопустимым в связи с высоким коэффициентом диффузии фосфора в сравнении с мышьяком. Так, для /?-МОПТ с я+-затвором и длиной канала 0,8 мкм при имплантации ионов фосфора с энергией 120 кэВ (пик концентрации на глубине «150 нм) напряжение смыкания более 5 В обеспечивается при дозе не ниже D = 1,2 • 1012см~2 (рис. 5.2); при этом повышается концентрация фосфора в области канала, что приводит к возрастанию порогового напряжения до -2 В и, как следствие, к снижению тока стока [1]. Одновременно из-за диффузии фосфора глубже залегания р-п-пе- реходов увеличиваются паразитные емкости МОПТ. Для уменьшения порогового напряжения р-МОПТ используют материал затвора с большой работой выхода - />+-поликремний. Однако при изготовлении р-МОТП
5.1. Структура области канала субмикронного МОПТ 351 с длиной затвора Lg =0,5 мкм обычно используют поликремниевый затвор с проводимостью я+-типа и областью канала со встроенным легированным слоем /?-типа. Это обусловлено стремлением упростить технологический процесс изготовления комплементарных МОПТ благодаря легированию поликремния как п, так и /^-канальных приборов с использованием РОС13. Применение же затвора я+-типа для /ьМОПТ из-за меньшей работы выхода требует формирования скрытого канала (см. раздел 1.8). Типичное распределение концентрации примеси и потенциала в области канала подобной структуры представлено на рис. 5.3 при напряжении на затворе Vg, равном пороговому напряжению Vt. В скрытом канале формируются две области обеднения: первая образуется вблизи /?-я-перехода (скрытый канал-подложка), вторая создается в приповерхностной области скрытого канала и обусловлена электрическим полем затвора. При нулевом напряжении на затворе Vg = 0 обедненные слои (приповерхностный и /ья-перехода) сомкнуты. Вследствие того, что минимум потенциала располагается ниже поверхности кремния, открытие канала происходит в глубине скрытого легированного слоя. а) Vn, Встроенный канал «-карман «-подложка Глубина б) Минимум потенциала во встроенном кармане «) Глубина Рис. 5.3. а) р-МОПТ со скрытым каналом /?-типа; б) распределение концентрации примеси в области канала; в) распределение При увеличении напряжения на стоке потенциала в скрытом канале по глубине (при фиксированном напряжении на при усл0вии V = V затворе Vg>V) вследствие падения напряжения вдоль проводящего канала происходит расширение областей обеднения (см. рис. 5.3а). При достижении определенного напряжения на стоке Vdsat эти области смыкаются, что приводит к насыщению тока стока. В связи с тем, что носители в проводящем канале не испытывают рассеяния на поверхности, подвижность носителей в МОПТ со скрытым каналом выше, чем в МОПТ с поверхностным каналом. Однако крутизна транзистора со скрытым каналом может быть ниже из-за уменьшения емкостной связи затвора и канала, так как последовательно с емкостью подзатворного окисла включается емкость слоя обеднения между проводящим каналом и границей Si/Si02. По этой же причине структура/?-МОПТ со скрытым каналом очень чувствительна к короткоканальным эффектам, при-
JO 5 2 Глава 5. Формирование области канала субмикронных МОПТ чем чем сильнее легирован скрытый канал, тем больше величина сдвига порогового напряжения при уменьшении длины канала A Vt(L) (рис. 5.4). Причины сдвига порогового напряжения при уменьшении длины канала в приборах со скрытым и поверхностным каналом различны. Как показано на рис. 5.5, в/ьМОПТ со скрытым каналом дырки диффундируют из/?+-сток-истоковых областей в «-область скрытого канала с характеристической длиной, равной d = LD- arctg Nn \V2 Nm (5.1) где LD 2esrkT \l/2 где Np+ и Np_ — концентрация бора в сток-истоковых областях и в скрытом канале, соответственно [2]. Если диффузионная длина */меньше половины длины скрытого канала, то в этом случае увеличение положительного заряда дырок в канале приводит к снижению порогового напряжения. При дальнейшем уменьшении длины канала пропорционально увеличивается и вклад заряда дырок, вследствие чего соответственно увеличивается сдвиг порогового напряжения. При перекрытии распределения дырок в канале, диффундирующих из истока и стока, происходит ухудшение подпороговых характеристик МОПТ. Таким образом, для /ьМОПТ со скрытым каналом главной проблемой является обеспечение минимального допустимого тока утечки в закрытом состоянии Iofr Короткоканальные характеристики /ьМОПТ со скрытым каналом могут быть улучшены уменьшением глубины залегания /?-я-перехода Xj этого канала. Кроме того, снижение Х} может быть достигнуто благодаря увеличению концентрации примеси в PTS-области под скрытым каналом. Помимо уменьшения глубины залегания /?-и-перехода скрытого канала, это приводит к формированию более резкого /?-я-перехода, что способствует подавлению DIBL-эффекта. Данный метод реализуется ион- Рис. 5.4. Влияние дозы ионной имплантации бора ной имплантацией фосфора либо при формировании скрытого канала на коротко- имплантацией мышьяка непос- канальный сдвиг AVt(L) порогового напряжения редственно после имплантации р-МОПТ (AVX = K,long -Vt(L= 1мкм)) [1] бора в область канала (рис. 5.6) 0,4 ^ 0,3 х CD Он 5 X 0,2 Сдвиг порогового 0 с г - Длина канала = 1 мкм j - / • .... ! 5 10 Доза (хЮпсм-2) 1
5.1. Структура области канала субмикронного МОПТ 353" [3,4]. В последнем случае увеличения емкости сток-истоковых р-п- переходов не происходит. При сокращении длины затвора до величины менее 0,5 мкм относительно высокое пороговое напряжение /ьМОПТ со скрытым каналом не соответствует требованиям, предъявляемым к приборам по напряжению питания и току стока. Один из способов снижения порогового напряжения /?-МОПТ — использование материала затвора с более высоким значением работы выхода, например поликремния с проводимостью р-типа. Однако введение/?+-поликремниевого затвора в технологический процесс изготовления КМОП СБИС осложняется следующими проблемами. о Й Расстояние Рис. 5.5. Иллюстрация к объяснению причины короткоканального сдвига порогового напряжения р-МОПТ вследствие диффузии Во-первых, необходимо не допустить дырок из сток-истоковых областей в скры- проникновения бора из /?+-затвора тый канал через подзатворный окисел в область канала, чтобы предотвратить возможную невоспроизводимость порогового напряжения. При этом, снижая с целью ограничения проникновения бора в окисел уровень легирования поликремниевого затвора, необходимо не допустить обеднения его носителями в режиме сильной инверсии, приводящего к увеличению эффективной толщины подзатворного диэлектрика. Во-вторых, следует обеспечить формирование омического контакта между п+- и /?+-областями поликремниевой разводки СБИС. И наконец, при проектировании схемы требуется учитывать, что />+-поликремний имеет более высокое сопротивление, чем я+-поликремний. Все эти проблемы разрешаются при использовании нитрированных подзатворных окислов и полицидной структуры затворов. При изготовлении р-МОТТТ с длиной затвора более 0,5 мкм такое усложнение технологического процесса нецелесообразно, поэтому, как правило, в данных МОПТ применяется поликремниевый затвор я+-типа. Однако при уменьшении проектных норм в глубокосубмикронную область при Мышьяк 0.1 0.2 Глубина (мкм ) 1 t i i N 0.3 Рис. 5.6. Результирующее распределение концентрации примесей в области скрытого изготовлении /7-МОПТ с /?+-затвором канала р_М0ПТ. Условия ионной импланта- предотвращение проникновения бора ЦИи мышьяка: Е= 100 кэВ, D = 1 • 10,3см2; BF: через подзатворный окисел и обедне- Е= 15 кэВ; D = 3 • 1013см2. Глубина залегания ния затвора носителями становится />-л-перехода скрытого канала равна 0,06 мкм
354 Глава 5. Формирование области канала субмикронных МОПТ затруднительным. Поэтому, учитывая необходимость уменьшения числа операций фотолитографии и благодаря разработке новых методов создания ультрамелких легированных слоев при изготовлении глубокосубмикронных ИС, вновь стали использовать/7-МОПТ с затвором я+-типа [3—5, 70]. Поскольку ширина области обеднения в канале Wd наряду с толщиной под- затворного окисла Тох и глубиной залегания сток-истоковых ^-«-переходов Х} определяют короткоканальные характеристики МОПТ, то величина порогового напряжения Vt и степень проявления короткоканальных эффектов жестко связаны друг с другом. Разорвать эту взаимозависимость можно, используя неоднородное распределение примеси в области канала в вертикальном или латеральном направлении. Вертикально-неоднородное легирование области канала с более высокой концентрацией примеси в приповерхностной области и более низкой на уровне >Xj реализуется при традиционной технологии изготовления МОПТ. В этом случае концентрационный профиль создается ионной имплантацией для формирования PTS-слоя с последующей ионной имплантацией для «подгонки V>>. При другом типе вертикально-неоднородного легирования области канала с низкой поверхностной концентрацией и высокой концентрацией на глубине « Xj/З формируется так называемое ретроградное распределение примеси. Случай с однородным распределением примеси в области канала является промежуточным между ними. МОПТ, изготовленные по традиционной технологии, при заданном пороговом напряжении характеризуются более широкой областью обеднения в канале Wd, чем МОПТ с однородным распределением примеси, в то время как МОПТ с ретроградным коцентрационным профилем имеют наименьшую ширину области обеднения. Большая ширина области обеднения при заданной величине порогового напряжения обусловливает усиление короткоканальных эффектов, но одновременно обеспечивает уменьшение подпорогового ^-фактора. Наоборот, малая ширина области обеднения Wd улучшает короткоканальные характеристики МОПТ, но приводит к возрастанию коэффициента влияния подложки у и подпорогового ^-фактора. Увеличение коэффициента влияния подложки вызывает снижение напряжения на стоке, при котором происходит «отсечка» канала, и, соответственно, уменьшение тока стока Idsat. В то же время возрастание подпорогового 5-фактора при заданном пороговом напряжении приводит к росту тока утечки Ioff Таким образом, использование вертикально-неоднородного ретроградного распределения примеси в области канала вызывает необходимость учета компромиссных требований как к короткоканальным характеристикам МОПТ, так и к величине влияния подгонки коэффициента у и подпорогового ^-фактора [13,65]. Ретроградное распределение примеси в области канала целесообразно использовать при изготовлении МОПТ с длиной затвора Lg =0,35 мкм [10—12]. При таких размерах для обеспечения короткоканальных характеристик поверхностная концентрация примеси в области канала транзистора с однородным распределением достигает 3-Ю17 см3 и выше. Это приводит к чрезмерному повышению порогового напряжения или к необходимости использования более тонкого подзатворного окисла. При условии пропорционального масштабирования структуры транзистора (т.е. при линейной зависимости Тох от Lg) ток стока МОПТ с ретроградным распределением ниже, чем ток стока МОПТ с однородным распре-
5.2. МОПТ с ретроградным распределением примеси в области канала 2Э делением. Однако МОПТ с ретроградным распределением превосходит по току стока МОПТ с однородным распределением, если используется более толстый подзатворный окисел, чем рекомендуется при пропорциональном масштабировании. Указанное преимущество МОПТ с ретроградным распределением примеси объясняется более высокой подвижностью носителей в канале и лучшими короткоканальными характеристиками. В этом случае применение ретроградного распределения полезно еще и в связи со снижением остроты проблем технологии формирования тонкого подзатворного окисла и обеспечения надежности. Кроме того, в работах [14, 67] показано, что характеристики МОПТ с ретроградным распределением примеси более устойчивы к отклонениям длины канала от номинального значения. Значительное улучшение короткоканальных характеристик МОПТ достигается при латерально неоднородном легировании области канала [56—60] с повышенной концентрацией примеси вблизи сток-истоковых/?-я-переходов. Подобная структура области канала (pocket, halo) создается наклонной ионной имплантацией после формирования затворов или мелкозалегающих сток-истоковых слоев. Она эффективно ограничивает проникновение в канал областей обеднения сток-истоковых /?-я-переходов. В центральной части области канала pocket- МОПТ концентрация примеси относительно низкая, вследствие чего плотность объемного заряда в области обеднения канала и, соответственно, пороговое напряжение снижаются. Таким образом, улучшение короткоканальных характеристик МОПТ сочетается с уменьшением порогового напряжения и подпорогового 5-фактора. Фундаментальным ограничением данного метода для улучшения короткоканальных характеристик МОПТ является возрастание туннельного тока /ья-переходов. 5.2. МОПТ с ретроградным распределением примеси в области канала Первоначально ретроградное распределение примеси (RCP — retrograted channel profile) в области канала МОПТ предложили использовать для уменьшения рассеяния носителей на ионизованных атомах примеси [6—9]. В приборах с длиной канала Lg =0,35 мкм использование RCP-распределения примеси обеспечивает также улучшение короткоканальных характеристик [6—9, 15—16, 19—21, 65—67] благодаря меньшей ширине области обеднения при заданной величине порогового напряжения [13]. Однако реализация этих преимуществ, как оказалось, зависит от требований, предъявляемых к величине и соотношению токов стока Idsat и Iojr Действительно, для обеспечения равных пороговых напряжений обычного и RCP-МОПТ концентрация в максимуме ретроградного распределения примеси должна быть относительно высокой. Эта особенность структуры МОПТ с RCP-распределением примеси в области канала имеет важные последствия для характеристик прибора. Как показано на рис. 5.7, пространственный заряд области обеднения и пороговое напряжение в такой структуре изменяются вдоль канала, увеличиваясь в направлении от истока к стоку [21]. Это справедливо для МОПТ как с ретроградным, так и с однородным распределением примеси в области канала. Однако в случае ре-
|о56 Глава 5. Формирование области канала субмикронных МОПТ Инверсионный слой Ретроградное распределение примеси CTOK(Vd=Vcc) троградного распределения увеличение плотности пространственного заряда вблизи стока более значительное. Вследствие этого «отсечка» канала происходит при меньшем напряжении на стоке K,sat (К,ш = Vg-Vt,meVt- пороговое напряжение вблизи стока). Соответственно, ток стока Idsat Рис. 5.7. Схематическое изображение сечения RCP-МОПТ будет ниже, чем у л-МОПТ в режиме насыщения. Более высокая обычного транзистора, плотность объемного заряда вблизи стока обу- Влияние высокой концен- словлена распространением области обеднения трации примеси в области канала стока в слое с ретроградным распределением на ток СТ0Ка может быть описано через взаимосвязь плотности но- примеси сителей в канале и соотношения емкостей области обеднения Cd и подзатворно- го окисла Сох. В приборах с относительно толстым подзатворным окислом, когда Сох « Cd, изменения плотности носителей в канале определяются изменением напряжения на затворе и толщиной подзатворного окисла С0: AQn = Cox ■ AVg. Однако вследствие снижения толщины подзатворного окисла и увеличения концентрации примеси в области канала Сох и Cd становятся сравнимыми по величине. Поэтому изменение поверхностного потенциала Аф5 при изменении напряжения на затворе определяется с учетом емкостного делителя: &*, = ЬУ,-СЛС«+СЛГ. (5.2) При этом изменение плотности носителей в канале, соответственно, равно: / AQ„=A<?sCd ■■bV-C g 01 1+- Y 'd J AV-C 1 + y (5.3) где у = Cd/Cox — коэффициент влияния подложки (коэффициент у характеризует также изменение порогового напряжения МОПТ при изменении напряжения на подложке: у = AVt/AVbs= Cd/Cox). Так как подпороговый ^-фактор в свою очередь зависит от отношения емкостей Cd/Cox S=60 1+— (мВ/дек), \ ох I то соотношение между 5-фактором и у имеет вид : (5.4) 5=60(1 +у)(мВ/дек). (5.5) Из вышеизложенного следует, что вследствие высокой концентрации примеси в максимуме RCP-распределения происходит не только снижение тока стока Idsat МОПТ в сравнении с обычным транзистором (при равных V), но и увеличение тока утечки Ioff из-за возрастания подпорогового ^-фактора. Возрастанию тока Ioff МОПТ с RCP-распределением примеси в области канала способствует также увеличение подвижности носителей в слаболегирован-
5.2. MO FIT с ретроградным распределением примеси в области канала 2D А NA i i NA p 1 '■■ "" tr- WD Глубина a) I 4 \ NA /j P + — p • ► i Xld 4 ^ P" p+ + 1 i b- WD Глубина WD Глубина б) в) Рис. 5.8. Распределение примеси в области канала МОПТ с однородным (UD) (а), ретроградным (RCP) (б), ступенчатым (DD) (в) концентрационным профилем ном приповерхностном слое. Кроме того, поскольку подвижность носителей в канале подчиняется универсальной зависимости \i(EeJ^), то при высоких значениях напряженности электрического поля Eeff увеличение тока стока Idsat всегда будет ниже ожидаемого; в то же время снижение поверхностной концентрации примеси в канале при низких значениях EeffB подпороговом режиме способствует увеличению тока утечки Ioff. Так как при увеличении Idsat возрастает и ток стока МОПТ в закрытом состоянии Ioff, то выбор конструкции МОПТ с наилучшим отношением Idsat / loff зависит от того, для какой области применения разрабатывается МОПТ. При создании быстродействующих МОПТ с высокой нагрузочной способностью (high-performance — HP) требуется обеспечить высокое значение тока Idsat и минимально возможное значение тока Ioff. При создании приборов с малым потреблением мощности (Low-Power — LP) требуется обеспечить низкий уровень тока Ioff и максимально возможное значение тока Idsat. На рис. 5.8д, б, в представлены распределения примеси в области канала различного типа: однородное (uniformly doped — UD) {а), ретроградное (RCP) (б) и ступенчатое (delta-doped — DD)(#). МОПТ с UD-распределением примеси имеют низкое значение коэффициента у из-за большой ширины области обеднения. Значение коэффициента у для МОПТ с DD-распределением при Vt вдвое больше, чем у UD-МОПТ, так как ширина области обеднения у него вдвое меньше [13, 29, 55]. Характеристики RCP-МОПТ зависят от реального распределения примеси в области канала и являются промежуточными между соответствующими значениями для UD- и DD-МОПТ. Поэтому аналитическое исследование взаимосвязи параметров физической структуры и характеристик RCP-МОПТ осуществляется путем сравнения с МОПТ, имеющими однородное или DD-распределение примеси в области канала. Оптимизация характеристик МОПТ с однородным распределением примеси осуществляется одним параметром — концентрацией примеси в подложке (при неизменных других параметрах физической структуры). В случае RCP-распределения для управления характеристиками МОПТ необходимо использовать три параметра: поверхностную концентрацию примесей Ns, концентрацию примеси в максимуме распределения Л^, и толщину слаболегированного слоя XLD. Это существенным образом ограничивает возможность эмпирического выбора параметров физической структуры с целью управления короткоканальны- ми характеристиками МОПТ. Исследование МОПТ с ретроградным (ступенчатым) распределением примеси в канале с помощью аналитических моделей выполнено в ряде работ [13—16].
Глава 5. Формирование области канала субмикронных МОПТ Главное отличие моделей в указанных работах заключается в способе учета изменения ширины области обеднения WD вдоль канала, определяющего величину порогового напряжения МОПТ вблизи стока. 5.2.1. Пороговое напряжение МОПТ с ретроградным распределением примеси в канале Основной задачей оптимизации распределения примеси в области канала МОПТ при уменьшении длины затвора является улучшение характеристик при одновременном обеспечении относительно низких значений порогового напряжения. Аналитическое моделирование порогового напряжения в приближении WD = XLD. Для порогового напряжения и подпорогового 5-фактора длинноканального МОПТ с однородным распределением примеси в области канала справедливы выражения [17]: Vt = A + №*'<1-ХвиЬ'(2щ+У8Ь) A + 6.(2q>A+KJ. 5 = 60 i , &Si ' *ox em-Wn Wn = 60- 1+- V-A 2(2%+ VJ (5.6) Для транзисторов с идеальным DD-распределением примеси в области канала вследствие равенства толщины слаболегированного слоя XLD и ширины области обеднения WD (см. рис. 5.8) выражения для V, и 5 имеют вид [13]: w Чь >ь> А + 3(2ф4+К5б)- Wn 5 = 60 1+fs.. W, = 60 1 + DJ v,-* 2Ф* + Кь. (5.7) где А = VFB + 2 cpb — величина, зависящая от работы выхода затвора, которая для я-МОПТ с я+-затвором и для/?-МОПТ с/?+-затвором близка к нулю, Тох — толщина подзатворного окисла, Xj — глубина залегания/ья-перехода SDE-областей, ц>ь = (EF — Е) в области канала, VSb — напряжение на подложке относительно стока. Соответствующие характеристики RCP-МОПТ являются промежуточными между значениями, определяемыми соотношениями (5.6) — (5.7). Выражения для порогового напряжения Vt в короткоканальной области с учетом его зависимости от длины канала Ьс и напряжения на стоке Vds получены в эмпирической форме [13]:
5.2. МОПТ с ретроградным распределением примеси в области канала 35? ^ = Ko-W^+0,8.expf-^j; (5.8) где / — характеристическая длина зависимости Vt(Lc), a Vt0 — длинноканаль- ное значение порогового напряжения. Предложенное соотношение (5.8) хорошо подтверждается экспериментом для области не слишком больших A Vt = Vt#—Vt как для обычных, так и DD- транзисторов (рис. 5.9) [13]. Для каждой из конструкций транзистора сдвиг порогового напряжения экспоненциально зависит от длины канала с характеристической длиной / [18] (см. раздел 1.5). Как видно из рис. 5.9, в суб-0,1 -микронной области сдвиг порогового напряжения AVt обычного и DD-транзистора становится одинаковым вследствие того, что физическая структура приборов практически идентична при малых XLD. Экспериментально подтвержденное соотношение (5.8) для AVt(Leff, Vds) позволяет оценить минимальное значение эффективной длины канала Lmin в зависимости от Vds и допустимого сдвига порогового напряжения AVX\ f 5 f III 1 „..„..„,« t 1 PQ s-"' < 0,1 0 ■ 4 *4 Vs \4» 4 *. \ \ ^~175A\ ♦ i. . . v*,, ,i 0,1 0,2 Lpoly ♦ UD.Vd = 2B Ж DD,Vd = 2B • .. . 0,3 0,4 (mkm) 0,5 Рис. 5.9. Экспоненциальная зависимость сдвига порогового напряжения AV, от длины затвора с характеристической длиной / для UD- и DD-МОПТ 2/In 1,8^+0,8) AV, (5.9) Для случая Vds = 2В, Д^ =0,1 В величина Lmin приблизительно равна 11. Практически при выборе технологического допуска на величину Leff часто требуется знание не только абсолютного значения допустимого сдвига порогового напряжения Д V, но также и допустимого значения производной dV, _AV, ~dL~~2l и соответствующей минимальной длины канала L'in: ■ 2Пп 1,8^+0,8 Д_К dL ■21 ■ 2Пъ 1,8^+0,8 3,5 dV^ dL (5.10) В том случае, когда dVt/dL выбирается равной 3,5AVt/Lmin, оба допустимых значения минимальной эффективной длины канала совпадают: Lmin = L'min.
360 Глава 5. Формирование области канала субмикронных МОПТ Как уже упоминалось, главной задачей при оптимизации распределения примеси в области канала является обеспечение приемлемых короткоканальных характеристик без необходимости повышения порогового напряжения. Значение порогового напряжения зависит от ширины области обеднения WD в соответствии с соотношениями (5.6)—(5.7). В отличие от таких параметров физической структуры транзистора, как Тох и хр ширина области обеднения не может быть измерена непосредственно. Однако, выражая WD через Vt в соотношениях (5.8) и (5.9), можно получить зависимость Lm-n от Vt и параметров физической структуры транзистора: Lmin~7[3n(2<pb+Vsb)] 1/3 Г2/3 vJ/3 Лох ' •*/ (^-А) -1/3 (5.11) В приведенном соотношении п = 1 для транзисторов с однородным распределением примеси в канале, п = 2 для DD-транзисторов. На рис. 5.10 сравниваются результаты расчетов Zmin по приведенному соотношению (5.11) с экспериментальными данными, а также с результатами двумерного численного моделирования. Формирование канала в экспериментальных транзисторах осуществлялось либо ионной имплантацией, либо методом локальной эпитаксии. Процесс локального наращивания слаболегированного слоя кремния позволяет создать распределение примеси с резким перепадом концентрации, обеспечивая так называемое дельта- легирование области канала. Таким образом, экспериментальные значения Lmin. располагаются между зависимостями для UD- и DD-транзисторов вследствие различной степени «ретроградности» концентрационного профиля. При одинаковом пороговом напряжении транзисторы с дельта-легированной структурой области канала обеспечивают возможность снижения минимальной длины канала Lmjn приблизительно на одно поколение технологии или при заданном Lmin позволяют вдвое снизить пороговое напряжение Vr \о=20 -\- х,п««0 Ьт1п(мкм) Рис. 5.10. Зависимости длиннока- нального порогового напряжения Vt от минимально-допустимой длины канала Lmin для UD и DD-МОПТ. Тох =40 А, xi = 400 А для DD-МОПТ; х. = 400-700 А для UD-МОПТ (из-за различия Nsub). Экспериментальные результаты располагаются между зависимостями для UD- и DD-МОПТ вследствие различной степени ретроградности распределения примеси в области канала 0,6 ;о,4 0,21. 0,0 Тенденция,, ""изменения Vt UO 0,05 0,1 0,2 Lmin(MKM) Рис. 5.11. Зависимости Vt(Lmin), аналогичные приведенным на рис. 5.10, для различных комбинаций значений Тт и хг ([22]-[25]), иллюстрирующие преимущество DD-распределения примеси в области канала при масштабировании МОПТ
5.2. МОПТ с ретроградным распределением примеси в области канала 3 61 j| На рис. 5.11 приведены аналогичные зависимости Vt(Lmin) для МОПТ трех поколений технологии с соответствующими значениями Тох и х]9 из которых выявляется роль ретроградного распределения примеси в канале при масштабировании МОПТ [13]. Для приборов с Тох« 65 А и ^ « 1000 А, что соответствует технологии с Lmin« 0,25 мкм [22], использование ретроградного распределения примеси в канале МОПТ не является необходимым, но приборы с Lmin ниже 0,2 мкм могут быть изготовлены лишь с использованием ретроградного профиля. Конечно, приемлемые значения порогового напряжения могут быть получены и с однородным распределением примеси в области канала МОПТ при уменьшении Тох. Так, при Тох =40 А и х. =500 А не слишком высокие значения Vt могут быть получены при Lmin = 0,15 мкм с однородным распределением примеси в канале; однако использование ретроградного распределения допускает при этом снижение Lmin до 0,1 мкм. При дальнейшем снижении Lmin, например до 0,07 мкм, когда существенную роль при снижении Х} и Тох будут играть ограничения по надежности подзатворного окисла и по паразитному сопротивлению сток-истоковых областей [23], использование ретроградного распределения может оказаться единственным условием масштабирования МОПТ. Аналитическое моделирование порогового напряжения МОПТ со ступенчатым распределением примеси в области канала в приближении WD > XLD. В этом приближении область обеднения распространяется в слаболегированной и частично в сильнолегированной областях DD-распределения. В отличие от приближения WD = XLD DD-распределение примеси в случае WD > XLD характеризуется тремя параметрами физической структуры: Ns, Np и XLD (см. рис. 5.8), а условие сильной инверсии определяется не концентрацией Ns, a концентрацией N в сильнолегированном слое: <PS№v) = 2q>4(JV,) = 2—11Д. (5.12) U rlj В работах [15, 26] решение уравнения Пуассона для рассматриваемого случая осуществлялось с применением так называемого VDT-преобразования (Voltage Doping Transformation), благодаря которому влияние электрического поля стока на ширину области обеднения канала заменялось эквивалентным уменьшением концентрации примеси в канале. Новое (эквивалентное) значение концентрации примеси в канале N*(X) определяется следующим образом: N\x) = N(x)-^.\iVV» , (5.13) q U{x) где и — подгоночный коэффициент, Vbj — встроенный потенциал /?-я-перехода, L — величина, близкая к электрической длине канала. Как показано в работе [27], замена реальной концентрации примеси в области канала N в выражении для длинноканального порогового напряжения Vt эквивалентным значением концентрации N* позволяет использовать это выражение для короткоканального случая. Результирующее выражение для Vt для МОПТ с однородным распределением примеси в области канала имеет вид: j2es,qN*(2%+Vsb) где TV* определяется соотношением (5.13), а V, = VFB + 2<vb + ^ a* v Y* sb' , (5.14)
(О62 Глава 5. Формирование области канала субмикронных МОПТ Ф, =2ф4=— In—^ Я У Щ Использование VDT-преобразования для МОПТ с DD-распределением примеси в области канала для порогового напряжения в случае WD> XLD позволяет получить следующее выражение для порогового напряжения: V-V +2с„ б, j2estqNp(2% + Vsb + VR) v>-yFB+l(Vb c + с , (5.15) ОХ ОХ QR=qxLD(N*p+N*s)~qxLD{Np-Ns), (5.16) Исходя из (5.13), эффективные концентрации N* и ^уменьшаются приблизительно на одну и ту же величину относительно N и Ns. Поэтому принимая во внимание, что QR является функцией разности (N* — N*J, считают, что QR и VR не изменяются при VDT-преобразовании. В конечном счете выражение для AVt(L) принимает вид [15]: ДВД =-72^(2^ (5.17) Сох 4NP У q L< J где v — подгоночный параметр. Помимо сдвига порогового напряжения AV((LJ существенное значение в ряде случаев имеет другая характеристика — крутизна изменения AVt при уменьшении длины канала: ЭК(4) /^ -^ 77—тгт 1 1 f2e„ К. + уКЛ _^ = -7285г^2ф.+К-+Кл).-.-|-^.^Г^} (5.18) Значение этой характеристики обусловлено тем, что от нее зависит величина тока утечки /off при отрицательном технологическом допуске на длину затвора. Согласно (5.17), сдвиг порогового напряжения AVt(LJ обратно пропорциана- лен ^N. Вследствие этого при увеличении Np уменьшается величина сдвига A Vp но при этом увеличивается (незначительно) длинноканальное значение порогового напряжения VUong. Этот вывод иллюстрируется рис. 5.12, на котором представлены результаты расчета, зависимости Vt(Lc) с использованием соотношений (5.15), (5.16) и двумерного численного моделирования [15]. При увеличении N с 5 • 1017 см-3 до 1 • 1018 см-3 длинноканальное значение порогового напряжения увеличивается только на 30 мВ, в то время как сдвиг порогового напряжения МОПТ с длиной канала, равной 0,1 мкм, снижается на 75 мВ. Как следует из выражения (5.17), сдвиг порогового напряжения является слабой функцией концентрации Ns и толщины слаболегированного слоя XLD (через член VR). Уменьшение Ns или увеличение XLD вызывает возрастание VR (см. (5.16)), что приводит к незначительному увеличению AVt. Однако, как видно из рис. 5.13, когда толщина слаболегированного слоя DD-распределения XLD уменьшается с 40 до 20 нм, длинноканальное значение Vt увеличивается на 80 мВ, в то время как
5.2. МОПТ с ретроградным распределением примеси в области канала 3 63п| 0,2 0,1 Oh V г ' "I ! Г- 1 "1 ^ J — Соотношения (15) - (17) П oNp = 1x1018cm-3 \ Т 1 ) р > Моделирование J dNp-5х1017см"3) 1 Г tuV 285 мВ X ЛгчЗ^-^-а- — "~ii-^3fcr—- pi 360 мВ Н \ т 1 i i i i 1 0,2 0,4 0,6 0,8 Длина канала (мкм) > -0,1 -0,2 -0,3 Г 1 V 1 1 1 " " 1 J 1 1 1 — Соотношения (15) - (17) OXld-20hm \_. □ XLo=40hm } Моделирование S\ 335 мВ /^::a=f;=«===f=== 360 мВ и 1 \ 1 -J 0,2 0,4 0,6 0,8 Длина канала ( мкм ) Рис. 5.12. Зависимости порогового напряжения /7-МОПТ со ступенчатым профилем концентрации примеси в области канала от длины канала, полученные с использованием соотношений (5.15)—(5.17) и приборно- технологического двумерного моделирования XLD = 40нм, ND=l- 1017см3, V= -0,18 В, Рис. 5.13. Зависимости порогового напряжения /ьМОПТ со ступенчатым профилем концентрации примеси в области канала от длины канала, полученные с использованием соотношений (5.15)-(5.17) и приборно- технологического двумерного моделирования N Т= 40 A, v = 1,86 □□□TV =1 • 1018 см3, V= -0,18В, Т0 5 • 1017 см3, Ns= 1 • 10,? см3, = 40 A, v= 1,8, пппХш=20 нм, ооо Na = 5 • 1017 см3 ооо XLD = 40 нм, соотношения (5.15) и (5.17) соотношения (5.15) и (5.17)* величина сдвига AVt для МОПТ с длиной канала 0,1 мкм увеличивается всего на 25 мВ. Таким образом, управление величиной сдвига порогового напряжения достигается, главным образом, изменением концентрации в сильнолегированном слое DD-распределения Np. Как видно из представленных зависимостей на рис. 5.12, 5.13, полученные результаты подтверждаются результатами расчета с использованием двумерного численного моделирования. Из изложенного ясно, что при увеличении N длинноканальное значение порогового напряжения необходимо подрегулировать увеличением XLD и/или снижением Ns. Однако при достижении достаточно низкого значения Ns его дальнейшее уменьшение становится неэффективным и длинноканальное пороговое напряжение остается функцией мерного моделирования только А^и Np (рис. 5.14) [16]. На рис. 5.15 представлена зависимость сдвига порогового напряжения AVt от А/р, полученная из выражения (5.17) при условии равенства (достигаемого изменением XLD) длинноканальных значений Vt для МОПТ с DD- и UD-распределением Пороговое напряжение (В) _о ел о \\\ W Ns = Моделирование: | • численное (Np s5x 101 ' см"31 о численное (Np =1х10исм'1 ■ численное (Np =5х 10* а см ' 31 -3 численное (Np =1 х 101 9 см'3| аналитическое 1 аналитическое (супрощениями) 1 ш *^S:^^s*iimu 1х1016см'3 0'"" ~ ) 50 1 Хш(нм) 00 Рис. 5.14. Зависимость длинноканально- го порогового напряжения я-МОПТ со ступенчатым распределением примеси в обла- стиканалаоттолщиныелаболегированного слоя, полученные по результатам аналитического и приборно-технологического дву-
Глава 5. Формирование области канала субмикронных МОПТ 0.22 0.2 ^^ QQ о 18 5 0.16 0.14 ~ - 0.12 I J UD-МОПТ au ». dd-мопт ., , jff\—* Ns=1x1016cm-3 - Np=4.7x1017cm"3 Ь>=3.5хЮ,8см-3 | \ ! i 1018 1019 NP(CM"3) s CO s н 3 Градиент = 4 мВ/мм Градиент = Д1оП V,,sa 0,1 0,15 Эффективная длина канала (мкм) Рис. 5.15. Зависимость сдвига порогового напряжения МОПТ от максимальной концентрации примеси N в Рис. 5.16. Иллюстрация преимущества DD-МОПТ по величине крутизны спада порогового напряжения в короткоканаль- ной области в сравнении с UD-МОПТ. (ACD = 30 нм, S= 80 мВ/дек) DD-распределении. Толщина слаболегированного слоя XLD изменяется для обеспечения неизменного значения Vx, , равного Vtlong UD-МОПТ (NA = 4,7 • io7 см3). примеси в области канала [15]. Как Тох = 4 нм, V= 1,5 В, Lefr = 0,1 мкм видно, существует оптимальное сочетание значений параметров DD- распределения примеси, обеспечивающее значительное уменьшение сдвига порогового напряжения A Vt в сравнении с МОПТ с однородным легированием области канала. Расчеты показывают, что использование DD-распределения примеси с оптимальными значениями параметров, указанных на рис. 5.15 (Np = 3,5 • 1018 см3, Ns = 1-Ю16 см-3, XLD = 28 нм), позволяет на 20% снизить минимальную эффективную длину канала Xmin (с 0,15 мкм до 0,12 мкм) при неизменном значении длинноканального порогового напряжения. Кроме того, использование DD-распределения примеси в области канала позволяет значительно уменьшить градиент зависимости сдвига порогового напряжения от длины канала Leff, так как он пропорционален \/*Шр. При заданном допустимом отклонении длины затвора ACD от номинального значения это обеспечивает уменьшение сдвига порогового напряжения и, соответственно, снижение изменения подпорогового тока утечки в соответствии с выражением: A/0#=S-ACZ>grad Vt. Так, для МОПТ с Leff = 0,12 мкм (L = 0,18 мкм) при допустимом отклонении на размер ACD =20% (30 нм) это приведет к изменению тока утечки А/0#, равному 0,6 декады в то время как для UD- МОПТ изменение тока утечки AIoff9 составит 1,5 декады, (рис. 5.16) [15]. 5.2.2. Ток стока МОПТ с ретроградным (ступенчатым) распределением примеси в области канала Экспериментальные выходные характеристики обычного МОПТ и МОПТ с эпи- таксиальной областью канала (DD-распределение примеси) представлены на рис. 5.17 [13]. DD-МОПТ имеет меньший ток Idsat, чем обычный транзистор при одинаковой длине канала из-за влияния заряда области обеднения на пороговое
5.2. МОПТ с ретроградным распределением примеси в области канала 3 6 5^ напряжение вблизи стока. Аналитическое моделирование тока стока МОПТ с DD-распределением примеси в области канала для частного (WD= XLD) и общего (WD =XLD) случаев выполнено в работах [13] и [14], соответственно. Ток стока МОПТ в режиме насыщения в приближении WD =XLD. Выражение для тока стока в режиме насыщения для DD-МОПТ основано на известных соотношениях для Idsatvi Vdsatобычного МОПТ [30] : d,sat где К W-v*-C„(Vt-Vt+V^), (V-V,)Esal-Lc (5.19) d,sat V-V. + E„.-L Уравнение (5.19) интерпретируется следующим образом: при достижении насыщения скорости носителей в канале вблизи стока напряжение на стоке равно Vdsat, а плотность носителей вблизи стока равна C0X(Vg —Vt— VdsJ. Как иллюстрируется на рис. 5.7, пороговое напряжение вблизи стока DD-МОПТ выше, чем в обычном транзисторе, из-за более высокого коэффициента влияния подложки у (у = Cd/Cox для UD-МОПТ, у = Cd/2Cox для DD-МОПТ [29]). С учетом указанного фактора выражение для тока стока Idsat DD-МОПТ принимает вид [13]: 1d,sat rv vsat ^ox (V'-V,f ■K+aE,L (5.20) гдеК/=Г„ * drafts' 400 ^ 300 H! 200 ■o 100 ol 0 IU. 11.1111,1 1 у Щ, J 1. 11. ^ Ц .. .1 ^ 1 .1 1 1Щ1 1 11Ш1ШЦ Lg = 0,2 mkm; V( = 0,45 B, Tox = 4 нм DD , ^Jj_ , • . -Обыч- • >"—"Vg-Vt =1,5 ный 'S \ IУ* vg-vt=i \/*~^~ Vg-Vt=0,5 Г iilnii ишДмм ш m k н mi*! i Aii* ■ и 0 0.5 1.0 1.5 2. 0 Vd(B) Рис. 5.17. Экспериментальные выходные характеристики DD-МОПТ с областью канала, сформированной имплантацией примеси с последующим локальным наращиванием эпитаксиального слоя 0.0 ОЛ 0.6 0.8 Lpoly(MKM) Рис. 5.18. Экспериментальные и расчетные зависимости /d>sat и AVt от длины затвора для обычного и DD-МОПТ. Расчетные зависимости получены с использованием соотношений (5.20) и (5.8)
366 Глава 5. Формирование области канала субмикронных МОПТ a = l + | = lHh^-l + ^(/UIHDD-MOnT^D==ZLD). (5.21) На рис. 5.18 приведены зависимости Idsat от длины канала, показывающие хорошее согласие между экспериментальными результатами и результатами, полученными с использованием соотношений (5.20) и (5.8) [13]. Так как коэффициент у всегда больше 1, то МОПТ с меньшим значением XLD имеет более низкий ток стока, и поэтому ток стока Idsat DD-МОПТ меньше Idsat обычного транзистора. Это отражено на рис. 5.18 положением расчетных зависимостей, соответствующих значениям XLD = 15 и 25 нм. Хотя подвижность носителей в канале RCP-транзисторов обычно выше благодаря более низкой концентрации примеси в области канала, все же влияние коэффициента а является более сильным фактором. Поэтому результирующее влияние подвижности носителей и объемного заряда на величину тока стока в короткоканальной области, определяемое отношением a/jaeff, зависит, главным образом, от величины коэффициента а. Альтернативный вариант сравнения DD- и UD-транзисторов состоит в оценке величины Idsat не при одинаковых значениях длины канала, а при значениях, равных Lmin каждого из транзисторов (Lmin — длина канала, соответствующая максимально допустимому сдвигу порогового напряжения ЬУ). В этом случае, поскольку уменьшение XLD приводит к улучшению (т.е. уменьшению) Lmin для DD-МОПТ, то и его ток стока при снижении XlD будет больше, чем у UD-МОПТ, вследствие того, что зависимость Idsat от длины канала сильнее, чем в (5.20). Однако сравнение DD- и UD-МОПТ при равных значениях минимально допустимой длины канала Lmin неприемлемо для приборов с малой рассеиваемой мощностью из-за жестких требований к величине Iofr Действительно, влияние снижения XLD на ток стока Idsat менее значительно, чем на величину отношения IdsJI0ff из-за увеличения подгорогового ^-фактора. При заданной величине порогового напряжения Vt величина /0//связана с ^-фактором следующим соотношением: ( г \ V=—\n ' 60 loff ) где It —уровень тока стока при Vg = Vr Вследствие этого МОПТ с однородным распределением примеси в области канала имеет значительное (более двух порядков) преимущество по величине отношения Id>sa/Ioff перед DD-МОПТ при одинаковых Lmin и Vr Когда же требование минимизации /^является жестким, а главное — обеспечить снижение минимально допустимой длины канала Lmin, тогда использование DD-МОПТ оправданно. Кроме того, использование DD-МОПТ эффективно в тех случаях, когда необходимо ослабить проблемы с надежностью и с током утечки подзатворного окисла за счет увеличения его толщины без ухудшения короткоканальных характеристик. Таким образом, выбор параметров физической структуры МОПТ должен осуществляться в зависимости от области применения МОПТ: в схемах с малым потреблением мощности (LP — Low Power) с ограничением по максимально допустимому /0#или в схемах с высоким быстродействием и нагрузочной способностью (HP — high performance) с ограничением по минимально допустимому Idsat.
5.2. МОПТ с ретроградным распределением примеси в области канала 3 6' Результаты исследования МОПТ со ступенчатым распределением примеси в области канала с использованием обобщенной аналитической модели (WD = XLD). Приведенный анализ DD-МОПТ в приближении WD = XLD позволил получить качественную картину взаимосвязей между параметрами физической структуры и характеристиками транзистора. В более поздней работе [14] аналитическое исследование выполнено с учетом всех параметров ступенчатого распределения примеси — Ns, Np, XLD в диапазоне значений ширины слоя обеднения WD = XLD. В указанной работе представлены: • результаты расчета тока стока МОПТ для маломощных и быстродействующих ИС; соответствующие режимы работы МОПТ описываются Ioff- и 1оп- мод елями; • расчеты, которые основаны на использовании: квазидвумерной модели порогового напряжения [18] (см. раздел 1.5.); модели подвижности носителей [31—36] (см. раздел 1.4.2.); зависимости подвижности от напряженности продольного электрического поля [37—38] (см. раздел 1.4.З.); длинноканальной модели тока стока [8] (см. раздел 1.З.); псевдодвумерной модели распределения поверхностного потенциала и напряженности электрического поля в области насыщения скорости носителей [29] (см. раздел 1.4.6.); квантово- механической коррекции плотности носителей в инверсионном слое [39] (см. раздел 1.12). При расчете тока стока учитывалось различие в подвижности носителей в канале в закрытом состоянии МОПТ и в режиме насыщения, при протекании тока стока lof/( Vg = 0) и Idsat( Vg = IB), соответственно. Как видно из рис. 5.19, при снижении поверхностной концентрации Ns на два порядка ниже максимальной концентрации (Np = 1,5 • 1018 см3) дальнейшее ее снижение слабо влияет на величину \ieff Поэтому в расчетах тока стока в работе [14] поверхностная концентрация принималась постоянной и равной 3,9 • 1015 см3. Кроме того, из приведенных зависимостей следует, что влияние поверхностной концентрации Ns на подвижность носителей в канале при Vg = 0 (при протекании тока 10//) значительно сильнее, чем на подвижность носителей в канале открытого МОПТ (Vg = IB). Использованные в работе [14] модели тока стока DD-МОПТ позволили определить необходимые сочетания параметров физической структуры транзистора для получения требуемых значений Ioff и Нижеприведенные 600 5004 ^ 400 + 300 100 1014 ■ да ■'■■Цим ■ т in— i * «■"« УЛШЛ* Ч»"»»|Щ|«Щ » /Уп=:1.5х10,8СМ 200-f -*-Л./*Юнм тс -*~XLff* 20нм ~*~Х1.,? ЗОнм 1015 1016 1017 Ns(cm"3) результаты относятся к МОПТ с толщиной подзатворного окисла Тох =4 нм, глубиной залегания сток-истоковых /ья-переходов 60 нм, напряжением на Рис. 5.19. Влияние поверхностной кон стоке Vd = 1 В. центрации примеси Ns на эффективную На рис. 5.20 представлены соот- подвижность носителей в канале МОПТ в ношения между толщиной слаболеги- режиме насыщения (Vg = 1 В) и в закрытом рованного слоя XLD и концентрацией состоянии (^ = 0В)
EJ! Глава 5. Формирование области канала субмикронных МОПТ примеси в сильнолегированном слое Np DD-распределения, рассчитанных по Ioff- модели для МОПТ с различными значениями тока /off от 0,1 нА/мкм до 1 нА/мкм. Как видно, увеличение концентрации N при постоянном значении XLDприводит к снижению /off, в то время как увеличение XLDnpn неизменной концентрации Np приводит к возрастанию Iofr Полученные результаты согласуются с результатами двумерного приборно-технологического моделирования. Аналогичным образом рассчитанное семейство зависимостей Np(XLD) по /оп- модели для НР-МОПТ представлено на рис. 5.21. В этом случае увеличение XLD при постоянном Np или уменьшение Np при постоянном XLD приводит к росту 1й sat. Однако, как следует из рис. 5.20, эти изменения одновременно приводят к повышению Ioff Диапазон допустимых значений Np и XLD определяется условиями h,sat > rd,satи h/f < roffvjxQ VdtSat и Гoff- заданные требования к току стока при Vg= 1 В и V = 0, соответственно. Графически этот диапазон допустимых значений NpnXLD может быть определен путем совмещения зависимостей, приведенных на рис. 5.20 и 5.21, как это сделано на рис. 5.22. Из рис. 5.22 следует, что МОПТ с DD-распределением примеси в области канала с заданным значением тока стока Idsat имеют ток утечки /0#выше, чем МОПТ с однородным распределением примеси (т.е. XLD— 0). И наоборот, все DD-МОПТ с заданным значением Ioff имеют более низкие значения Idsat в сравнении с UD- МОПТ Кроме того, из рис. 5.22 также следует, что при любом заданном Idsat степень повышения Ioff увеличивается с ростом толщины слаболегированного слоя XLD DD-распределения и соответствующей концентрации Np. Такая закономерность должна учитываться при проектировании HP-приборов с высокой нагрузочной способностью и быстродействием. С другой стороны, при заданном значении Ioffстепень снижения /^уменьшается в области малых значений XLD и соответствующих им концентрациях Np. Такая закономерность должна учитываться при проектировании LP-приборов с низкой потребляемой мощностью. Устойчивость к влиянию короткока- нальных эффектов при заданном значении /0# наилучшая у МОПТ с величиной XLD, равной минимальной ширине области обеднения канала WD. Этот вывод иллюстрируется рис. 5.23, где каждому значению XLD соответствует определенное значение N из рис. 5.20. Согласно рис. 5.23, ширина области обеднения канала WD при увеличении XLD сначала уменьшается, достигая минимального Рис. 5.20. Взаимосвязь между толщиной значения вблизи границы сильнолеги- слаболегированногослояХшимаксималь- рованного слоя, а затем асимптотически ной концентрацией Np DD-распределения увеличивается, приближаясь к прямой примеси в области канала МОПТ с раз- WD = XLD. При увеличении XLD вдоль личным уровнем тока стока в закрытом асимптоты (при заданной величине IJ состоянии /off происходит все более резкое возраста- ю1»
5.2. МОПТ с ретроградным распределением примеси в облает и канала 3 6 SF ние Np (см. рис. 5.20). Вследствие этого из-за увеличения чувствительности Np к изменению XLD область высоких значений XLD является нежелательной. Поэтому выбор значений XLD должен производиться из условия равенства их минимуму величины WD. Использование условия минимизации ширины области обеднения WD обеспечивает улучшение устойчивости МОПТ к короткока- нальным эффектам, но одновременно вызывает увеличение подпорогового 5-фактора. При заданном значении loff off = lHA/MKM 20 Хш(нм) Рис. 5.21. Взаимосвязь между толщиной слаболегированного слоя XLD и максимальной концентрацией Np DD-распределения примеси в области канала МОПТ с различным уровнем тока стока в режиме на- это приводит к снижению Idsat. Пунктирными линиями на рис. 5.20 и 5.23 отмечены две оптимальные структуры МОПТ с Lc = 0,2 мкм. Первая структура (XLD =15 нм, Np = 5,6 ■ 1018 см-3 соответствует величине тока Ioff= 0,1 нА/мкм и предназначена для использования в LP- приборах. Вторая структура (XLD = 35 нм, сыщения /d sat Np = 4,2 • 1018 см3) характеризуется высоким током Idsat и допустимым значением тока утечки Ioff=1 нА/мкм и поэтому отвечает требованиям, предъявляемым к НР-приборам. Результаты сравнения UD- и DD-МОПТ, спроектированных для LP- и НР- областей применения. При проектировании DD-МОПТ распределение примеси в области канала оптимизируется с целью минимизации чувствительности тока стока Idsat к изменению длины канала (в пределах технологического допуска) и обеспечения уровня тока Ioff не выше, чем у транзистора с однородным распределением примеси в канале. Для обеспечения низкого уровня тока утечки LP-МОПТ Ioff « 0,1 нА/мкм необходимо использовать структуру области канала с малым значением толщины слаболегированного слоя XLD и минимальным значением ширины области обеднения WD = WDmin« XLD (в соответствии с рис. 5.23). В транзисторе с равномерным распределением примеси в области канала с этой целью необходимо, в соответствии Рис. 5.22 Графическое определение допустимых значений параметров XLD и N DD-распределения примеси в области с рис. 5.22, увеличивать концентрацию канала МОПТ при заданных требованиях примеси в подложке NB. Из этого следует, к предельно допустимым значениям Ioff и что при обеспечении жестких требований &аг
(О 70 Глава 5. Формирование области канала субмикронных МОПТ X _ 60 - 50 - 40 - 30 20 - 10 - 0 - :- о, ^. 0,1 нА/ цЛ пА/мкм4* / 1 пА/мкм/1 *—j 1 1 U I off = 1нА/мкм \ ^^w^. ЮпА/мкм \r j /^ » Ns=3.9x10,5cm-3 » £ = 0.2 мкм , " ' 1 ' ' ' ' i ' ' ' ' t—' 20 XLd (hm) 30 40 Рис. 5.23. Зависимость ширины области обеднения IVD от толщины слаболегиро- к величине тока Ioff путем снижения WD>min ретроградная структура становится все более похожей на структуру с однородным распределением примеси. В этом случае преимущество ретроградной структуры становится незначительным, а уровень тока Idsat — ниже, чем в случае однородного распределения. Таким образом, использование ретроградной структуры в МОПТ с малым потреблением мощности является неэффективным. При проектировании МОПТ с большой нагрузочной способностью и высоким быстродействием (НР-МОПТ) требование к уровню тока снижается {Ioff— 1 нА/мкм) с целью обеспечения бо- ванного слоя XLD DD-распределения при- лее высокого уровня тока /d sat. Указанные меси в области канала МОПТ для различ- условия в соответствии с рис. 5.23 приво- ных предельно допустимых значений тока Дят к необходимости увеличения толщи- /off. При заданной величине тока ширина ны слаболегированного слоя XLD и ми- области обеднения WD может быть умень- нимизации ширины области обеднения шена при увеличении XLD и соответствую- WD. Для структуры с однородным растем увеличении Np (см. рис. 5.20) пределением примеси эти условия в соответствии с рис. 5.22 требуют снижения концентрации примеси NB. Так как ретроградная структура характеризуется меньшей шириной области обеднения (см. рис. 5.23), то чувствительность Idsat и /0#к изменениям длины канала ALC будет ниже, чем в структуре с однородным распределением. Однако такое преимущество МОПТ с ретроградным распределением примеси обеспечивается за счет снижения Idsat. Этот результат иллюстрируется рис. 5.24, на котором приведены зависимости Ioff от длины канала Ьс в пределах технологического допуска АЬС = ±0,01 мкм для НР- МОПТ с DD- и UD-распределением примеси в канале (Ioff< 1 нА/мкм). Номинальные значения длины канала на рис. 5.24 выбраны таким образом, чтобы значения тока /0#при минимальной длине канала (Lc — ALJ не превышали уровень 1 нА/мкм, допустимый для НР-МОПТ При уменьшении номинальной длины канала с целью выполнения требования к предельному уровню тока /^концентрацию примеси JV^ в области канала UD-МОПТ увеличивали. Аналогично в структуре DD-МОПТ изменяли толщину слаболегированной области XLD и максимальную концентрацию Np с тем, чтобы для каждой номинальной длины канала обеспечить минимальную ширину области обеднения WD (см. рис. 5.23). Подобная процедура выполнялась для всех номинальных значений длины канала. Затем для каждой из восьми структур МОПТ определяли влияние вариаций длины канала ALC на изменение тока Iojr Как видно из зависимостей, приведенных на рис. 5.24, чувствительность тока утечки Iojf к отклонениям длины канала ALC для DD-МОПТ ниже, чем для UD- МОПТ. Использование UD-МОПТ с высокой чувствительностью /0#к отклонениям длины канала ALC предполагает ужесточение требований к номинальному значению Iojp а это, в свою очередь, может привести к снижению тока стока МОПТ в режиме насыщения Idsatt.
5.2. МОПТ с ретроградным распределением примеси в области канала 3 71 j| 10** ' кн° 10-"» - : \ 0.1 .o.UD-МОПТ -•- DD-МОПТ .N•11 Ч Ч Ч ° \ * •• ч ь ft •. Ч ° ч *ъ i 0.12 0.14 0.16 0.18 L (мкм) Рис. 5.24. Изменение тока /OJ циях длины канала в пределах допустимого отклонения ALC = ±0,01 мкм. Параметры структуры канала XLD, 7Vp, Nc уточнялись для каждого значения номинальной длины канала с целью выполнения условия Umax = 1 НА/МКМ 0.35 S 0.3 f 0.25 + 0.2 • о. UD-МОПТ -•- DD-МОПТ Я о. 0.1 0.12 0.14 0.16 L(mkm) 0Л8 Рис. 5.25. Изменение тока /0#при вариациях длины канала в пределах допустимого отклонения ALC = ±0,01 мкм для тех же приборов что и на рис. 5.24. На рис. 5.25 для тех же приборов представлены зависимости Idsat от изменения длины канала в пределах технологического допуска ALC. Выбор толщины слаболегированного слоя XLD, соответствующей минимуму ширины области обеднения WD (рис. 5.23), обеспечивая улучшение короткоканальных характеристик и низкую чувствительность Ioff к изменению длины канала AL, приводит, однако, к росту подпо- рогового ^-фактора и при заданном уровне Ioff— к снижению Idsat. Как видно из рис. 5.25, для всех номинальных значений длины канала ток стока Ids(A DD-МОПТ всегда ниже, чем у обычного транзистора. Различие в величине тока стока Idsat указанных транзисторов при уменьшении номинальной дайны канала снижается, а различие в чувствительности /dsat к ALC возрастает. Из результатов расчетов, приведенных на рис. 5.25, следует, что при изготовлении UD-МОПТ, в отличие от DD-МОПТ, необходимо обеспечивать меньший технологический допуск на длину канала, чтобы выполнить требования по допустимому диапазону значений Idsat. С другой стороны, DD-МОПТ с меньшей чувствительностью IdS(A к изменениям длины канала ALC может быть изготовлен с меньшей номинальной длиной канала и током /0#не выше, чем у обычного транзистора. Уменьшение размеров МОПТ приводит к повышению быстродействия из-за снижения паразитных емкостей приборов и межсоединений даже при некотором снижении Idsar Таким образом, предпочтительная структура области канала HP-МОПТ зависит от возможностей используемой технологии: обеспечивает ли она формирование канала малой длины или малые отклонения от номинальной длины. В первом случае выгодно использовать ретроградную структуру области канала, во втором - структуру с обычным распределением примеси. Использование зависимостей Vt(Lc) без учета взаимосвязанных зависимостей Ioj^Lc) и Idsal(Lc) может привести к ошибочной оценке характеристик МОПТ в ко- роткоканальной области.
|fS72 Глава 5. Формирование области канала субмикронных МОПТ 5.2.3. Экспериментальные характеристики МОПТ с ретроградным распределением примеси в области канала Для формирования ретроградного распределения примеси в области канала МОПТ с длиной затвора Lg < 0,3—0,35 мкм обычно используют имплантацию ионов индия для «-канальных и ионов мышьяка или сурьмы для /?-канальных приборов. На рис. 5.26 приведены расчетные распределения концентрации индия и сурьмы в сравнении с распределением бора и фосфора в обычных транзисторах с длиной затвора 0,3 мкм [40]. Рис. 5.27 иллюстрирует влияние структуры с ретроградным профилем на ток стока п- и/ьканальных МОПТ с затвором п+- и/?+-типа, соответственно. Как видно, использование ретроградного распределения примеси приводит к снижению тока стока Idsat на 5% для я-канальных и на 10% для /^-канальных приборов, что связано с увеличением коэффициента влияния подложки у. Дополнительным фактором, который может частично приводить к снижению тока стока, является возрастание сопротивления сток-истоковых областей из-за повышения сопротивления растекания в структуре с ретроградным распределением примеси. При этом, так как доза имплантации ионов в LDD-области /7-канальных приборов обычно меньше, чем в «-канальных, то и влияние эффекта компенсации примеси на последовательное сопротивление у них выше. I|IHI|MHJM I Ц1 1М | ИИ, ^Ретроградный профиль! — индий, 190кэВ 1 0.15 0.2 0.25 0.3 Глубина (мкм ) 0.35 .ДнииЛи.идМ i Обычный профиль фосфор, 40кэВ р-МОПТ I . . .. il . I il > II tl« 4 1 lilli 0.1 0.15 0.2 0.25 0.3 Глубина (мкм ) 0.35 0.4 Рис. 5.26. Распределение концентрации примесей в области канала RCP- и обычных МОПТ (быстрый отжиг при температуре 1065°С) С (а) п-мопт ti >it «linl iMnUiLii 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6 Leff (MKM) "Ч""»""» ГР_ 0.15 0.2 0.25 0.3 0.35 0.4 0.45 0.5 Leff (MKM ) Рис. 5.27. Зависимость тока стока RCP- и обычных МОПТ в режиме насыщения от эффективной длины канала при равных значениях эффективного напряжения на затворе Fg-K = 2BMKd = 2,5B(7;x = 55A)
5.2. МОПТ с ретроградным распределением примеси в области канала 37? 0.5 ^ 0.45 0Q w 0.4 5 0.35 > 0.3 0.25 i (a) n-мопт^^ : /V—■ «""•'"■» "в^ «~в •"■■»В', J\ m v \ f \ i ; // ^Ретроградный ; : J / "~ Обычны й -0.25 -0.3 ^-0-35 « .0.4 *-" > -0.45 -0.5 : \ Ретроградный ^V / Г (Ъ) р-мопт ^— 0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6 0.15 0.2 0.25 0.3 0.35 0.4 0.45 Leff(MKM) Leff(MKM) i p 0.5 Рис. 5.28. Зависимость порогового напряжения (Vd = 2,5 В) RCP- и обычных МОПТ от эффективной длины канала (Тох = 55 А) О 20 I. n-мопт (а) р-мопт (Ь) 0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6 Leff(MKM) 0.15 0.2 0.25 0.3 0.35 0.4 0.45 0.5 Leff(MKM) Рис. 5.29. Зависимость сдвига порогового напряжения при изменении напряжения на стоке (DIBL-эффект) от длины канала для RCP- и обычных МОПТ На рис. 5.28 представлены зависимости порогового напряжения от длины канала для п- и /ьМОПТ с ретроградным и обычным распределением примеси в канале. Приборы с ретроградным распределением отличаются явно выраженным улучшением короткоканальных характеристик. Аналогичное улучшение наблюдается и в зависимости DIBL-эффекта от длины канала (рис. 5.29). Благодаря улучшению короткоканальных характеристик приборов с ретроградным распределением примеси в области канала появляется возможность компенсировать снижение тока стока, если имеется технологическая возможность использовать меньшее значение номинальной длины канала. На рис. 5.30 демонстрируется соотношение между токами Ioffn Id sat для транзисторов с ретроградным (RCP) и однородным (UD) распределением примеси в области канала. Следует отметить характерные особенности зависимостей, приведенных на рис. 5.30. Во-первых, при уменьшении длины канала Хе#ниже 0,25 мкм зависимости I0JJd>sa} для обычного и RCP-МОПТ совпадают. Таким образом, нагрузочная способность RCP-МОПТ при заданном уровне тока Ioff в коротко- канальной области сравнивается с нагрузочной способностью обычного МОПТ. Во-вторых, в длинноканальной области приборы с ретроградным распределением примеси в сравнении с обычным МОПТ характеризуются более высоким током утечки Ioff даже при сравнимых значениях порогового напряжения Vt, что объясняется увеличением подпорогового 5-фактора. Рис. 5.31 иллюстрирует влияние длинноканального порогового напряжения /ьМОПТ с RCP-распределением примеси на зависимости /и сдвига порогового напряжения AVt от эффективной дли-
^74 Глава 5. Формирование области канала субмикронных МОПТ Рис. 5.30. Соотношение между /d sat и /оП для МОПТ с ретроградным и обычным распределением примеси в области канала ны канала Lefr Влияние длинноканального порогового напряжения Vtlong на ток стока объясняется следующим образом. Меньшему значению Vtlong соответствует более низкая концентрация примеси в максимуме ретроградного распределения, соответственно — меньшее значение коэффициента влияния подложки у и как следствие — менее значительное снижение Id>sat (в сравнении с обычным МОПТ), и вместе с тем — худшие короткоканальные характеристики AVt (Lefj). При повышении концентрации примеси в максимуме RCP-распределения (для случая более высокого значения VtJong) короткоканальные характеристики улучшаются, но наблюдается более значительное снижение Idsat из-за увеличения коэффициента у и повышения сопротивления сток-истоковых областей. Приведенные результаты экспериментальных исследований (см. рис. 5.27—5.30) показывают, что RCP-МОПТ с заданными значениями Lg и Vu всегда уступают по нагрузочной способности приборам с однородным распределением примеси.В то же время, если технологически обеспечена возможность формирования затвора меньшей длины, то применение RCP-распределения позволяет улучшить соотношение Idsat/Iofp используя меньшую длину затвора, чем это допустимо для обычных МОПТ, имеющих худшие короткоканальные характеристики. Если такие технологические возможности отсутствуют, тогда повышение тока стока может быть достигнуто лишь путем уменьшения длинноканального порогового напряжения (рис. 5.31). Однако это приведет к увеличению тока утечки /0#длиннока- нальных приборов и ухудшению короткоканальных характеристик. 5.2.4. Особенности легирования области канала п-МОПТ индием В связи с тем, что легирование области канала МОПТ производится в начале технологического цикла формирования структуры МОПТ, обеспечение воспроизводимого ретроградного распределения примеси с резкой границей между слабо- и сильнолегированными областями является сложной задачей. Особую остроту эта проблема, от которой зависит воспроизводимость характеристик приборов, приобретает при изготовлении глубокосубмикронных «-МОПТ из-за высокого коэффициента диффузии бора. Индий является наиболее подходящей примесью для формирования канала ретроградного типа глубокосубмикронных «-МОПТ.
5.2. МОПТ с ретроградным распределением примеси в области канала 375 Табл. 5.1. Значения параметров В^ и АВ^ при имплантации ионов индия в кремний [41] Энергия ионов индия, кэВ К [А] ARJA] 50 305 198 100 485 250 150 703 367 200 829 379 250 1060 457 Благодаря большой массе иона индия (М = 115) значения проекции его среднего пробега Rp и разброса ARp значительно меньше, чем у бора (табл. 5.1). Приведенные параметры имплантации ионов индия позволяют формировать ретроградное распределение примеси с низкой ее концентрацией примеси на поверхности и на глубине залегания ^-«-перехода сток-истоковых областей ^ис высокой максимальной концентрацией на глубине Х./2 (рис. 5.32) [41]. Использование индия для формирования ретроградного распределения примеси в области канала МОПТ не ограничивается такими его характеристиками, как глубокое залегание акцепторного уровня в запрещенной зоне (156 мВ выше потолка валентной зоны) и низкий уровень предельной растворимости в кремнии (2 • 1018 см3) [41]. Это объясняется тем, что концентрация примеси в области канала редко превышает концентрацию предельной растворимости, а также тем, что слабое вымораживание носителей не влияет на работу я-МОПТ Рис. 5.31. Зависимость Idsat и сдвига поро- в режиме инверсии. Показано [42], что гового напряжения AVt RCP- и обычных измеренное пороговое напряжение хоро- мопт от эффективной длины канала для шо согласуется с расчетным при условии ?тв/* Л3™™* ™^вого напРяжения (К — 0,51 В, К — 0,45 В) использования распределения примеси, Рис. 5.32. а) Распределение концентрации атомов индия в кремнии после ионной имплантации, б) Распределение концентрации акцепторов и дырок в кремнии, полученной ВИМС-методом и методом сопротивления растекания соответственно после имплантации ионов индия и отжига •100 Я -150 ^.200 3 -250 -о -300 Г 0.15 Ч ^^ЙСа^ i \ /f^ i Q \ У^ — Ч>— Vt=0,45B; \Х/ —-О—Vt =0,51 В" «V ^S^a/V^ 2 / ЛТ^^00^ 1.250 200 150^ со 100^ ^ 50 >0 0.2 0.25 0.3 0.35 0.4 0.45 0.5'"" Leff (МКМ)
376 Глава 5. Формирование области канала субмикронных МОПТ \о-Ч io-hJ ^ н ГЧ g «Н О io"14j ю-,5п КГ16 с h ^Ч Y |" f ,6 Ч D = 0,6exp(-3,5/kT) *ч *V ^v ♦ ^ ^Vlw 0,7 0,8 0,9 103/Т(К) полученного ВИМС-методом, а не методом сопротивления растекания. Значение коэффициента диффузии индия в кремнии также благоприятно для формирования ретроградного распределения. Так, при температуре 1000 °С коэффициент диффузии индия на порядок ниже, чем у бора, и равен 4-Ю15 см2/с (рис. 5.33) [42]. Однако практически при использовании индия для легирования области канала МОПТ окончательное распределение концентрации определяется такими процессами, как нестационарная ускоренная диффузия Рис. 5.33. Зависимость коэффициен- (TED-эффект) при постимплантационном та диффузии атомов индия в кремнии отжиге, ускоренная диффузия, иницииро- от температуры ванная окислением (OED-эффект), и сегрегация примеси на границе Si/Si02. В работе [43], по результатам эксперимента со «скрытым» маркером (см. раздел 4.3.3), обнаружено, что в результате нестационарной ускоренной диффузии, обусловленной ионной имплантацией мышьяка, диффузионная длина (V/)/) при перераспределении индия в процессе постимплантационного отжига (750°С, 2 ч) в 20 раз превышает диффузионную длину при перераспределении индия с «нормальным» коэффициентом диффузии (~1 • 1017 см2/с) (рис. 5.34). Расчеты показали также, что перераспределение индия, обусловленное TED-эффектом, практически эквивалентно наблюдаемому для бора (рис. 5.35). Однако, несмотря на это, МОПТ с областью канала, легированной индием, в отличие от случая с бором, не обнаруживает значительного обратного короткоканального эффекта после отжига сток-истоковых областей, имплантированных мышьяком (рис. 5.36) [43]. юп 2 10" X Ю 10" —..........Исходное распределе- 1 нис „ 1 ——— После RTA( 1000 С) и Н отжига в печи(750°С),2ч 1 •Послеотжига в печи I (750'fc),24,VDt=5lHia 0.2 0.4 0.6 Глубина (мкм) 10" К <L> 10" lAs •"•"•«•••-Исходное распределе- ние mmmmm Бор Vbt =_57нм •••••Индий VDt=5lHM 0.2 0.4 0.6 Глубина (мкм ) 0.8 Рис. 5.34. Распределения концентрации атомов индия в скрытом слое, полученные ВИМС-методом, после ионной имплантации мышьяка (D = 5 • 1014 см2, Е = 20КэВ) и отжига Рис. 5.35. Распределения концентрации атомов индия и бора в скрытом слое, полученные в результате моделирования с учетом TED-эффекта, после ионной имплантации мышьяка (D = 5 • 1014 см2, £=20 КэВ) и отжига (570°С, 2 ч)
5.2. МОПТ с ретроградным распределением примеси в области канала 3 7 Tjjv 0 5 0,4 0,3 0,21 - ■ h uL 0,1 » ,. Индий А - Бор . . . . , » LefT (MKM) ~ Рис. 5.36. Зависимость порогового напряжения от эффективной длины канала для МОПТ с областью канала, легированной бором и индием (Lg =0,18 мкм, Гох = 6 нм, V = 0,05 В) -j«0 10 а £">•' tx <D О, сег |ю* Я W 5 ф •е 3*- 4 Л*4. 1100°С 1000°С 900°С 800°С __—щ v . , [ Бор -i*-.„ Г "'"/ ' * / ***•♦. • / '■ Г Г /Ч—ИНДИЙ ! / : У / / / г */ * ю *— 0.70 0.80 0.90 1000/Т(К) ' ' 1.00 Рис. 5.37. Температурная зависимость коэффициента сегрегации NSi/N0X на границе Si-Si02 для индия и бора Это обусловлено тем, что при легировании области канала индием не происходит накопления примеси на границе Si/Si02 вблизи сток-истоковых областей вследствие чрезвычайно низкого коэффициента сегрегации Кс = NSi /Nox (рис. 5.37) [43, 44]. Поэтому благодаря интенсивному переносу индия в окисел обеспечивается ретроградный характер распределения примеси. Наряду с сегрегацией на границе Si/Si02 распределение индия в области канала характеризуется спадом концентрации ионизованных атомов вблизи Рис. 5.38. Распределение концентрации сток-истоковых областей, легирован- ионизованных атомов индия в области ных мышьяком. В работе [46] показа- канала «-МОПТ, полученное с использо- но, что эта особенность распределения ванием выражения (5.23) электрически активных атомов индия обусловлена их дезактивацией при взаимодействии с межузельными атомами кремния, инжектируемыми из сток-истоковых областей во время постимплан- тационного отжига. В этом случае распределение концентрации ионизованных атомов индия Л^ может быть приближенно представлено функцией вида -0.5 -0.4 -0.J -0.2 -0.1 0.0 0.1 012 0.3 0.4 0.8 Расстояние вдоль канала (мкм) N~A=NA A(L) ■B(L)^f (5.23) где NA — средняя концентрация имплантированных атомов индия в области канала, Lcr — критическая длина дезактивации атомов индия (определяется диффузионной длиной межузельных атомов кремния, инжектируемых из сток-истоковых
О 78 Глава 5. Формирование области канала субмикронных МОПТ 0.5П 0.4 П 0.3 ^ 0.24 0.1 Индий 170 кэВ ИЗ- 7.0x10lz CM-2 -О 1.1x1 о13 см-а -%~ 1.5X10 13СМ-а (Т =4,5нм) 0.1 1.0 Длина затвора (мкм) 5.0 Рис. 5.39. Экспериментальные зависи- областей), L — расстояние вдоль канала от сток-истоковых областей, A(L), B(L) — подгоночные коэффициенты. Соответствующее расчетное распределение концентрации N^ в области канала представлено на рис. 5.38. Как следствие спада концентрации ионизованных атомов индия на расстоянии, равном диффузионной длине межузель- ных атомов, я-МОПТ характеризуется аномальной сильной зависимостью порогового напряжения от длины затвора, в которой сдвиг порогового напряжения мости порогового напряжения от длины обнаруживаегея даже при значении дли- затвора для различных значении дозы т с , с ~пч ^ „ ны затвора L= 5 мкм (рис. 5.39). При имплантации ионов индия. Сдвиг поро- ^ g ^ /г гового напряжения наблюдается даже в этом, как видно из приведенных зависи- длинноканальной области 0,5-0,7 мкм мостей Vt (Lg), отсутствует влияние дозы имплантации индия выше 1 • 10 ,3 см2 на величину порогового напряжения, что объясняется превышением концентрации предельной растворимости индия в кремнии. Сопоставление расчетных и экспериментальных зависимостей Vt(Lg), приведенных на рис. 5.40, показывает, что значение критической длины дезактивации атомов увеличивается с повышением дозы имплантации индия (Lcr = 0,113 мкм при D = 71012 см-2, Lcr= 0,133 мкм при D = 1,51013 см2). Этот результат согласуется с закономерностями процесса дезактивации атомов индия, установленными в работе [46]. Для улучшения короткоканальных характеристик МОПТ с областью канала, легированной индием, технологические процессы легирования необходимо выполнять, предусматривая меры, обеспечивающие увеличение скорости релаксации избыточной концентрации межузельных атомов. Увеличение скорости релаксации концентрации межузельных атомов, как известно (см. раздел 4.3.3), может быть достигнуто при использовании высокотемпературного быстрого отжига (в рамках допустимого термического бюджета) имплантированного слоя. В работе [46] исследовано влияние дополнительного отжига после ионной имплантации мышьяка в SDE-области на величину сдвига порогового напряжения. Как видно из рис. 5.41, использование быстрого отжига при температуре 950°С после имплантации ионов индия в область канала и мышьяка в сток-истоковые области приводит к значительному снижению O.SO-i 0.45- PQ w О.40- « *~t 0.35- О II ^ 0.30• >^0,25- 0.-20- 0.15- h. In 170 кэВ, NA=7,l-lO =0,133мкм| Tqx '7СМ^ V^.-v. ***** _^~-*~*~~~~~~^ /*Г~~^ ^'''L~&^eA ^ej^^*^/T\'' Х^Чп170кэВ, 7,01012с\Г2 N =5,7 1017см"3 |ц=0,113мкм] -О Моделирование Vbi = l,0B Vd = 0,l В = 4,5 нм -зк- Эксперимент 1 . . г—г-т-1 . 1 1 1 .1 1.0 5.0 Длина затвора (мкм) Рис. 5.40. Сопоставление расчетных и экспериментальных (рис. 5.39) зависимостей Vt(Lg)
5.2. МОПТ с ретроградным распределением примеси в области канала 3 79^ О.бО-i ^ 0.45- PQ ZT 0.40- со f 0.3S- — 0.30- >~ 0.23- 0.20- 0 -Q- Моделирование Na=7,1-1017cm'3 ( Lc= 0,075мкм 1 ^~-:"W 1 Длина /In 170кэВ ; 1,51012см"2 Эксперимент: RTA-1 (отжиг 950°С, 30с после имплантации индия в область канала. RTA-2 (отжиг 950 <С, 30с после имплантации мышьяка в SDE-обтсти. -О- без RTA-1, без RTA -2 -Д-cRTA-l ,6e3RTA-2 Jfc без RTA-1, с RTA-2 1.0 5.0 затвора (мкм ) сдвига порогового напряжения при уменьшении длины затвора. Показано также, что при этом происходит снижение критической длины дезактивации атомов индия до 0,075 мкм. Таким образом, при легировании области канала индием необходимо учитывать эффекты сегрегации на границе Si/Si02 и дезактивации атомов вблизи сток-истоковых областей, которые оказывают значительное влияние на короткоканальные характеристики я-МОПТ. 5.2.5. Формирование области канала с ретроградным распределением примеси ионной имплантацией через структуру затвора Рис. 5.41. Влияние дополнительного отжига после ионной имплантации в SDE-области на сдвиг порогового напряжения л-МОПТ Имплантация через структуру затвора (TGI) Ш1 ШШШ1 ГУ 'Jten 1Ш По мере уменьшения длины канала в глубокосубмикронной области использование ионной имплантации индия и сурьмы стало общепринятым [47]. Однако из-за большой массы ионов указанных примесей в кремнии возникают остаточные имплантационные дефекты. Кроме того, легирование канала индием сопровождается сложной диффузионной кинетикой, обусловленной процессом сегрегации на границе Si/Si02 и TED-эффектом. Поэтому, несмотря на относительно успешные результаты использования ионной имплантации сурьмы и индия, продолжаются исследования возможности применения бора, мышьяка и фосфора для формирования ретроградного распределения примеси в области канала [47—51]. В указанных работах имплантация ионов в область канала осуществляется после формирования затвора и сток-истоковых областей, поэтому концентрационный профиль испытывает воздействие лишь одной термической операции. Детальное исследование п- и /ьканальных МОПТ с областью канала, 'J*Z J, TGI - RCP :n Рис. 5.42. Последовательность операций легирования области канала МОПТ ионной имплантацией через структуру затвора. Распределение примеси в области канала определяется только одной операцией постимплантационного отжига сток-истоковых областей
О 80 Глава 5. Формирование области канала субмикронных МОПТ 1017 Бор после TGI Бор после TGI и отжига Бор - контрольный профиль их: 200 Глубина (нм) 400 сформированной с применением метода ионной имплантации через структуру затвора (метод TGI — Through-the-Gate Implantation), выполнено в работе [48]. Последовательность основных операций изготовления МОПТ представлена на рис. 5.42. Толщина поликремниевого затвора составляла 150 нм, толщина подзатворного окисла 3,2—5,5 нм. я-МОПТ. На рис. 5.43 приведены распределения концентрации бора в области канала для случаев ионной имплантации до формирования подзатвор- Рис. 5.43. Распределение концентрации ного окисла и после создания затвора и бора в области канала л-МОПТ, полученное спейсеров. Как видно из представленно- ВИМС-методом, после TGI-имплантации го рисунка, распределение примеси, по- (D = 2,3-Ю13 см2, £= 100 КэВ; D = 4 • 1013 лученноеTGI-методом,вполнеудовлет- см-2, £=110 КэВ) и после отжига (1030°С, воряет требованиям, предъявленным к 20 с). Толщина поликремниевого затвора ретроградному каналу, даже в сравне- 150 нм, Тох = 4,5 нм. Для сравнения приведены распределения концентрации индия (D = 1,5 • 1013 см"2, Е= 190 КэВ) и бора при ионной имплантации до формирования затвора нии с ретроградным распределением, полученным имплантацией индия. Возможным последствием ионной имплантации бора через слои поликремния и подзатворного окисла является влияние ионного перемешивания кремния и кислорода на надежность окисла. Однако результаты статистического анализа предельной напряженности электрического поля EBD и предельной плотности заряда (до пробоя) QBD показали отсутствие значимого ухудшения указанных характеристик подзатворного окисла (рис. 5.44). Б? о ■?.! n-МОП конденсаторы -•— Контрольный -D-TG1RCP1 ■О- TGI RCP2 A- TG1RCP3 ОО 5нм 1 0 « i jB-\ i -2 -3 40 Тох=5,5нм jy Cm О n> о о я» £9 о» *я 47 д 6* :| <>• <> ' Тох^^ НМ «2> ^ е. w W § 1 Ж i 11 0£ф 9 10 1! 12 Ebd(MB/cm) 13 •2 -1 0 -2 -1 0 1 log [QBD]( К/см2) Рис. 5.44. Вейбулловские распределения предельной напряженности электрического поля ED (а) и плотности накопленного заряда в окисле до пробоя QD (б), после TGI- имплантации бора (D = (1,2—4) • 1013 см-2, Е= 80-110 КэВ), для 40 я-МОП конденсаторов площадью 8 • 10"4 см2, толщиной подзатворного окисла Тох = 5,5 нм и 4,5 нм
5.2. МОПТ с ретроградным распределением примеси в области канала 381 0.45 0.40 0.35 0.30 0.25 0.20 0.15 0.101- 0.05 t 0.00 С -0.05 0.10 PQ S X о* X а X (D О О Он о JF*^ -В-Обычный МОПТ] ♦ *"* t'TGI высокое Vt ♦ '•♦ TGI низкое Vt ДТ МОПТ для аналоговых ИС: р —Q— Обычный МОПТ -A- TGI 0.1 1 10 Длина затвора ( мкм ) го 3 Концентрация .о»' [ As RCP [ ^jt As TGI RCP / -Л Р TGI RCP Контрольны й As+P 100 200 300 400 Глубина (нм ) Рис. 5.45. Зависимости порогового напряжения от длины затвора я-МОПТ с областью канала, легированной TGI-методом, для обеспечения высокого и низкого порогового напряжения, а также порогового напряжения для аналоговых МОПТ. При легировании области канала приборов использовалась также pocket-имплантация Рис. 5.46. Сравнение расчетных распределений концентрации примесей с различными вариантами ионной имплантации в область канала p-MOYYT при условии обеспечения равных пороговых напряжений. Распределение, полученное ионной имплантацией мышьяка до формирования затвора (As RCP), характеризуется наиболее резким перепадом концентрации. В контрольном образце МОПТ в область канала последовательно имплантировали As и Р Использование TGI-имплантации ионов бора в область канала позволяет не только улучшать короткоканальные характеристики МОПТ, но также осуществлять управление величиной длинноканального порогового напряжения. На рис. 5.45 приведены зависимости Vt(Lg) для ai-МОПТ с ретроградным распределением канала, сформированным TGI-методом, с различными значениями длинноканального порогового напряжения. В сравнении с контрольными приборами транзисторы, изготовленные с использованием TGI-метода, характеризуются значительным улучшением короткоканальных характеристик и отсутствием обратного короткоканального эффекта. Возможность управления пороговым напряжением в широких пределах позволяет использовать TGI-метод для изготовления МОПТ (в том числе на одном кристалле), удовлетворяющих требованиям для цифровых приборов с малой потребляемой мощностью и аналоговых быстродействующих ИС [48]. Как показано в работе [48], при использовании TGI-метода воспроизводимость порогового напряжения составила ±5% при изменении толщины поликремниевого затвора в пределах ±5% и вариациях дозы (энергии) имплантируемых ионов в пределах 10% (15%). Несомненным достоинством формирования кана- До имплантации As После имплантации As и отжига (TED) Рис. 5.47. Влияние ионной имплантации мышьяка после формирования затвора /ьМОПТ на перераспределение бора в сток-истоковых областях, обусловленное TED-эффектом
н[382 Глава 5. Формирование области канала субмикронных МОПТ ла TGI-методом является значительное (>20 %) снижение емкости /ья-переходов, обусловленное самосовмещенным характером легирования канала (см. рис. 5.42). р-МОПТ. В /7-канальных приборах имплантация мышьяка и фосфора через структуру затвора приводит к меньшей крутизне ретроградного профиля, чем при обычной имплантации через окисел (рис. 5.46). Кроме того, TGI-имплантация ионов мышьяка и фосфора, выполняемая после внедрения бора в сток-истоковые области, создает условия для ускоренной нестационарной диффузии бора (TED- эффект), вследствие чего в процессе постимплантационного отжига происходит уменьшение эффективной длины канала^-МОПТ на 50 — 70 нм (рис. 5.47). Поэтому использовать TGI-метод при изготовлении/?-МОПТ нецелесообразно. 5.2.6. Использование эпитаксиального наращивания кремния для формирования ступенчатого распределения примеси в области канала МОПТ Использование низкотемпературного наращивания нелегированных слоев кремния значительно расширяет возможности формирования ретроградного распределения примеси в канале с заданными параметрами сильно- и слаболегированных областей. Однако относительная сложность технологического процесса эпи- таксии приводит к тому, что целесообразность его использования оправдывается лишь для изготовления МОПТ с длиной затвора менее 0,15 мкм. Введение в структуру канала МОПТ тонкого нелегированного эпитаксиального слоя кремния позволяет значительно улучшить его характеристики [24, 55]. Так, в работе [52] сообщается об изготовлении «-МОПТ с длиной затвора 0,1 мкм (Тох = 3 нм, Tepj = 40 нм), имеющего крутизну gm = 630 мСм/мм и ток стока Idsat = 0,77 мА/мкм при напряжении на стоке 1,5 В, в то время как для обычного транзистора соответствующие значения равны 510 мСм/мм и 0,48 мА/мкм. Последовательность операций изготовления МОПТ с использованием эпи- таксиальной области канала приведена на рис. 5.48 [9]. Для уменьшения влияния перераспределения примеси из подложки в эпитаксиальный слой процесс эпитаксиального наращивания необходимо производить при пониженной температуре о Изоляция Ъ Окисление (12нм) ) Ионная имплантация в область канала:ВРо ;30кэВ;10 см ^Удаление окисла с поверхности подложки > Предэпитаксиальный отжиг ( 5мин ) i Эпитаксия ( Теру =10-50нм) ^Выращивание подзатворного [ окисла ( Знм ) юмирование поликремниевого I затвора —ФОЕ,- 1стеи > Металлизация а) Рис. 5.48. (а) Последовательность основных операций изготовления МОПТ с нелегированным эпитаксиальным слоем в области канала; (б) условия выполнения операций предэпитаксиального отжига и эпитаксиального наращивания кремния
5.2. МОПТ с ретроградным распределением примеси в области канала 3 83 JJY ш 10 * !0 ю г ю' Т1редэп|ттаксиа}1ьный | отжиг 625'С еру Si 10* 20 40 60 Глубина (нм) 100% а) 600 650 700 750 Температура предэпитакс! ального отжига ( °С ) б) 800 и- Рис. 5.49. (а) Распределение концентрации кислорода вблизи границы эпитаксиаль- ной слой-подложки; (б) зависимость плотности атомов кислорода на границе эпи- таксиальной слой-подложки от температуры предэпитаксиального отжига после формирования областей изоляции компонентов ИС. В работах [9, 66] показано также, что для обеспечения кристаллографического совершенства эпитак- сиального слоя подложку необходимо отжигать непосредственно перед эпитак- сиальным наращиванием при температуре 650—700°С в условиях низкого вакуума (Ю-8 Па) с целью удаления кислорода с ее поверхности. На рис. 5.49 приведены распределения концентрации атомов кислорода в окрестности границы эпитак- сиальной слой-подложки, полученные ВИМС-методом для образцов с различной температурой предэпитаксиального отжига. Как видно, с ростом температуры отжига концентрация атомов кислорода резко снижается. При температуре предэпитаксиального отжига 625°С содержание кислорода на границе раздела достигает 10%, а при температуре 700°С снижается до 1%. Результирующее улучшение кристаллографического совершенства эпитаксиального слоя приводит к увеличению подвижности носителей и к уменьшению плотности поверхностных состояний Nk на границе Si/Si02 (рис. 5.50). Условия проведения предэпитаксиального отжига подложек сказываются на характеристиках МОПТ также вследствие того, что во время отжига происходит частичная потеря дозы бора, имплантированного в подложку для «подгонки» порогового напряжения. В работах [9, 66] предэпитаксиальный отжиг подложек осуществлялся в различных режимах: в первой работе отжиг выполнялся при температуре 625—750°С в вакууме при давлении 10~8 Па в течение 5 мин, во второй — при температуре 940°С в среде водорода, что приводило к обратной диффузии бора из-за обра- Рис. 5.50. Зависимость крутизны gm и плот зования газообразных соединений бора ности поверхностных состояний на грани с водородом и соответственно к сни- це Si-Si02 от температуры предэпитакси жению порогового напряжения МОПТ. ального отжига для МОПТ (Lg = 10 мкм) Я 6 о 'о I I I Теру = ЗОНМ 25 24 § U % 23 "g 0 600 650 700 750 800 Температура предэпитаксиального отжига (°С) 22
384 Глава 5. Формирование области канала субмикронных МОПТ Вследствие различных условий предэпитаксиального отжига длинноканальное пороговое напряжение в первой работе составляло 0,3 В, в то время как во второй было близко к нулю. В результате процессов интенсивного переноса бора в газовую фазу и перераспределения из подложки в эпитаксиальный слой концентрационный профиль примеси в области канала при толщине слоя < 20 нм имеет ретроградный характер с поверхностной концентрацией (5... 10) • 1016 см3 и максимальной концентрацией, соответствующей уровню легиров'ания подложки. При толщине эпитаксиального слоя более 20 нм обеспечивается формирование нелегированной области эпитаксиального слоя (при указанных на рис. 5.48 режимах создания подзатворного окисла и постимплантационного отжига сток- истоковых областей). Поэтому характеристики МОПТ в значительной степени зависят от толщины эпитаксиального слоя ТерГ На рис. 5.51 приведены зависимости крутизны «-МОПТ и подпорогового 5-фактора от толщины эпитаксиального слоя Tepi в диапазоне от 10 до 50 нм. С увеличением Tepi. крутизна МОПТ растет из-за повышения подвижности носителей, а значение ^-фактора уменьшается, что обусловлено снижением концентрации в «хвосте» распределения бора, диффундирующего в эпитаксиальный слой из подложки. Поэтому толщину эпитаксиального слоя целесообразно повышать до величины, ограниченной глубиной залегания /?-я-переходов сток-истоковых областей, так как при превышении этой величины ухудшаются короткоканальные характеристики транзистров. На рис. 5.52 приведены зависимости Vt(Lg) для МОПТ с различной толщиной эпитаксиального слоя Tepi (глубина залегания /?-я-переходов Х} =30 нм). Как видно, при толщине эпитаксиального слоя 40 нм подавление короткоканального эффекта обеспечивается для МОПТ с длиной затвора 0,12 мкм, в то время как при значении Tepi =50 нм — уже при длине затвора 0,35 мкм. На рис. 5.52 приведены также зависимости V((Lg) для МОПТ без эпитаксиального слоя с поверхностной концентрацией бора в области канала 2-1018 см3 (равной концентрации бора в подложке в эпитаксиальном МОПТ) и НО18 см3. В первом случае минимально допустимая длина затвора равна величине Lg>min эпитаксиального транзистора, однако значение длинноканального порогового напряжения Vt недопустимо велико. Во втором случае величина Vt незначительно снизилась, но значение Lgmin возросло. 600 иг 1- и S £500 00 450 < 1ЛЛ " ,'"'■■,■■ -1" , -- у - -~г S-фактор • e^Uz. Lg = 0.! 5 мкм 90 g 80 S ,0° 60 -f4 00 СП ) 10 20 30 40 50 60 Толщина эпитаксиального слоя ( нм) 0.8 0.6 PQ0.4 ^0.2 0.0 -0 2 С - КО Vd = 1,5 B^j£<&2^ 0Л2,/У^Т(о 0 1/0,14 1 /о.зз 0.1 0.2 0.3 0.4 Lg (мкм) г3Т - lepy Онм 3 30 нм 40 нм . 50 нм - 0.5 0 6 Рис. 5.51. Зависимость максимальной крутизны gm и подпорогового 5-фактора «-МОПТ от толщины нелегированного эпитаксиального слоя в области канала Рис. 5.52. Зависимость порогового напряжения от длины затвора «-МОПТ с различной толщиной нелегированного эпитаксиального слоя в области канала
5.2. МОПТ с ретроградным распределением примеси в области канала Табл. 5.2. Требования к МОПТ, используемым в аналоговых и цифровых ИС МОПТ Цифровые Аналоговые Пороговое напряжение Vt Низкое, но выше 0,3В Может быть равно нулю Подпороговый ток при 4 = 0,1^ Низкий Не критично Крутизна gm Высокая Высокая при низких Использование структуры канала я-МОПТ с эпитаксиальным слоем для изготовления цифроаналоговых ИС. В работе [66] эффект уменьшения плотности атомов бора в подложке в процессе предэпитак- сиального отжига использован для изготовления СБИС, содержащих цепи аналоговой и цифровой обработки сигнала. Основным требованием к МОПТ, используемым в аналоговых ИС, является высокая крутизна при малом напряжении на затворе. При этом величина подпо- рогового тока /0#при V = 0 не явля- Рис- 5-53- Последовательность изготовления ется критическим параметром, так аналоговых и цифровых МОПТ с использова- как он контролируется источником нием ™Ka™ro эпитаксиального наращива- ^ , ния кремния в области канала тока. С другой стороны, в цифровых схемах величина тока Ioff должна быть ограничена сверху, поскольку она определяет мощность, потребляемую прибором (табл. 5.2). Последовательность операций изготовления на одном кристалле «-МОПТ с параметрами, удовлетворяющими требованиям цифровых и аналоговых ИС, приведена на рис. 5.53. Благодаря использованию режима предэпитаксиального отжига, обеспечивающего уменьшение концентрации бора в подложке (940°С, в среде водорода), пороговое напряжение аналоговых «-МОПТ с эпитаксиальным слоем снижается до -0,01 В. В то же время пороговое напряжение я-МОПТ без эпитаксиального слоя цифровых ИС сохраняет величину, обеспечивающую низкий уровень подпорогового тока. На рис. 5.54 приведены зависимости Id( Vg) и Id( Vd) для аналоговых и цифровых я-МОПТ. Приведенные данные показывают, что аналоговые МОПТ с эпитаксиальным слоем характеризуются высоким током стока, отсутствием признаков смыкания и низким током утечки. На рис. 5.55 представлена зависимость порогового напряжения от длины затвора Vt(Lg) для цифровых и аналоговых я-МОПТ. Как вид- Рис. 5.54. /d(JQ-характеристики для но из рисунка, характер спада порогового аналоговых и цифровых «-МОПТ Имплантация для канала п-МОПТ Si°;J М Ы I М сг^ <о> 'Г? Фоторезист Удаление Si02 _]итаксиальное нарашивание „. нелегированного Si ^ Фоторезист —<Z> <3? SSSSS3SSSSS С1> чччч\\\чч- Удаление Si02 n-МОПТ с низким (Аналоговые ) КМОПТ(цифршые) V (В)
386 Глава 5. Формирование области канала субмикронных МОПТ 0.8 0.6 PQ 0.4 ^ 0.2 0 -0.2 Р \ Г (цифровые) без эпитаксиального слоя я-МОПТ (аналоговые) с эпитаксиальным слоем 0.2 0.4 0.6 0.8 1 Lg (мкм ) 1.2 Рис. 5.55. Зависимость порогового напряжения от длины затвора для цифровых и аналоговых я-МОПТ 1000 и £ 100 л-МОПТ с эпитаксиальны м слоем л-МОПТ без эпитаксиального слоя 0 0.2 0.4 0.6 0.8 1 Lg (мкм ) 1.2 Рис. 5.56. Зависимость максимальной крутизны gm и предельной частоты ft от длины затвора для цифровых и аналоговых я-МОПТ напряжения в области малых значений Lg« 0,2 мкм практически идентичен для приборов обоих типов. В то же время для обычного и-МОПТ с пороговым напряжением, равным пороговому напряжению аналогового п-МОПТ, минимально допустимая длина затвора значительно выше и равна 0,3 мкм. На рис. 5.56 приведены зависимости максимальной крутизны и предельной частоты/ «-МОПТ обоих типов от длины затвора. Использование структуры канала с эпитаксиальным слоем обеспечивает повышение gm и/ «-МОПТ для аналоговых ИС. 5.3. МОПТ с латерально-неоднородным распределением примеси в области канала При масштабировании МОПТ в глубокосубмикронной области все более сложной становится задача подавления короткоканальных эффектов без сопутствующего влияния на другие характеристики. Рассмотренное в предыдущем разделе использование ретроградного распределения примеси в области канала обеспечивает снижение сдвига порогового напряжения в короткоканальной области, осуществляемое, однако, за счет увеличения соотношения Ioff/Idsat. Кроме того, применение ретроградного распределения примеси в МОПТ с длиной затвора < 0,1 мкм становится неэффективным, по сравнению с однородным распределением, из-за малой ширины области обеднения и относительной сложности формирования ретроградного распределения примеси. Как показано в разделе 4.8.6, использование метода латерального легирования области канала МОПТ с формированием pocket-областей также позволяет улучшать короткоканальные характеристики приборов. Этот метод основан на концепции обратного короткоканального эффекта, которую реализуют наклонной ионной имплантацией [56, 57] (рис. 5.57). В отличие от методов вертикального легирования области канала, латеральное легирование осуществляется после формирования затвора. Поэтому влияние процесса окисления на ускоренное перераспределение примеси в области канала (OED-эффект) отсутствует. Наличие участков повышенного уровня легирования области канала вблизи истока и стока в длинноканальных
5.3. МОПТ с латерально-неоднородным распределением примеси в области канала Затвор ш I^t-t^I niP+' p-! P-И n I 0 Lp Letf-LPLeff 1019 1018 1017 1016 После имплантации После отжига VJ ■ ' I ■ ' ' ' I » ■ ' ' 1' ' ' ' 1 ■ 0.05 0.1 .15 .20 Глубина (мкм ) .25 Рис. 5.57. Поперечное сечение «-МОПТ с латеральным легированием области канала Рис. 5.58. Распределение концентрации бора в вертикальном сечении Pocket-области после ионной имплантации и после отжига сток- истоковых областей МОПТ не приводит к повышению порогового напряжения, в то время как в короткоканальных приборах из-за повышения средней концентрации примеси в области канала происходит его значительное возрастание. Результирующая зависимость порогового напряжения от длины канала МОПТ с pocket-областями имеет вид, характерный для обратного коротко- канального эффекта. Поэтому для того чтобы обеспечить заданное значение порогового напряжения МОПТ с pocket-областями, доза имплантации в область канала в операции «подгонка Vt» должна быть снижена. Это приводит к меньшей величине порогового напряжения длинноканальных приборов. Легирование pocket-областей осуществляется наклонной имплантацией ионов с энергией, достаточной для того, чтобы большая часть дозы ионов распределилась за пределами границ мелких сток-истоковых SDE-областей. Во время постимплантационного отжига вследствие TED-эффекта имплантированная примесь перераспределяется в направлении к границе Si/Si02 и в глубину кремниевой подложки. На рис. 5.58 представлены распределения концентрации бора в вертикальном сечении pocket-областей, полученные ВИМС-методом до и после отжига сток-истоковых областей МОПТ с длиной затвора 0,25 мкм [58]. Как видно, после отжига распределение бора в pocket-областях характеризуется относительно плоским концентрационным профилем, исключая область накопления примеси у поверхности, вызываемого TED-эффектом. Эффективность использования Pocket-областей зависит от их протяженности (L) и уровня легирова- 0.45 0.40 0.35 0.30 0.25 0.20 0.15 0.10 0.2 0.3 0.4 0.5 0.6 LefT ( МКМ ) 0.7 0.8 Рис. 5.59. Зависимость порогового напряжения от эффективной длины канала обычного и Pocket-МОПТ для различных значений энергии ионов бора, имплантируемых в Pocket-области. (Доза имплантации бора в Pocket-области рав- ния(Л^). На рис. 5.59, 5.60 [59] представ- На 8-1012 см2, угол наклона пучка 0 = 30°) лены зависимости порогового напряже- Гох = 9 нм, 7Vsub =8 • 1016см3
(О88 Глава 5. Формирование области канала субмикронных МОПТ 0.3 0.4 0.5 0.6 LefT (мкм ) ния от длины канала Vt(Lc) для МОПТ, в которых pocket-области формировались имплантацией ионов бора с различными значениями дозы и энергии. Из рисунка видно, что величина подъема порогового напряжения AVt при уменьшении длины канала растет с увеличением дозы и энергии имплантируемых ионов. Чем выше доза и энергия имплантируемых ионов (соответственно выше Np и LJ, Рис. 5.60. Зависимость порогового на- тем больше длина канала, с которой по- пряжения от эффективной длины канала вышается пороговое напряжение. Для обычного и Pocket-МОПТ для различных КОНкретного прибора с параметрами, значений дозы ионов, имплантируемых в приведенныминарис. 5.59, зависимость Pocket-области. Энергия ионов бора рав- , , 60К В Г =9 порогового напряжения от эффектив- ох ной длины канала Lefp характерная для обратного короткоканального эффекта, появляется, когда имплантация бора в pocket-области производится или с высокой энергией ионов (« 60 кэВ) или с высокой дозой (< 8-Ю12 см2). Из приведенных на рис. 5.59 и 5.60 зависимостей следует, что, выбирая дозу и энергию ионов, имплантируемых в pocket-области, можно получить оптимальную зависимость V/Lej^9 которая характеризуется, с одной стороны, малым положительным сдвигом (подъемом) порогового напряжения (малым снижением тока стока Id>sat), а с другой — малой длиной канала Lmin, при которой спад порогового напряжения, подпороговыи ток утечки не превышают допустимые значения. Следует сделать замечание относительно использования параметра Leff при исследовании МОПТ с pocket-областями. В работе [58] отмечается, что для этих приборов затруднительно выполнить экстракцию эффективной длины канала по результатам исследования МОПТ с различной длиной затвора, так как при этом изменяется средняя концентрация примеси в области канала. В связи с этим в указанной работе сравнение МОПТ с pocket-областями предлагается осуществлять с использованием более объективной характеристики — соотношения Idsat/Ioff Для обычных МОПТ ток утечки Ioff быстро уменьшается при увеличении длины затвора. Для Pocket-МОПТ скорость снижения тока утечки ниже, а при значительной концентрации примеси в pocket-областях возможно и возрастание тока Ioff из-за уменьшения порогового напряжения при увеличении длины затвора. На рис. 5.61 для я-МОПТ с параметрами структуры, соответствующей 0,25- микронной технологии (Тох= 4,5 нм) [60], представлена взаимосвязь между Ioff и Idsat для обычных и Pocket-транзисторов. Из приведенных экспериментальных результатов следует, что при заданном требовании к току утечки Ioff= 109А/мкм Pocket-МОПТ имеют незначительное преимущество перед обычными приборами по величине тока стока Idsat. Однако различие в характеристиках приборов увеличивается, если принимать во внимание допустимые отклонения длины затвора ALg от номинального значения Lg, которые для МОПТ с pocket-областями значительно шире. Максимально допустимая величина тока утечки Ioff специфицируется, как известно, для минимального значения длины затвора (Lg — ALg). При увеличении длины затвора (в пределах допустимого диапазона) пороговое напряжение
5.3. МОПТ с латерально-неоднородным распределением примеси в области канала 3 89 jj| Pocket-МОПТ снижается, благодаря чему эффективное напряжение на затворе Vg = Vd — Vt становится выше, чем у обычного транзистора. Соответственно, происходит увеличение тока стока Idsat МОПТ с pocket-областями. В работе [58] сообщается, что для Pocket-МОПТ с длиной затвора 0,25 мкм указанное увеличение IdsaP в сравнении с обычным МОПТ составляет не менее 10%. Очевидно, что чем меньше величина допустимого отклонения AL , обеспечиваемого ю-4 ю-6 ^Ю*8 t Jy ю*10 10И2 Pocket - МОПТ ш^^~—Обычные -МОПТ 1 нА/мкм 0.4 0.6 0.8 Id,sat ( МА/МКМ ) 1.0 Рис. 5.61. Соотношение между током стока в режиме насыщения /dsat и током утечки /off для обычного и Pocket-МОПТ -g, * характеристиками процессов фотолитографии и травления, тем в меньшей степени увеличивается ток стока Pocket-МОПТ в сравнении с обычными приборами. Использование pocket-областей эффективно подавляет явление смыкания сток-истоковых областей. Это преимущество Pocket-МОПТ используется при создании глубокосубмикронных и суб-0,1-микронных приборов, в том числе с длиной затвора менее 0,05 мкм. Для улучшения короткоканальных характеристик приборов необходимо повышать уровень легирования pocket-областей. Чем меньше длина затвора МОПТ, тем выше должен быть уровень легирования (выше доза имплантации) и меньше протяженность (ниже энергия ионов) pocket-областей. Однако это приводит к повышению порогового напряжения и соответствующему снижению тока стока Idsar На рис.5.62 приведены экспериментальные результаты, иллюстрирующие взаимосвязь порогового напряжения и тока стока Idsat для МОПТ с длиной канала от 0,7 до 0,21 мкм (Тох =9 нм, Xj =0,11 мкм), pocket-области которых имплантированы ионами бора с энергией от 40 до 80 кэВ [59]. Как видно, с увеличением энергии ионов наряду с улучшением короткоканальных характеристик уменьшается ток стока Idsat из- за роста порогового напряжения. Использование латерального легирования канала МОПТ приводит к увеличению периферийной компоненты емкости Ср сток-истоковых р-п- переходов. По результатам работы [59], увеличение периферийной емкости С не превышает 15%. Однако емкость донной части/?-л-перехода Св не изменяется при создании pocket-областей. Так как емкость Ср на 1-2 порядка меньше емкости Св, то в конечном счете влияние 0.40 0.35 £0.30 ^0.25 0.20 0.15 0.10 0.05 о о ^V^ • —-о— =0 мкм —v— Энергия =40 мкм —о— ИОНОВ =60 мкм —*— =80 мкм 15 6 7 8 I d,sat л Увеличение " энергии ионов \\ 9 10 1 1 Рис. 5.62. Экспериментальные данные, иллюстрирующие взаимосвязь между Vt и /d sat для МОПТ с различной энергией имплантации бора в Pocket-области (/)= 8 • 1012см~2, 0 = 30°). Эффективные значения длины канала для экспериментальных точек справа налево равны: 0,21,0,25,0,28,0,32,0,35,0,38, 0,42, 0,56, 0,7 мкм. Гох = 9 нм
|f390 Глава 5. Формирование области канала субмикронных МОПТ pocket-областей на общую емкость /ьи-переходов пренебрежимо мало. Это является существенным преимуществом латерального легирования канала МОПТ перед обычным методом вертикального легирования. Использование структуры МОПТ с pocket-областями предоставляет дополнительную возможность значительного улучшения короткоканальных характеристик приборов, причем относительно менее сложными технологическими процессами и с более воспроизводимыми результатами, чем при изготовлении МОПТ с ретроградным распределением примеси в канале [58]. Однако рассматриваемая структура МОПТ требует компромиссного выбора параметров pocket-областей, обеспечивающего приемлемое улучшение короткоканальных характеристик и необходимый уровень тока стока Id>sar 5.3.1. Оптимизация порогового напряжения pocket-МОПТ в короткоканальной области Латеральное легирование канала МОПТ обычно осуществляется методом наклонной ионной имплантации после формирования поликремниевых затворов. Наряду с ионной имплантацией латеральная неоднородность легирования канала может также создаваться в обычных приборах вследствие таких эффектов, как нестационарная ускоренная диффузия примеси при релаксации избыточной концентрации точечных имплантационных дефектов (TED-эффект) и ускоренная диффузия примеси при генерации точечных дефектов в процессе окисления (OED-эффект). Латеральное легирование, осуществляемое наклонной ионной имплантацией, приводит к повышению средней концентрации примеси в канале короткоканальных приборов, вследствие чего влияет на зависимость Vt(LJ. Схематически распределение концентрации примеси при латеральном легировании области канала МОПТ с различной длиной канала изображено на рис. 5.63. В работе [26] с использованием метода VDT-преобразования (Voltage-Doping Transformation, см. раздел 5.2.1) получены соотношения для сдвига порогового напряжения AVt(Lc) в предположении однородного распределения примеси в pocket-областях: Np.cj^t 4} 1L w 1 А k. 1 N+ ! У N+ Г ( 1 i р+1 ^ 1 ! 1 lJ N+ Р+ N+ г*~ 1 • 1 ► У -5ГХ N+ к !х+ [,?+], N+ <=г ► У Lfr.cket < ► L>2 Lpeck^l 4 ► Ly*cket <L<2 L>»dc£t ь<ц91 Рис. 5.63. Аппроксимация распределения концентрации примеси в структуре п-МОПТ при латеральном легировании области канала
5.3. МОП Тс латерально-неоднородным распределением примеси в области канала 3 91 AVl(Lc) = -pesrq(2%-Vbs)~- ея У*+*УЫ Р Р (5.24) если Lc = Lp и AVt(Lc) = -pEsrq(2%-VJ- сох Jn (5.25) если Lc < Lp (q — подгоночный коэффициент, TV— исходная концентрация примеси в области канала). При исследовании влияния OED/TED-эффектов в указанных соотношениях параметры Np и Lp заменяются параметрами jVoed/ted и £0ed/ted> соответственно. На рис. 5.64 представлены экспериментальные и расчетные зависимости порогового напряжения от длины канала Vt{Lc) для МОПТ с областью канала, легированной бором (без pocket-областей). На представленных зависимостях наблюдается подъем порогового напряжения в области малых длин затвора, вызванный перераспределением бора под воздействием OED/TED-эффектов. Сравнение экспериментальной зависимости Vt(Lc) и расчетной, не учитывающей роль OED/ TED-эффектов, позволяет сделать вывод о необходимости учета этих эффектов. На рис. 5.65 представлены экспериментальные зависимости Vt{Lc) для МОПТ с pocket-областями, а также расчетные зависимости Vt(Lc), полученные с учетом и без учета OED/TED-эффектов. Как и на рис. 5.64, здесь также видна роль OED/ Линии: расчет Символы: эксперимент Vd=l,8B No11.up=1x10'7cm-3 Tox = 3,7нм Доза имплантации бора в область канала 810,2см"2 Длина затвора ( мкм ) Рис. 5.64. Экпериментальные и расчетные зависимости Vt(Lt) для «-МОПТ (без Pocket-областей) с учетом OED/TED эффектов, v = 4, Lroll. = 0,3 мкм 0.6 0.5 w 0,4 0.3 1 0.2" 0 Линии: расчет ' Символы: эксперимент У /^[T^Ss^^ // /Результат расчета для МОПТ у.= lb/ с Pocket, но без учета OED/TED Т/ Тох = 3,7 нм I / Доза имплантации бора I в область канала 8-Ю'2 см ~2 / l 1 ! Длина затвора ( мкм ) - = 1,8В 2—D -f 0,1В 1 1 0 Рис. 5.65. Экпериментальные и расчетные зависимости Vt(L{) для /i-МОПТ с Pocket-областям и с учетом OED/TED эффектов, v = 4, Lroll.up = 0,3 мкм, Wroll_up = 1 • 1017см-3; Lp = 0,5 мкм, Np = 5,3 • 1017см3
092 Глава 5. Формирование области канала субмикронных МОПТ TED-эффектов. Величины Npn Ьрв выражениях (5.24) и (5.25) оцениваются из параметров процесса наклонной имплантации согласно соотношениям: А N. -, L =(R+2AR )■ since-AL, 4AR " р ' * (5.26) где Z), — доза имплантации; Rp и АЛр — средний пробег ионов и стандартное отклонение, соответственно; а — угол наклона ионного пучка; ALg — технологический допуск на длину затвора. Как видно из соотношений (5.24), (5.25), сдвиг порогового напряжения AVt(Lc), определяется суперпозицией двух факторов, один из которых связан со спадом, а другой — с подъемом порогового напряжения. Дифференцируя выражения (5.24), (5.25), можно получить крутизну зависимости Vt(Lc) в области спада порогового напряжения: ЭК(Д) rz — 1 --^ = -j2Esrq(2<?b-Vbs)- — Vtv 2е. У* + уУы N • L pocket pocket (5.27) если L > Lp; »KW тг-^^-^Ьш 2e V +vV A^Si Vds^ VVbi Я (5.28) если Lc < L . Из анализа соотношений (5.24)—(5.28) можно сделать следующие выводы относительно выбора параметров pocket-областей. 1. При заданном значении Lp можно из (5.27), используя условие AVt/Lc= О, получить длину канала Lc = Lroll_up, при которой подъем порогового напряжения МОПТ равен AVt roll_up. Затем, используя найденное значение Lmll_up в соотношениях (5.24), (5.25) и приравнивая их к требуемым значениям AVt>mll , находят второй параметр pocket-области N: Np=±№v>^.. в (5.29) при условии не слишком больших т , АВ " * 2ДК. t, roll-up " М2ДК AB t,roll-up тогда p 2 L\ A ' (5.30)
5.3. МОПТ с латералъно-неоднородным распределением примеси в области канала где С -yfN q ds b,) Выполняя подобную процедуру для различных значений Lp, определяют набор параметров (Lp, Np), обеспечивающих заданную величину подъема порогового напряжения AF,roll_up = 50 мВ. На рис. 5.66 для этого конкретного условия представлена зависимость параметра Np от соответствующих значений Lp. 2. Особыми точками зависимости Vt(Lc) для МОПТ с pocket-областями являются минимально допустимая J->r„//^„fp, со- длина канала Lc = ответствующая допустимому спаду roll-ojp максимально- порогового напряжения AK/roll_up, и ^roll-up> ПРИ порогового имеет 1»Ю19 Концентрация бора в области канала 4,7 1017 см" Тох=4нм L А- 100 200 300 400 Длина pocket-областей (нм) длина канала Lc = L, которой подъем напряжения A Vt roll.up максимальное значение. Из сказанного в пункте 1 ясно, что пара значений параметров pocket-областей (Lp, Np), соответствующая любой точке зависимости Np =f(L), обеспечивает заданную величину подъема порогового напряжения AK/roll.up. При уменьшении длины канала МОПТ протяженность pocket-области Lp необходимо уменьшать, при этом допустимая область значений параметров Np и /убудет ограничиваться участком зависимости Np =J(L), характеризующимся в соответствии с выражением (5.29) постоянством произведения (Np • Lp) (см. вставку на рис. 5.66). Произведение (Np • Lp) представляет собой плотность примеси в pocket-области. Согласно выражению (5.24) эта величина определяет подъем порогового напряжения. Из изложенного становится ясно, что стратегией выбора параметров pocket-области при снижении длины затвора МОПТ должно быть использование высоких значений Np и низких Lp. При этом положение первой особой точки Lmll_up зависимости Vt(Lc) определяется из (5.27) при условии A Vt/ALC = О (для заданного Lc) [26]: Рис. 5.66. Расчетная зависимость концентрации Np от длины Pocket-области Lp при условии обеспечения неизменной величины подъема порогового напряжения AVt oll = 50 мВ А В mil-up I2AK (5.31) /, roll -up Из приведенного выражения следует, что Lmll зависит только от требования к величине подъема порогового напряжения A Vtroll_up и не зависит от выбора положения точки (Np, Lp) на зависимости Np =А^Р) (рис. 5.66). Положение второй
394 Глава 5. Формирование области канала субмикронных МОПТ особой точки Lroll_off зависимости Vt(Lc) получается из соотношения (5.24) при заданном значении А V;. ~'roll -off причем Nn 1 -А К I,mil -off + N. (5.32) 1 \^Ущ,-ир-В Из выражения (5.32) следует, что /,го//_0#сдвигается в короткоканальную область при увеличении Np, при этом рост Np сопровождается соответствующим снижением Ьр (согласно рис. 5.66). Полученная закономерность иллюстрируется рис. 5.67, на котором сравниваются расчетные зависимости Vt(Lc) для МОПТ с различными значениями параметра Ьр и зависимости V((LC) для идеального случая (Np = 8, 3. Как следует из соотношения (5.28), влияние изменения параметров pocket- областей на градиент зависимости Vt(Lp) вблизи Lc = LroU_off исчезает, как только длина канала становится короче Lpocker В этом случае какими бы не были параметры Np и Ьр градиент AVX(LC)/ALC продолжает расти при уменьшении длины канала. Таким образом, использование Pocket-структуры области канала обеспечивает возможность снижения номинальной длины затвора МОПТ без увеличения AKroii-up вышезаданной величины. Однако при таком подходе неизбежно происходит увеличение градиента зависимости Vf(Lc) и,как следствие, увеличение дисперсии тока утечки закрытого транзистора Ioff при отрицательных отклонениях длины затвора от номинального значения. Совместное использование pocket- областей и ретроградного распределения примеси в области Рис. 5.67. Расчетные зависимости Vt(Lt) МОПТ с Pocket- канала в определен- областями различной протяженности Lp = 90 нм, 130 нм, 200 ной степени позволяет нм. Для каждой зависимости концентрация N выбиралась уменьшить дисперсию из условия АК,гоц-иР = 50 мВ- То*= 4 нм> К = Ь5 В, Vt]ong = 0,35 В. ТОКа утечки. Концентрация бора в области канала 4,7 • 1017 см3 0.4J 0.4 0.35 03 PQ OJJ 0.15 ОД Lps90hm 0XSS ОД 0.13 0J Длина канала 1 с ( мкм ) - Без Pocket областей - Lp = 200нм » Lp = 130нм Э Lp =90нм - Идеальный случай Lp» 0 , Np» с ► 00
5.3. МОПТ с латерально-неоднородным распределением примеси в области канала На рис. 5.68 приведены экспериментальные и расчетные (с использованием приведенных соотношений) зависимости Vt(Lc) для МОПТ с тремя вариантами структуры области канала: с обычной вертикальной имплантацией бора в область канала (операция «подгонки Vy), с pocket-областями, а также с ретроградным распределением примеси и с pocket- областями [26]. Из-за влияния OED/TED-эффектов первая зависимость V((LC) по форме напоминает зависимость для МОПТ с pocket- областями. При номиналь- PQ 03 М > ел вл и ц \ л ' Vd="o~TB~! И Длина затвора(мкм) 0,15 мкм Длина затвора ( мкм ) Рис. 5.68. Экспериментальные и расчетные зависимости Vt(Lt) для «-МОПТ со структурой области канала, созданной вертикальной (ППП) и наклонной (Pocket) (ООО) ионной имплантацией и совместным использованием Pocket-областей и ретроградного распределения индия (ооо). Гох = 4 нм (Pocket), Тт = 3 нм (RCP+ Pocket) ном значении длины затвора L^ =0,15 мкм структура канала с вертикальной имплантацией бора обеспечивает заданное значение порогового напряжения (точка А) однако, характеризуется недопустимо высоким спадом порогового напряжения Vt(Lc) и градиентом зависимости Vf(Lc). Введение pocket-областей в структуру канала МОПТ позволило снизить A Vt и A Vt/ALC до приемлемых значений, однако пороговое напряжение МОПТ при номинальной длине затвора стало слишком большим (точка В). В работе [26] предложено решение указанной проблемы путем совместного использования ретроградного распределения примеси в канале (имплантация ионов индия) и pocket-областей (наклонная имплантация ионов бора). Благодаря использованию ретроградного распределения достигается снижение длинноканального порогового напряжения Vtlong, а выбор параметров pocket-областей осуществляется таким образом, чтобы номинальная длина канала МОПТ была немного меньше величины Lmll . Результирующая зависимость Vt(L) представлена сплошной линией на рис. 5.68. Как видно на рис. 5.68, экспериментальные приборы характеризуются относительно низким значением порогового напряжения Vt и малым градиентом AVf/ALc в точке С. Использование более тонкого подзатворного окисла Гох= 3 нм и RCP-распределения примеси уменьшает A VJALC согласно соотношениям (5.27) и (5.18). Полученная с помощью таких приемов относительно плоская зависимость Vt(L) не только снижает дисперсию тока утечки 1ор но также улучшает характеристики МОПТ с длиной канала больше минимальной, что важно для аналоговых МОПТ. 5.3.2. Концепция полного подавления спада и подъема Vt(Lc) для МОПТ со структурой SMART-Pocket Недостатком описанной структуры области канала с pocket-областями являются антагонистические условия обеспечения низких значений Vt и Ioff Действительно,
ИЗ 96 Глава 5. Формирование области канала субмикронных МОПТ Номинальная длина канала если номинальная длина канала Lc транзистора с относительно низким пороговым напряжением Vt соответствует максимуму зависимости V/LJ (кривая А на рис. 5.69), то это вынуждает принять повышенную величину тока утечки Ioff длинноканального транзистора. Если же номинальная длина канала соответствует участку спада зависимости V/LJ (кривая В на рис. 5.69), то можно ожидать сильную дисперсию тока утечки Ioff и тока стока Idsat при Рис. 5.69. Иллюстрация двух вари- отклонениях длины канала от номинального антов выбора номинальной длины значения. Этот недостаток структуры области канала МОПТ для обеспечения за- канала с pocket-областями ограничивает возданного значения порогового на- можность ее использования в глубокосубми- пряжения К = 0,25 В ллглп-г F l ' кронных МОПТ. В работе [45] предложена концепция использования латерально- неоднородного распределения примеси в области канала для формирования плоской зависимости Vt(Lc) без участков подъема и спада порогового напряжения вплоть до заданной длины канала МОПТ. В соответствии с предложенной SMART-Pocket-технологией латерально-неоднородное распределение примеси в канале создается с использованием двух или более pocket-областей. «Положительные» pocket-области создаются вблизи сток-истоковых областей наклонной ионной имплантацией примеси одного типа проводимости с примесью канала и приводят к подъему зависимости Vt(Lc). «Отрицательные» pocket-области создаются ближе к центру области канала имплантацией примеси противоположного типа, вследствие чего исходная примесь области канала частично компенсируется, что приводит к спаду зависимости V((LC). Схематически латеральное распределение примеси в канале с двумя pocket-областями представлено на рис. 5.70. Обеспечение плоской зависимости Vt(Lc) для МОПТ с указанной структурой канала объясняется взаимной компенсацией членов выражения, подобного (5.24), ответственных за подъем и спад порогового напряжения. Соответствующие выражения для параметров pocket-областей Npl, Lpl, Np2, Lp2 получены в работе [45]. В качестве примера на рис. 5.71 приведены результаты расчета зависимостей Vt(Lc) для МОПТ с длиной канала 0,15 и 0,07 мкм, а также компоненты этой зависимости, обусловленные короткоканальными эффектами Vt(Lc, Vd) (на рисунке обозначены SCE/ DLBL) и pocket-областями (на рисунке LR2 N++ Х/(щщ*и/*жм"'"///'"м'"'(//'/иШЩ^ Ш N++ Ионная им»ЖТтЖ Ионная LP1 Исток рп-пе рход торов имплантация доноров Уровень легирования Ча , | области канала 1 ггичная компенсация примес в области канала , и Сток У а) k Исток и Сток б) Рис. 5.70. Латеральное распределение примеси в канале МОПТ с двумя SMART- pocket-областями: (a) L% > Lpl; (б) Lg < 2Lp]
5.3. МОПТ с латерально-неоднородным распределением примеси в области канала 0,325+ 3,0,25 0,125+ Vd=l,5B Компонента, обусловленная к f SMART-Pocket областями Компонента, обусловленная SCE/DIBL эффектами 11 мц ^—i мин 0,5 0,325+ РЭ , 0,25 + 0,125+ 0,000 Vd=l,5B Компонента, обусловленная SMART-Pocket областями ч i i и)Щ 1—i i м и 0.05 0.5 $ Длина канала ( мкм ) а) 0.0$ 0.5 5 Длина канала ( мкм ) б) Рис. 5.71. Расчетная зависимость порогового напряжения от длины канала 1с для МОПТ с номинальным значением Lc, равным 0,15 мкм и 0,07 мкм (а); расчетная зависимость порогового напряжения от длины канала Lc для МОПТ с номинальным значением Lc, равным 0,07 мкм (б) обозначены SMART-Pockets). Результирующая зависимость Vt(Lc) получается с учетом взаимной компенсации указанных компонент в области малых длин канала. Существенная роль «отрицательной» pocket-области демонстрируется на рис. 5.72, на котором уравнивается плоская зависимость Vt(Lc) для МОПТ со SMART- Pocket-областями и характерная для обратного короткоканального эффекта зависимость для МОПТ с областью канала, содержащей только одну «положительную» pocket-область. Полученные в работе [45] аналитические выражения для зависимости Vt(Lc) с учетом влияния SMART-Pocket-областей подтверждены результатами двумерного приборно-технологического моделирования МОПТ с длиной канала 0,07 мкм. Расчеты показали также, что чувствительность порогового напряжения МОПТ к вариациям дозы имплантации в pocket-области невысока: при 10%-ном изменении дозы имплантации пороговое напряжение ^изменяется на 10 мВ. Результаты экспериментов по использованию технологии SMART-Pocket приведены на рис. 5.73 для МОПТ с длиной затвора 0,15 мкм [45]. В области канала с ретроградным распределением концентрации индия создавали лишь одну, «положительную», pocket-область с использованием наклонной имплантации ионов бора. Роль второй, «отрицательной», pocket-области имитировалась процессами дезактивации атомов индия вблизи сток-истоковых областей, легированных мышьяком, что приводило к латеральной неоднородности распределения концентрации ионизованных атомов индия вблизи первой pocket-области (рис. 5.74). 0.50 0.00 0.07м км 0.15мкм 0.05 0.5 Длина канала ( мкм ) Рис. 5.72. Зависимости Vt(Lc) для МОПТ со SMART-Pocket-областями (А) и для МОПТ только с одной «положительной» Pocket-областью (В)
^98 Глава 5. Формирование области канала субмикронных МОПТ 0.50 оэ 0.45 i 0.40 1 0.35 0.30 >~ 0.25 0.20 0.15 0.10 i 0.05 0.00 -Ot- Вертикальная имплантация бора -Of Ретроградное распределение индия -Вт Вертикальная имплантация бора+Pocket ■'•*' Ретроградное распределение индия+Pocket 0,15мкм ■4- -+- Н Н 0.1 Длина затвора ( мкм ) ■4-4-4 1 (Этот эффект, считавшийся паразитным до опубликования работы [45], описан в разделе 5.2.4.) На рис. 5.73 представлены зависимости Vf(Lc) для приборов, область канала которых легирована бором (1) и индием (2) без pocket-областей и бором (3) и индием (4) с pocket-областями. Как видно из приведенных зависимостей, для МОПТ с областью канала типа (1) и (2), более интенсивный спад порогового напряжения наблюдается при легировании области канала индием, что объясняется снижением концентра- Рис. 5.73. Экпериментальные зависимости V{(Lt) ции ионизованных атомов индия для л-МОПТ с наклонной имплантацией бора в вблизи сток-истоковых областей, область канала («положительные» Pocket-области) Зависимости VXL) для МОПТ, об- и ретроградным распределением индия с участка- с ^ , ласти канала которых легированы ми дезактивации вблизи стока и истока («отрица- * * тельные» Pocket-области) боРом без Pocket-областей (1) и бором с pocket-областями (З), как и следовало ожидать, характеризуются обратным короткоканальным эффектом. МОПТ со структурой области канала типа SMART-Pocket (4) характеризуется слабой зависимостью порогового напряжения от длины затвора вплоть до 0,15 мкм. Расчеты, выполненные в работе [45], показывают, что значения параметров Np и Lp остаются технологически реализуемыми при формировании плоской зависимости Vt(Lp) даже для МОПТ с длиной канала 25 нм. При этом важно подчеркнуть, что этот результат получен при толщине подзатворного Рис. 5.74. Распределение примеси в области окисла 4 нм. При меньшей толщине канала «-МОПТ с ретроградным профи- подзатворного окисла уровень леги- лем концентрации атомов индия и Pocket- рования и число используемых pocket- областей могут быть снижены. 6Е417 ^ 5Е417 0Е+00 _л_Распределение ионизированных ^^атомов индия -Ф— Распределение бора в Pocket- областях 0 0.5 1 Расстояние вдоль канала ( мкм ) областями 5.3.3. Формирование pocket-областей после отжига сток- истоковых слоев Значительное улучшение параметров МОПТ может быть достигнуто в том случае, когда формирование pocket-областей осуществляется после постимплан-
5.3. МОПТ с латерально-неоднородным распределением примеси в области канала Затвор Фосфор Ц Фосфор Л[;Л:;|Л n- J V п- р"-эпитаксиальныи слои Ь^КЗКККГТГерытый слоИК\\\\\\\\^ а) mm ■ n+ JJ& \п\ п» I р*-эпитаксиальныи слои | ,Ьh \IyV7777Z т лр) Vq^ J3±- р"-эпитаксиальный слой в) Бор Бор ХЬор у////////г/т£ц и^у//////////ц Шт^ШШ^Ш^ г) «0.5 К So.4 Он £0.3 £0.1 -LDD -LATID - SPI-LDD - SPI-LATIDI ).0 0.2 0.4 0.6 0.8 1.0 Длина затвора (мкм) а) 1.2 да о.; |Го.( <и 0. о<0.' с л rt ж О- ° 0. о о о С0' 5 41. 3 2 1 L 01 0.0 SPI-LDD Затвор р+-типа 0.2 0.4 0.6 0.8 1.0 Длина затвора (мкм) б) Рис. 5.76. Зависимости V{(Lg) для SPI и обычных я-МОПТ (а) и/ьМОПТ (б) (Vd = 2,5 В) тационного отжига сток-истоковых областей. При этом исключается влияние TED-эффекта на перераспределение Рис. 5.75. Последовательность операций примеси и тем самым обеспечивается бо- изготовления SPI-МОПТ. (а) наклонная лее высокая степень локализации pocket- ионная имплантация фосфора для фор- областей, мирования LATID-областей истока и 0дин из вариаНтов формирования стока; б) ионная имплантация мышья- , . <~ ' - pocket-областей в конце технологического ка в глубокие сток-истоковые области и , „ тхл п „а „<>г„~~п „. цикла изготовления активной структуры формирование удаляемого спеисера из ^ ^J JK Si3N4; (в) формирование самосовмещен- «-МОПТ с длиной затвора 0,25 мкм пред- ных слоев силицида титана; (г) удаление ставлен на рис. 5.75 [61]. Особенностями нитридного спеисера и ионная имплан- процесса изготовления структуры, изобра- тация бора в Pocket-области женной на рис. 5.75, является использование удаляемого нитридного спеисера и самосовмещенной силицидной маски для проведения наклонной ионной имплантации при формировании pocket-областей (Self-Aligned Pocket Implantation-SPI). Высокая степень локализации pocket-областей, обеспечиваемая SPI-процессом, позволяет использовать ультранизкие концентрации в области канала: 1 • 1016см~3 для «-канального и 9 • 1015 см-3 для /^-канального МОПТ, в сравнении с обычным транзистором 1,5 • 1017 см3и 1,2 • 1017 см3, соответственно. Это приводит к увеличению подвижности носителей, снижению подпорогового ^-фактора и значительному уменьшению емкости /?-я-переходов (на 65% для «-МОПТ, на 69% для /?-МОПТ). Ионная имплантация и отжиг (1000°С, 10 с) глубоких сток-истоковых
Глава 5. Формирование области канала субмикронных МОПТ Табл. 5.3. Режимы имплантации ионов в структурные области SPI-МОПТ Подгонка Vt LDD SPI л-МОПТ BF2, 70 кэВ 5-10" см-2 Р, 40 кэВ, 7°, 40°, 2-10,3см-2 В, 40 кэВ, 25°, (6...10)10,2см-2 /?-МОПТ Р, 30 кэВ, МО12 см2 BF2, 40 кэВ, 7°, 2-1013см-2 В, 40 кэВ, 25°, (6...10)-1012см-2 областей осуществляются пос.ле формирования удаляемого спейсера из нитрида кремния. После нанесения слоя титана и силицидообразующего отжига при температуре 675°С удаляют спейсер из нитрида кремния и осуществляют имплантацию ионов бора (я-МОПТ) и фосфора (/?-МОПТ) в соответствующие pocket- области. Имплантация осуществляется в локальные области, ограниченные слоем силицида кремния на моно- и поликремнии. Режимы ионной имплантации в операциях «подгонки Vp и формирования LDD- и pocket-областей приведены в табл. 5.3. Активация примеси в pocket-областях осуществляется во время второй стадии отжига силицида титана при создании его низкоомной фазы (850°С в течение 60 с). Зависимости порогового напряжения от длины затвора для обычных и SPI- МОПТ при толщине подзатворного окисла 8,5 нм представлены на рис. 5.76. Из рисунка видно, что длинноканальное пороговое напряжение SPI-МОПТ зависит от типа структуры стока LDD или LATID. Это обусловлено тем, что использование LATID-структуры сток-истоковых областей в SPI-МОПТ позволяет дополнительно снизить концентрацию примеси в области канала без ухудшения короткоканальных характеристик. При этом даже при использовании LATID-структуры обеспечивается снижение сдвига порогового напряжения при длине затвора L « 0,25 мкм, в то время как минимальная длина затвора у обычных МОПТ составляет 0,4 мкм. По току стока Idsat SPI-МОПТ превосходит обычные транзисторы как в случае одинакового напряжения на затворе -J l t * 1.-Л . L -LDD -LATID -SPI-LDD -SPI-LATID 0.0 0.2 0.4 0.6 0.8 1.0 1.2 Длина затвора ( мкм ) а) ts« Затвор р+-типа -Обычные -SPI 0.0 0.2 0.4 0.6 0.8 1.0 1.2 Длина затвора ( мкм ) б) Рис. 5.77. Зависимость тока стока в режиме (рис. 5.77), так и, в меньшей степени, насыщения /d sat от длины затвора SPI, обыч- при одинаковом эффективном напря- ных «-МОПТ (а) и /ьМОПТ (б) (Vd = 2,5 В; жении на затворе (Vg - V). Превос- Wg = 10 мкм) ходство SPI-МОПТ перед обычными
5.3. МОПТ с латерально-неоднородным распределением примеси в области канала 401 jj транзисторами проявляется и по величине подпорогового 5-фактора благодаря возможности снижения концентрации примеси в кармане без ухудшения корот- коканальных характеристик (рис. 5.78). 5.3.4. Суб-0,1 -микронные МОПТ с pocket-областями, легированными индием и сурьмой р-МОПТ п-МОПТ SPI-РМОПТ SPI-NMOnT 0.4 0.6 0.8 1.0 Длина затвора ( мкм ) Как упоминалось, использование ретроградного распределения примеси в области канала улучшает короткоканальные характеристики МОПТ при длине затвора не менее 0,1 мкм. Даже при глубине залегания сток-истоковых/ья-переходов, равной 25 нм, применение ретроградного распределения эффективно лишь для МОПТ с длиной затвора Lg более ОД мкм (рис. 5.79) [62]. Поэтому для МОПТ с Lg< 0,1 мкм необходимо использовать латеральное распределение примеси в области канала, реализуемое наклонной ионной имплантацией (Pocket-области). Наиболее подходящими примесями для pocket-областей суб-0,1-микронных МОПТ являются индий и сурьма благодаря ма лому коэффициенту диффу- ' 10° зии атомов и большой атомной массе. Использование сурьмы для формирования pocket-областей обеспечивает улучшение короткоканальных характеристик и повышение тока стока р-МОТП с длиной затвора до 80 нм [62]. С другой стороны, для «-МОПТ использование pocket-областей, легированных индием, не является эффективным из- за TED-эффекта и дезактивации атомов вблизи сток- истоковых областей. Кроме того, необходимо учитывать, что индий характеризуется низкой концентрацией предельной растворимости и высоким значением энергии ионизации атомов (0,16 эВ). Одним из параметров наклонной ионной имплантации, изменением которого осуществляется управление положением pocket-области Рис. 5.79. Экспериментальные зависимости Vt(Lg) для относительно сток-истоковых р-МОПТ с различной глубиной залегания р-п-пе- областей, является угол на- рехода сток-истоковых областей и ретроградным рас- клона ионного пучка. На пределением сурьмы в области канала Рис. 5.78. Зависимость подпорогового ^-фактора от длины затвора для SPI и обычных я-МОПТ и /?-МОПТ -0.40 £ -0.35 s -0.30 | -0,25 &-0.20 та <d -0.15 о м 2-ою о о-0.05 0.00 X}■ 25нм XI« 35 нм ХИ50нм 50 100 150 200 250 Длина затвора (нм) 300 350
(|[402 Глава 5. Формирование области канала субмикронных МОПТ -Sb, 60кэВ,4-10,: "2,30° 1 -Sb,60K3B, 2-1013см"245° -БЬ.бОкэВ, 1-10,3см-%0° - Без Pocket 50 100 150 200 250 300 350 Длина затвора (мкм) Рис. 5.80. Влияние угла наклона ионного пучка на конфигурацию Pocket-областей: (а) 30, (б) 60° Рис. 5.81. Зависимости Vt(Lg), полученные двумерным моделированием /?-МОПТ с различными значениями угла наклона ионного пучка при имплантации сурьмы в Pocket-области рис. 5.80 иллюстрируется влияние угла наклона ионного пучка (30°С или 60°С) на конфигурацию pocket-областей. В первом случае (30°С) pocket-область охватывает боковую и донную часть сток-истоковых областей. Хотя при подобной конфигурации pocket-областей улучшаются короткоканальные характеристики МОПТ, к ее недостаткам следует отнести снижение тока стока Idsat из-за повышения коэффициента влияния подложки у, увеличение емкости и тока утечки /?-я-переходов. Нарис. 5.81 и 5.82приведенырасчетные (двумерное приборно-технологическое моделирование) и экспериментальные зависимости Vt(Lg) для /?-МОПТ с различными условиями имплантации сурьмы в pocket-области [62]. Параметры структуры /?-МОПТ представлены в табл. 5.4. Результаты расчетов и экспериментов показывают, что с увеличением угла наклона ионного пучка с 30 до 60° возможно уменьшение дозы имплантации сурьмы в pocket-области в четыре раза без увеличения сдвига порогового напряжения МОПТ с длиной затвора до 80 нм. Благодаря уменьшению концентрации примеси в pocket-областях и благоприятному их положению относительно сток- Табл. 5.4. Параметры структур МОПТ с различными условиями имплантации Sb и Zn в Pocket-областях Длина затвора Область канала Т ох Pocket Угол наклона ионного пучка Спейсер Сток-истоковые области «-МОПТ 80 нм В или In 2,4 нм In 30°, 45°, 60° Si,N4 As (Xj = 30 нм) /?-МОПТ 80 нм Sb 2,4 нм Sb 30°, 45°, 60° Si,N4 BF2 (x, = 20 нм)
5.3. МОПТ с латерально-неоднородным распределением примеси в области канала 403j| 2 ^■^ 5 X * « л X о) т>во о Он о е -0.40 -0.35 -0.30 -0.25 -0.20 -0.15 -0.10 -0.05 0.00 t^^+^sxxxi^-r 1 g =y=j «л******1 -Sb,60K3B,4-101JCM-',30u -Sb,60K3B,2.1013CM"245° -БЬ.бОкэВ, Ы013см-260° - Без Pocket 50 100 150 200 250 Длина затвора (мкм) 300 350 Рис. 5.82. Экспериментальные зависимости Vt(Lg) для /?-МОПТ с различными значениями угла наклона ионного пучка при имплантации сурьмы в Pocket-области истоковых областей, обусловленному имплантацией сурьмы с большим углом наклона ионного пучка (см. рис 5.80), обеспечивается снижение избыточного тока утечки /?-я-переходов и коэффициента влияния подложки /ьМОПТ и, как следствие, увеличение Idsat (рис. 5.83) и отношения токов Id>sat/Ioff (рис. 5.84). С другой стороны, при имплантации с углом наклона ионного пучка 30° появляется избыточный ток утечки /?-я-переходов, обусловленный относительно высокой концентрацией сурьмы в области/ья-перехода (1 • 1019 см3) в сравнении со случаем имплантации с углом наклона пучка 60° (5 • 1018 см3) (рис. 5.85). При использовании индия для формирования pocket-областей я-МОПТ следует учитывать влияние низкой степени ионизации атомов индия при превышении уровня концентрации, равного 3 • 1018см3. Вследствие этого короткоканальные характеристики я-МОПТ с pocket-областями, легированными индием (параметры структуры МОПТ приведены в табл. 5.4), лучше, когда область канала легирована бором, а не индием (рис. 5.86). С другой стороны, при отсутствии pocket-областей сдвиг порогового напряжения AVt(Lg) МОПТ с ретроградным распределением индия в области канала меньше, чем у МОПТ, в которых область канала легирована бором. Полученные результаты объясняются снижением степени электрической активации атомов индия в области перекрытия pocket-областей и ретроградного распределения [62]. С4 0.40 S 0.35 % 0.30 — 0.25 PQ ^ 0.20 4 0.15 > ' 0.10 > = 0.05 •£ о.оо р-МОПТ RCP + Pocket -Sb,60ioB, 2.1013см"2,45° -Sb. бОкэВ, Ы013см-2,60° 50 100 150 200 250 300 Длина затвора ( мкм ) 350 Рис. 5.83. Зависимость тока стока /dsat от длины затвора /ьМОПТ с различными значениями угла наклона ионного пучка при имплантации сурьмы в Pocket-области ^ 1е-6 £ 1е-7 Р-МОПТ RCP+Pocket -*- Sb, бОкэВ, 4-Ю13 см"2,30° 0.1 0.2 0.3 0.4 0.5 Ud,satl [Vd = -1,5B](MA/MKM) Рис. 5.84. Значения токов /d sat и /off для /ьМОПТ, представленных на рис. 5.82, 5.83
Глава 5. Формирование области канала субмикронных МОПТ 1е+21 г—г 1е+1б -1 Г" Sb RCP + Sb Pocket Sb RCP + Sb Pocket М013см-2,60° 40 60 80 100 Глубина ( нм) P5 0.40 0.35 X °'3°Г % 0.25 g^O.20 a д 0.15 § 0.10 S O0.05 о И о.оо N-МОПТ In Pocket, 60кэВ 2-1013см-2. 45° -In RCP + In Pocket -In RCP -B + In Pocket -B 50 100 150 200 250 300 Длина затвора ( нм ) 350 Рис. 5.85. Распределения концентра- Рис. 5.86. Экспериментальные зависимо- ции примесей в областях канала и стока сти Vt(Lg) для я-МОПТ с областью канала, /?-МОПТ, полученные ВИМС-методом легированной бором и индием ^ 0.40 ^ 0.35 5 Я 0.30 К 0.25 g 0.20 X о 0.15 о CQ р 0.10 о Л 0.05 О Е 0.00 с I i 1 1 • 1 > 1 ' 1 • 1 • " в-канал ^s^^^±ltlt^i^ In Pocket J^*"^ ^^jt^*""* *~ Hi/ ~^6-1013cm^0° . \jj / / -t-4-1013cm-2,30° J* J 4 ~*-.3-1013cm-2,45o " I -+-2-10 |3см"2,45° - / -*~1-10I3cm-2,60° 1 —♦— Pocket ) 50 100 150 200 250 300 3* ю Длина затвора (нм) На рис. 5.87 приведены зависимости Vt(Lg) для различных условий имплантации ионов индия в pocket- области. Как видно, при величине угла наклона ионного пучка 60° и дозе 1-Ю13 см2 не обеспечивается в полной мере улучшение короткока- нальных характеристик я-МОПТ, как в случае с имплантацией сурьмы в pocket-области /ьМОПТ. Кроме того, увеличение дозы имплантации индия выше 3 • 1013 см2 не приводит Рис. 5.87. Экспериментальные зависимости к возрастанию порогового напря- Vt(Lg) для я-МОПТ при различных условиях жения в короткоканальной области, ионной имплантации индия в Pocket-области характерному для случая легирова- (область канала легирована бором) ния pocket-областей бором (обратный короткоканальный эффект). Эти результаты объясняются перераспределением индия вследствие TED-эффекта при формировании сток-истоковых областей и достижением предела растворимости индия в кремнии при повышении дозы имплантации до уровня 3 • 1013см2. Действительно, из приведенных на рис. 5.88 распределений концентрации примесей, полученных ВИМС-методом, следует, что атомы индия перераспределяются благодаряТЕБ-эффектуизобласти канала и из pocket-областей глубо- 1е+21 1е+16 -1 1 1 1 1 1 1 г- In RCP + IN Pocket '4.1013см-2,30° In RCP + IN Pocket ' i. in i3rM-2 6n^ 40 60 80 100 Глубина ( нм) 140 Рис. 5.88. Распределения концентрации примесей в области канала и стока л-МОПТ, полученные ВИМС-методом
5.4. р-канальные МОПТ со скрытым каналом 405j| 1е+21 г Концентрация носителей в Pocket-обтсти ниже порога чувствительности измерения 40 60 80 100 Глубина ( нм ) ко в подложку. С другой стороны, из распределений концентрации носителей (рис. 5.89) следует, что увеличение дозы имплантации индия в pocket-области не приводит к повышению концентрации ионизованных атомов в области, расположенной под мелкими (extension) сток-истоковыми областями. Этот результат указывает на то, что концентрация атомов индия в этой области (3 • 1018 см3) превышает предел его раствори- Рис. 5.89. Распределения концентрации носите- мости в кремнии. Кроме того, из лей в области канала и стока я-МОПТ рис. 5.89 также следует, что концентрация носителей в pocket-областях вблизи мелких сток-истоковых областей ниже (на пороге чувствительности метода измерений), чем концентрация носителей в области канала. Этот результат объясняется дезактивацией атомов индия избыточными межузельными атомами кремния, диффундирующими при отжиге из сток-истоковых областей [46]. Следует заметить, что наклонная ионная имплантация индия при формировании pocket-областей может привести к образованию дефектов в подзатворном окисле. При малом угле наклона ионного пучка (30°) не обнаружено различия в надежности МОПТ с pocket-областями, легированными индием и бором [63]. Однако при высоких дозах имплантации индия обнаружено усиление эффекта горячих носителей, образование заряда в подзатворном окисле и увеличение плотности поверхностных состояний [64]. 5.4. р-канальные МОПТ со скрытым каналом В диапазоне глубокосубмикронных размеров проблемы изготовления КМОП- приборов с затворами двух типов проводимости значительно усложнились. Помимо относительно длительного технологического цикла, сложность изготовления КМОП-приборов с затворами двух типов проводимости обусловлена проблемами создания /ьМОПТ с р+ -затвором, к которым, главным образом, относят: 1) проникновение бора в подзатворный окисел и в область канала, что приводит к сдвигу и увеличению разброса порогового напряжения и снижению надежности МОПТ; 2) эффект обеднения поликремниевого затвора носителями вблизи границы с под- затворным окислом в режиме сильной инверсии, возникающий при оптимизации уровня легирования затвора с целью предотвратить проникновение бора в область канала; последствием эффекта обеднения является увеличение эффективной толщины подзатворного окисла, ухудшение короткоканальных свойств МОПТ и увеличение паразитного сопротивления поликремниевых межсоединений. Предлагается множество методов для преодоления указанных проблем, благодаря которым нижняя граница допустимой длины затвора р+-типа для р-МОТГТ продолжает снижаться. Однако при изготовлении глубокосубмикронных КМОП-приборов из-за ухудшения характеристик /ьМОПТ, обусловленного проникновением бора
/(fa06 Глава 5. Формирование области канала субмикронных МОПТ Подзатворный окисел Встроенный канал PTS-слой Подложка Рис. 5.90. Структура /ьМОПТ со скрытым каналом и PTS-слоем Концентрация бора во встроенном канале: --©— 1.00Е+18 G ~ 2.00Е+18 ЧЬг~ 3.00Е+18 А 4.00Е+13 -Oh- 6.0CE+18 слой: 20нм п^-.затвор | в подзатворный диэлектрик и в область канала и эффектом обеднения затвора, целесообразно использовать структуру р-МОПТ с /?+-затвором и скрытым каналом (Buried Channel - ВС). Выбору технологии изготовления КМОП СБИС с одним типом затвора способствует также значительный прогресс в технологических процессах формирования ультратонких легированных слоев скрытого канала, а кроме того, более высокая подвижность носителей в канале ВС-/7-МОПТ. Последний фактор становится значимым вследствие повышения поверхностной концентрации примеси в области канала р-МОТП с /?+-затвором при уменьшении проектных норм. Основной проблемой технологии изготовления ВС-/7-МОПТ является формирование ультратонких слоев скрытого канала и слоев, предотвращающих смыкание ОПЗ сток-истоковых р-п- переходов в объеме (Punchthrough Рис. 5.91. Зависимость порогового напряжения s^0p layer — PTS (рис. 5.90). р-МОПТ от толщины скрытого канала с различной Оптимальное сочетание параметров слоев скрытого канала и PTS-области — толщины и концентрации примеси — позволяет получать требуемые короткока- нальные характеристики, пороговое напряжение Vt, крутизну, под- пороговый ^-фактор и ток утечки /off ВС-р-МОПТ с длиной канала вплоть до 0,1 мкм. Обеспечение необходимых характеристик глу- бокосубмикронных ВС-/?-МОПТ осложняется тем, что проводящий канал в структуре ВС-/ьМОПТ образуется на большем расстоянии от границы раздела Si/Si02, чем поверхностный канал в структуре С .1.4 Е 1е18см l -3 5 10 20 30 Толщина встроенного канала ( нм ) концентрацией бора. L =0,15 мкм, Тох = 5 нм _|l20 §115 w &110 ЙЮ5 •& ' 100 in « 95 Л 59 2 90 о а § 85 в С 80 Концентрация бора во встроенном канале (см ~3): : _«_ : -О ; -а- ■ 1, . с i 1.00Е+18 2.00Е+18 4.00Е+18 /Х5 уУ ^><^ ^У^ 1 PTS-слой: 20нм Ы018см 5 10 20 30 Толщина встроенного канала ( нм ) Рис. 5.92. Зависимость подпорогового ^-фактора ^-МОПТ с /?+-затвором (Surface от толщины скрытого канала с различной кон- cnannei n-MOS — SC-p-МОПТ) Управляющая способность затво- центрацией бора. L = 0,15 мкм, Гох = 5 нм
5.4. р-канальные М ОПТ со скрытым каналом 40 ра ВС-/?-МОПТ дополнительно снижается из-за падения напряжения на области обеднения р-п- перехода скрытого канала. По этой же причине увеличивается пороговое напряжение ВС-/ьМОПТ. Указанные факторы являются основной причиной необходимости оптимизации параметров слоев скрытого канала и PTS-области. Это достигается уменьшением толщины слоя скрытого канала, минимизацией толщины области Рис. 5.93. Зависимость крутизны /ьМОПТ обеднения между проводящим ка- от толщины скрытого канала (Vg - Vt = -0,7 В; налом и границей Si/Si02 и сниже- L«" °'15 МКМ' г« " 5 нм) нием емкости /?-я-перехода скрытый канал — подложка. В работе [68] с использованием двумерного моделирования и в приближении однородного легирования области канала и PTS- слоя проведено исследование зависимости порогового напряжения Vty крутизны gm, подпорогового -^-фактора от толщины и концентрации примесей скрытого канала и PTS-слоя ВС-р-МОТП. Сформулированы следующие рекомендации для выбора параметров (тол- Рис. 5.94. Зависимость подпорогового ^-фактора щины и концентрации примеси) от толщины PTS-слоя скрытого канала и PTS-слоя ВС-р- МОТП. 1. Толщина скрытого канала должна быть ограничена сверху для улучшения короткоканальных характеристик и снижения чувствительности порогового напряжения к изменениям толщины и концентрации примеси скрытого канала (рис. 5.91). Кроме того, это обеспечивает снижение подпорогового ^-фактора, так как, во-первых, проводящий канал образуется ближе к границе Si/Si02, а во-вторых, ограничивается влияние объемного заряда стокового /ья-перехода на управляющую способность затвора (рис. 5.92). 2. Толщина скрытого канала должна быть ограничена снизу, а концентрация примеси — сверху для снижения напряженности поперечного электрического поля на границе Si/Si02 и соответствующего повышения подвижности носителей в канале и крутизны ВС-/ьМОПТ (рис. 5.93). В случае высокой концентрации примеси при увеличении толщины скрытого канала крутизна р-МОПТ снижается, так как проводящий канал образуется на большем расстоянии от границы Si/Si02, что эквивалентно увеличению эффективной толщины подзатворного окисла Тохф а следовательно и уменьшению крутизны ВС-/7-МОПТ. 2.206-4 2.00Е-4 Щ 1.80Б-4 и ^-^ X 1-60Е-4 го S &> 1.40Е-4 Оч ^ 1.20Е-4 1 ООЕ-4 F ^* Кбйцентрация бора во «-^встроенном канале: / -й- 1.00Е-И8 1 Jl / -О- 2.00Е+18 У*"\"/ "" "Q / V -А— 3.00Е+18 // ¥ \ 6.00Е-И8 // / \ /// \ /// J/ И PTS-слой: 20нм 1-10,8см"3 5 10 20 30 Толщина ( нм) -24 <D ^««е «л Концентрация бора О £105 <я ^100 ОО '5 95 »Д я 2 so о о 85 t3 Л 80 С : 4 £>. о в РТь-слое(нм) Г \ о"'''' ° НИН 8.00Е+17 • \ / - \ / О 3.00Е+18 \ / L V -a-besPTS А д • / V ^Л ' В^ ^^ •m 1 Tar—-""ВТ ; s - J Встроенный канал: Юнм ; с з-ю18см-3 ■ i i i i i 10 20 30 40 50 Толщина PTS-слоя (нм)
|fa08 Глава 5. Формирование области канала субмикронных МОПТ CQ ч-^ X о> Он X X <L> О PQ ОГО Он о с •0.2 «0.4 «0.6 •0.8 •1 •1.2 •1.4 Концентрация примеси в PTS-слое: Без PTS ~-.Д А Встроенный канал: 10нм 3-1015 10 20 30 40 50 Толщина PTS-слоя ( нм ) Рис. 5.95. Зависимость порогового напряжения ВС -/7-МОПТ от толщины PTS-слоя 3. Толщина и концентрация примеси в PTS-слое определяют устойчивость ВС-/ьМОПТ к ко- роткоканальным эффектам. В наибольшей степени они влияют на величину подпорогового ^-фактора и напряжения смыкания ОПЗ истока и стока. При малой толщине и низкой концентрации PTS-слоя область обеднения стока распространяется в область канала, вследствие чего снижается управляющая способность затвора, увеличивается подпороговый 5-фактор и возникает возможность смыкания ОПЗ истока и стока. При увеличении толщины PTS-слоя распространение области обеднения стока в канале ограничивается и величина подпорогового 5-фактора уменьшается (рис. 5.94). При высокой концентрации примеси и малой толщине PTS-слоя область обеднения канала в большей степени распространяется в слаболегированную подложку, благодаря чему уменьшается от-ношение емкости обеднения Cd к емкости подзатворного окисла Сох, что обусловливает снижение подпорогового 5-фактора. При увеличении толщины PTS-слоя отношение Cd/ Сох , а соответственно, и величина подпорогового ^-фактора возрастают (рис. 5.94). Кроме того, от параметров PTS-слоя зависит (хотя и в меньшей мере) величина порогового напряжения ВС-/ьМОПТ, что объясняется влиянием PTS- слоя на степень распространения области обеднения канала в подложку. При малой концентрации примеси в PTS-слое наблюдается монотонное возрастание порогового напряжения (по абсолютной величине) с ростом его толщины; при повышенной концентрации примеси наблюдается насыщение зависимости порогового напряжения от толщины PTS-слоя, так как распространение области обеднения канала ограничивается PTS-слоем (рис. 5.95). 4. При выборе параметров PTS-слоя следует учитывать, что при увеличении толщины и концентрации примеси возрастает напряженность поперечного электрического поля на границе Si/Si02, вследствие чего уменьшается подвижность носителей в канале и, соответственно, крутизна ВС-p-MOm (рис. 5.96). Оптимизация параметров структуры ВС-/7-МОПТ должна осуществляться, исходя из относительной важности конкретных характеристик МОПТ для приме- 2.00Е-4 1.80Е-4 1.60Е-4 гц U 1.40Е-4 ft 1.20Е-4 S S 1.00Е-4 ^ 8.00Е-5 6.00Е-5 1 ^■'■"■•«ч^^. Концентрация примеси ^"^^-в-**^ _ _ в PTS-слое: " Г^ \ "X} ~0~ 1.00Е+18 \ \ \ \ О- 200Е+18 •я \ \ -*— 3.00Е+18 \ \ : \ Ь^^0^~о >к. \. Встроенный канал: Юнм Г X 3-1018cm"3 л- . , А § » 1 * ' 10 20 30 40 50 Толщина PTS-слол ( нм ) Рис. 5.96. Зависимость крутизны р-МОПТ от толщины и концентрации примеси в PTS-слое (Vg — Vx = -0,7 В; L =0,15 мкм, Тох = 5 нм)
5.4. р-каналъные МОПТсо скрытым каналом Затвор Исток -*-У , = 0) нения в СБИС с малой потребляемой мощностью или в приборах высокого быстродействия. 5.4.1. Пороговое напряжение р-МОПТ со скрытым каналом Масштабирование /?-МОПТ с я+-затвором ограничивается, главным образом, вследствие его высокой чувствительности к короткоканальным эффектам. Ко- роткоканальные характеристики ВС-/?-МОПТ зависят от толщины скрытого канала и концентрации примеси в нем так же сильно, как от толщины подза- творного окисла и глубины залегания /?-я-перехода сток-истоковых областей [69-73]. Поэтому важно оптимизировать параметры скрытого канала ВС-МОПТ с целью снижения порогового напряжения и улучшения короткоканальных характеристик. Разработанная аналитическая модель порогового напряжения для глубокосубмикронных МОПТ с поверхностным каналом [18] не приемлема для /ьМОПТ с «^-затвором. В работе [74] квазидвумерный подход, использованный в работе [18], модифицирован для X = Х0 +хс Od Область Гаусса- Остроградского Хс+хс > + у=0 y=L Рис. 5.97. Область Гаусса-Остроградского и граничные условия, используемые при квазидвумерном анализе ВС-/?-МОПТ МОПТ со скрытым каналом. В этой работе, так же как и в работе [18] для решения уравнения Пуассона для области канала рассматривается элементарный объем (область Гаусса—Остроградского) длиной АГи высотой, равной ширине области обеднения AX = XCDd + Xd (рис. 5.97), где XCDd — ширина области обеднения канала со стороны границы Si-Si02, a Xd — ширина области обеднения /?-я-перехода между скрытым каналом (/ьтип) и подложкой («-тип). Пренебрегая зарядом подвижных носителей, уравнение Пуассона для этой области может быть представлено в виде, аналогичном тому, как это сделано в разделах 1.4.6, 1.5 [18]: {XCDd+Xd) dEJy) V -V - Vg VFB 1\ dy — e„ VJy) + ^tNcD ^ ~Q'NCDd~^CDd> (5.33) где EJy) — напряженность латерального электрического поля в канале на глубине, где потенциал минимален cpmin; Vm{y) — потенциал латерального электрического поля на той же глубине; Vg — напряжение на затворе относительно истока; VFB — напряжение плоских зон скрытого канала; ц — подгоночный параметр. Хотя ширина области обеднения XCDdn Xd и напряженность латерального электрического поля Ет изменяются вдоль канала (5.1), для упрощения задачи считают, что они неизменны, а соответствующая поправка осуществляется параметром г\.
ш410 Глава 5. Формирование области канала субмикронных МОПТ Уравнение (5.33) может быть представлено в виде дифферециального уравнения 2-го порядка относительно Vm(y): d2Vm(y) Ут(У)/s-Ko dy2 il = 0. где Ы V =V — r l« r FB tSi-{XCDd+Xd) сохч 2e c A/ Y2 _ $ CD^CDd ' iycd ' ЛСБс1 ^ (5.34) (5.34a) (5.346) здесь Vt0 — длинноканальное пороговое напряжение ВС-МОПТ при малом напряжении на стоке. Решением уравнения (5.34) при граничных условиях, указанных на рис. 5.97, Vm(Q) = Vbln Vm(L) = VBS+ Vds является: (^(0)-K„)arcsin K(y)=- Й (K,(0)-K„)arcsin m arcsml — arcsin + VC, (5.35) 0.! 0.2 03 04 05 у (мкм ) a Ke Рис. 5.98. Распределения потенциала Vm латерального электрического поля вдоль канала, полученные при квазидвумерном анализе ВС-р-МОПТ (Гох = 4 нм, 7Vsub = 5 • 1017 см3, xCD = 0,05 мкм, NCD = 7-1017 см-3) где VSL = Vg — Vt0, a Vs — встроенный потенциал на границе /?+-/?-перехода между истоком и каналом. На рис. 5.98 представлено распределение потенциала латерального электрического поля, вычисленного с помощью выражения (5.35). Из рисунка следует, что чем меньше длина канала, тем сильнее влияние напряжения на стоке на распределение потенциала Vm(y) в канале. Максимум потенциала Vm находится вблизи истока. Положение точки у0, соответствующей максимуму потенциала Vm, и значение максимума потенциала Vm(y0) могут быть определены с использованием выражения (5.35) из условия: dV„ dy = 0, У=Уо (5.36) Пороговое напряжение ВС-МОПТ с учетом короткоканальных эффектов Vt(L) и V,{ Vds) определяют из условия:
5.4. р-канальные МОПТсо скрытым каналом V \ = 0. mmax \Vg=Vt (5.37) И из соотношений (5.35) Vl(L) = Vl0-AVl = Vt0 (5.36): 2arcsin (5.38) На рис. 5.99 приведены расчетные зависимости порогового напряжения от длины канала ВС-/7-МОПТ при условиях: V= 0,4 В, XCD= 0,01—0,05 мкм, 5-Ю17 см3 NCD= 7-10 Nm -0.5 -0.3 \ -0.1 CQ > 0.1 \ 0.3 0.5 $ 9 * • ^0=0,01 мкм OxCD= 0,02мкм /Приборно-технологическое _лп» моделирование: т xcd— U,Ujmkm Vxcd=0,04mkm xCD= 0,01мкм xcd=0,02mkm xcd= 0,03мкм xCD= 0,04mkm 0.0 0.2 0.4 0.6 Leff (мкм ) 0.8 1.0 Рис. 5.99. Расчетные зависимости порогового напряжения от эффективной длины канала, полученные при квазидвумерном анализе ВС-/?-МОПТ (Тох Kds = -2,0B) 4 НМ> Nsub =5 • Ю17 СМ"3, Гох= 40 Е, Vds = -2,0 В. Сравнение полученных данных с результатами приборно-технологического двумерного моделирования показывает совпадение пороговых напряжений в области малых длин канала и различие для значений длины канала более 0,2 мкм. Это несоответствие результатов объясняется тем, что при решении уравнения (5.34) использованы граничные условия, не учитывающие наличие встроенного потенциала между областью канала и сток-истоковыми областями, а также напряжения между истоком и подложкой. Между тем при наличии напряжения на сток-истоковых областях относительно подложки этот фактор становится существенным вследствие значительного (по отношению к длине канала) распространения областей обеднения сток-истоковых /?-я-переходов в подложке. Поэтому решение уравнения (5.34) должно быть выполнено с учетом уточненных граничных условий; однако, как указывается в работе [74], оно не имеет простой аналитической формы. В работе [74] предложен метод, позволяющий учесть уточненные граничные условия для приближенного расче- та зависимости порогового напряжения рис 5 100 элементарный объем обла- от длины канала и напряжения на сто- сти канала^ состоящий из ДВух областей ке. С этой целью при выводе уравнения Гаусса-Остроградского, используемый Пуассона (5.33) элементарный объем ДЛя вывода уравнения Пуассона с учетом канала представляют в виде двух об- напряжения между сток-истоковыми областей, для каждой из которых при- ластями и подложкой
% 12 Глава 5. Формирование области канала субмикронных МОПТ Исток Затвор Сток XCD Xs ш\ Zb , лоля пространственного* заряда области обеднения встроенного канала Рис. 5.101. Области обеднения в структуре ВС-/?-МОПТ, обусловленные напряжением на затворе, стоке и истоке. Участки разделения зарядов в области обеднения канала заштрихованы меняют закон Гаусса—Остроградского (рис. 5.100). Первая область включает приповерхностную область обеднения у границы Si-Si02, созданную напряжением на затворе, а вторая — область обеднения, созданную напряжением на /?-я-переходе, скрытый канал — подложка. Для первой области (0 < Х< Хсш) используется упомянутая квазидвумерная модель порогового напряжения. Для второй области элементарного объема (XCDd < X < XCD + Xd) показано, что так как суммарный объемный заряд в нем равен нулю (вследствие условия электронейтральности р-я-перехода), напряженность электрического поля на верхней и нижней границе объема также равна нулю; поэтому распределение потенциала в латеральном направлении, создаваемое напряжением смещения на стоке, имеет линейный вид, что позволяет использовать метод разделения зарядов (см. раздел 1.2.1) для приближенного расчета порогового напряжения в короткоканальной области. С использованием этого метода уменьшение плотности объемного заряда AQtot в подканальной области обеднения вблизи сток-истоковых областей моделируется как уменьшение эффективной концентрации примеси в подложке. С этой целью плотность общего заряда Qtot и его уменьшение в области обеднения подложки A Qtot представляются следующими выражениями (рис. 5.101): Qtot=<lNSubXnL> (5.39) лУш- 2 2' Ys=J(*J +Xs)2 ~(XCD +02 - J*,2 -4) , YD=yl(Xj+xD)2 -(xCD+xnf -J*; -x2CD , (5.40) (5.41л) (5.416) где xn — ширина области обеднения под каналом; х} — глубина сток-истоковыхр-п- переходов; xs, xD — ширина области обеднения в подложке вблизи истока и стока. Тогда эффективная концентрация примеси в подложке может быть представлена в виде: N'sub = NsJl- ■tot J = ^(\-%Щй-ь где £, — подгоночный параметр, учитывающий влияние формы /ья-перехода и области обеднения сток-истоковых областей. Используя выражение для эффективной концентрации примеси в подложке Nrsub, определяют ширину области обеднения XCDd и значение встроенного потенциала Vbi изотипного/?-я-перехода, после чего решают уравнение (5.34) с уточненной величиной /. Таким образом, данный подход,
5.4. р-канальные МОПТсо скрытым каналом ^-0.4 а ж D О CQ о Си О С 0.0 : h in LeL Y Приборно- технологическое моделирование: Расчет: ' : ft • xcd=0,01mkm J О Xcd= 0,02мкм ▼ xCd= 0,03mkm J V xCr>= 0,04mkm J xCD= 0,01 mkm I —- —xCD= 0,03mkm 1 —-• Xcd=0,04mkm| 0.0 0.2 0.4 0.6 08 Длина канала (мкм) 0.45 0.40 0.35 ^ 0.30 PQ ^ 0.25 > 0.20 0.15 0.10 0.051— 0.1 0.2 0.3 0.4 0.5 0.6 Leff ( MKM ) 0.7 0.8 Рис. 5.102. Расчетные зависимости порогового напряжения от эффективной длины канала, полученные с использованием квазидвумерного анализа и метода разделения зарядов (Тох = 4 нм, Z?ds = 5 • 10|7см~3, К,, = -2,0 В) Рис. 5.103. Расчетные зависимости порогового напряжения от эффективной длины канала, полученные с использованием квазидвумерного анализа и метода разделения зарядов для различных значений толщины подзатворного окисла Тох (^=5-1017CM-3,Vds=-2,0B) использующий как квазидвумерное уравнение (5.34), так и метод разделения зарядов, позволяет получить зависимость порогового напряжения Vt(L) BC-p-MOIYT в короткоканальной области от длины канала и напряжения на стоке. Результаты расчета зависимостей Vt{Le^ и Vt(Vd) данным методом представлены на рис. 5.102—5.104. Как видно из приведенных зависимостей, полученные результаты совпадают с результатами двумерного моделирования в широком диапазоне длины канала, толщины скрытого канала и толщины подзатворного окисла. В расчетах использовались неизменные постоянные значения подгоночных коэффициентов ii = 0,19 и £ = 0,68. Результаты расчетов также хорошо совпадают с экспериментальными результатами для ВС-р-МОТП (рис. 5.105). На рис. 5.106—5.108 представлены результаты расчета допустимых значений параметров физической структуры XCD и Nsub для ВС-/7-МОПТ с заданными значениями подпорогового ^-фактора и сдвига порогового напряжения в короткоканальной области AVr Значение подпорогового ^-фактора для МОПТ со скрытым -04 -0.3 £-0.2 > -0.1 0.0 0 ^___^^ Lefr =0,2 мкм • хС(.=0.01 мкм ■Моделирование:0 xcd=0°2mkm Т xCD=0 03 мкм V хсо=0.05 мкм хсо=0.01 мкм Расчет- хсо=0 °2 мкм '—-хсо=0.03мкм —— хсо=0 05 мкм 0 -05 -1.0 -15 -2 ) 0 V*(B) BF-,, ЮкэВ, 2.Юисм"" *ЪТ Эксперимент: ■S—g" Vds«-0.1B Vds»-2.0B - Vds*-0.1B . Vds«.2.0B BF2, 10k3B,3-101jcm" o.4 o.e Leff (мкм ) 0.8 1.0 Рис. 5.104. Расчетные зависимости порогового напряжения от напряжения на стоке Vds, полученные с использованием квазидвумерного анализа и метода разделения зарядов (Тох =4 нм, Nsub = 5 • 1017см \ Lefr = 0,2 мкм) Рис. 5.105. Экспериментальные и расчетные зависимости порогового напряжения от эффективной длины канала ВС-р- МОПТ с различным уровнем легирования скрытого канала
414 Глава 5. Формирование области канала субмикронных МОПТ vP s г/ га Z w'7 ю'6 0 f / Ограничение по AVj (LefT,Vds) / ..... Ограничение по S-фактору УТохз4нм s\^r Lrt s 0,1мкм Vds*-2,0B / ""/ \L * U,4mkm Vds = -3,0B 30 0 01 0.02 0 03 0.04 0.05 0.06 0 07 0.08 009 0 10 xcd(mkm) Рис. 5.106. Области допустимых значений толщины скрытого канала и концентрации примеси в подложке ВС-/ьМОПТ, обеспечивающие сдвиг порогового напряжения AVt(Lefp Vd) менее 100 мВ (сплошные линии) и подпороговый 5-фактор менее 90 мВ/дек (пунктирные линии) 0.07 0.06 ^ 0.05 S 0.04 I о.оз и 0.02 0.01 0.00 \ Vt = - 0,4В i AVt < ЮОмВ S < 90мВ/дек Г Vds=-2,0B Г / Г 1т^2нм/ Г / / \ / к * Li /"V /Л / X /^ /^<ж~4нм/ / / ; УТ^вим уХ - / / Т^^Ивим ' / . . 0.0 0.1 0.2 0.3 Leff (мкм ) 0.4 0.5 каналом определяется выражением [17]: 5 = К1п10 С, (5.43) ox J где CCD — емкость области обеднения между границей Si-Si02 и проводящим каналом, aCfl- емкость подканальной области обеднения. Каждая из линий на рис. 5.106 определяет предельное значение концентрации в подложке N и соответствующую толщину скрытого канала XCD, которые при заданных Тох, Leff и Vd обеспечивают величину подпорогового 5-фактора ниже 90 мВ/дек (пунктирные линии) и сдвиг порогового напряжения AVt не выше 100 мВ (сплошные линии). Заштрихованная область на рис. 5.106 соответствует области допустимых значений XCD и Nsub при заданных значениях параметров физической структуры и ограничениях на величину ^-фактора и AVr Для ВС-р- МОПТ с Leff=0,4 мкм, Тох = 12 нм и Vd = -2,0 В толщина скрытого каналаXCDпри Nsub = 1,5 • 1017 см3 не должна превышать 37 нм. Для случая L = 0,1 мкм, Тох = 4 нм и Vd — -2,0 В значения XCD и Nsub равны, соответственно, 4 нм и 2 1018 см3, реализовать которые Рис. 5.107. Соотношения между максимальной толщиной скрытого канала и эффективной длиной канала ВС-/7-МОПТ, обеспечивающие сдвиг порогового напряжения AVt менее 100 мВ и под- существующими методами, по- пороговый ^-фактор ниже 90 мВ/дек видимому, невозможно. Требования к толщине скрытого канала XCD могут быть снижены при уменьшении толщины подзатворного окисла Тох, повышении порогового напряжения Vt или увеличении длины канала Lejr Допустимые соотношения между указанными параметрами иллюстрируются рис. 5.107, 5.108. Из рисунков видно, что минимальная длина канала ВС-МОПТ сильно зависит от толщины скрытого канала XCD. Уменьшение толщины подзатворного окисла Тох и повышение порогового напряжения V позволяет расширить допустимый диапазон толщины скрытого канала. Однако уменьшение Тох может привести к увеличению тока утечки подзатворного окисла и снижению его надежности, а повышение Vt — к уменьшению тока стока.
5.4. р-каналъные МОПТсо скрытым каналом Поэтому оптимизация параметров глубокосубмикронных ВС-МОПТ при заданных требованиях к короткоканальным характеристикам должна осуществляться с учетом нагрузочной способности и надежности приборов. 5.4.2. Особенности легирования бором скрытого канала р-МОПТ методом ионной имплантации Как следует из вышеизложенного, для обеспечения удовлетворительных короткоканальных характеристик, снижения порогового напряжения и повышения крутизны /?-МОПТ с затвором я+-типа необходимо формировать скрытый канал с малой глубиной залегания /?-я-перехода (Х} < 100 нм) и воспроизводимым распределением концентрации примеси. С этой целью используют различные методы имплантации ионов малых энергий [75, 76] или высокомолекулярных соединений (В10Н14)[77], предварительную аморфизирующую имплантацию ионов кремния или гер- 0.07 008 ?,°.оь W S 0.04 й io.03 Q и X 0.02 0.01 000 0 ■ Тох =4нм / / S'S* • AVt < 100м В / / У/ • 8<90мВ/дек / '' / ' Vds=-2,0B /''./ / * .' * //■'/ Vt=-0,6B //•'/ Vt=-0,5B /'/ Vt=-0,4B //■/ Vt=-0,3B //.у' " F 0 O.t 0.2 0.3 04 05 Lefr ( mkm ) Рис. 5.108. Соотношения между максимальной толщиной скрытого канала и эффективной длиной канала ВС-р-МОПТ, обеспечивающие сдвиг порогового напряжения AVt менее 100 мВ и подпороговый ^-фактор ниже 90 мВ/дек для различных значений Vt !0lf ^ m s Концентрация q 101* ( 1 ■♦- После имплантации 1 ♦ m После окисления 1 ш ф:т После отжига в печи ) 0.1 0 2 Глубина (мкм ) Рис. 5.109. Распределение концентрации бора в кармане ВС-/?-МОПТ, полученное ВИМС-методом, после имплантации, после выращивания подзатворного окисла и после отжига в печи Рис. 5.110. Сравнение распределения концентрации бора в/ья-кармане ВС-/7-МОПТ, сформированного ионной имплантацией мышьяка с последующим быстрым отжигом 900° С (о о о) и распределение бора в кремнии без имплантации мышьяка после отжига в печи (• •)
№Г416 Глава 5. Формирование области канала субмикронных МОПТ Табл. 5.5. Глубина диффузии и максимальная концентрация бора в скрытом канале после имплантации As и отжига г,°с Xdif, мкм W,max> CM"3 В л-кармане Отжиг в печи 750 800 900 1000 -0,18 -0,18 -0,16 -0,14 -0,14 7-Ю17 7-10'7 91017 1,2-1018 1,4-Ю18 Без я-кармане Отжиг в печи -0,15 1,2-1018 мания [78, 79], низкотемпературное эпитаксиальное наращивание тонких слоев кремния в области канала [80]. В случае легирования канала методом ионной имплантации бора для уменьшения термического бюджета необходимо использовать быстрый термический отжиг. Так, при формировании скрытого канала/?-МОПТ с длиной затвора Lg = 0,15—0,16 мкм в работах [81, 82] использовали имплантацию ионов BF2 с энергией 10—15 кэВ и термический отжиг при температуре 1000°С в течение 10 с. Для МОПТ с длиной затвора Lg = 0,3 мкм имплантацию ионов BF2 производили с энергией Е = 25 кэВ, а отжиг при температуре 950°С в течение 15 с [83]. Исследование влияния технологических процессов изготовления/?-МОПТ на перераспределение бора и результирующие параметры скрытого канала выявило определяющую роль эффекта нестационарной ускоренной диффузии [84]. В данном случае возникновение TED-эффекта в процессе отжига обусловлено быстрой релаксацией избыточной концентрации межузельных атомов, созданных высокоэнергетич- ной имплантацией ионов мышьяка при формировании области кармана. На рис. 5.109 представлены распределения концентрации бора в «-кармане, полученные непосредственно после имплантации, после фор- ю» I -♦- RTA 1000-С 1 -•- RTA ЧОО'С _ 1 -^, RTA 800'С <? (I -i -*- RTA 730X § iO^irfE&i w s я «j нтр Sio» lAlfr Увеличение^^ температуры MflL>. 10"" ,m 0 0.1 0.2 Глубина (мкм) Рис. 5.111. Зависимость распределения концентрации бора в скрытом канале от температуры быстрого отжига (60 с) после ионной имплантации мышьяка в «-карман ВС-/?-МОПТ Рис. 5.112. Распределение кон центрации мышьяка в крем нии непосредственно после мирования подза- ионной имплантации, после творного окисла отжига в печи (• • •) и быстро- (750°С, 6 нм) и по- го отжига (1000 °С, 60 с) (о о о) сле отжига сток-
5.4. р-канальные МОПТ со скрытым каналом истоковых областей в печи при температуре 800°С [84]. Можно видеть, что перераспределение бора происходит, в основном, при создании подзатвор- ного окисла. С другой стороны, при исключении имплантации мышьяка в «-карман распределение концентрации бора в кремнии после отжига в печи идентично распределению бора в «-кармане при использовании быстрого отжига имплантированного мышьяка (рис. 5.110). Таким образом, перераспределение бора в скрытом канале определяется, главным образом, TED- эффектом, инициированным имплантацией ионов мышьяка в «-карман. При этом с повышением температуры быстрого отжига происходит перераспределение бора, обусловленное TED-эффектом, а отношение максимальной концентрации примеси Namax к поверхностной Ns — увеличивается (рис. 5.111). В табл. 5.5 приведены оценочные значения максимальной концентрации Namax и глубины диффузии бора Хшр определяемой на уровне концентрации 5 • 1016см3. о ^-0 2 ^•0.4 -0 8 ^ Без RTA ! 1.1 1.6 1.4 1.2 0.8 0.6 ^-ч 7 Я О "о ^—• X 2 кз £ 7» МО 900 RTA (°С) Рис. 5.113. Зависимость длинноканально- го порогового напряжения и максимальной концентрации бора в скрытом канале (D = 8 • 1012 см2) ВС-/7-МОПТ от температуры быстрого отжига после ионной имплантации мышьяка в область кармана (Тох = 6 нм) RTA-10004C 0.2 0.3 Leff ( МКМ ) Рис. 5.114. Сравнение пороговых напряжений в короткоканальной области ВС-р- МОПТ с и без быстрого отжига области кармана после ионной имплантации мышьяка Следует отметить, что распределение мышьяка в //-кармане изменяется незначительно как с использованием быстрого отжига, так и при отжиге в печи (рис. 5.112), что объясняется малой долей компоненты диффузии мышьяка, зависящей от концентрации межузельных атомов (см. раздел 4.3.3). На рис. 5.113 представлены зависимости длинноканального порогового напряжения от температуры быстрого отжига для /^-канальных приборов с затвором я+-типа, которые изготовлены по технологии, соответствующей минимальному размеру 0,18 мкм (Тох = 6 нм). Видно, что значения порогового напряжения снижаются по абсолютной величине при использовании быстрого отжига, достигая насыщения при температуре выше 900°С. При этом отмечается повышение воспроизводимости порогового напряжения с ростом температуры отжига. Сравнение влияния быстрого отжига и отжига в печи на зависимости Vt(Lg) /7-МОПТ представлено на рис. 5.114. Благодаря уменьшению глубины диффузии бора и отношения NJNamax длинноканальное пороговое напряжение ВС-/7-МОПТ при использовании быстрого отжига ниже, чем при отжиге в печи. Однако величина сдвига порогового напряжения А ^ при снижении длины затвора Lg до 0,18 мкм остается неизменной из-за более высокой концентрации бора во встроенном канале относительно образцов, отжигаемых в печи.Вследствие указанных особенностей
шЛ 18 Глава 5. Формирование области канала субмикронныхМОПТ перераспределения бора при использовании быстрого отжига отмечается также возрастание максимальной крутизны ВС-/ьМОПТ. Кроме того, благодаря снижению сегрегации бора на границе Si-Si02 повышается надежность подзатворного окисла. Таким образом, использование быстрого отжига после ионной имплантации мышьяка в область кармана позволяет исключить влияние TED-эффекта на перераспределение бора в скрытом канале и благодаря этому обеспечить улучшение характеристик глубокосубмикронных ВС-/?-МОПТ 5.4.3. Методы формирования скрытого канала ВС-р-МОПТ J9 \\г\ -после имплант ации Лп- после отжига .14 ю .0 0.2 0.4 Глубина (мкм ) Для улучшения характеристик глубокосубмикронных ВС-/7-МОПТ необходимо уменьшать толщину встроенного канала. Среди технологических методов создания мелкозалегающих слоев, помимо имплантации ионов бора и BF2 низких энергий, следует выделить такие методы, как имплантация ионов с большой атомной или молекулярной массой (индий, В10Н14), эпитаксиальное наращивание тонких слоев кремния, имплантация бора в предварительно аморфизированный кремний. Ионная имплантация индия. На рис. 5.115 приведены распределения концентрации индия в кремнии после имплантации (Е = 60 кэВ, D = 1,2 • 1013см2, Тох= 200 Е) и после отжига при температуре 900°С в течение 30 мин [85]. Для сравнения приведено также результирующее распределение концентрации бора, полученное с использованием имплантации BF2 (Е = 40 кэВ, D = 6-Ю12 см2). Как видно, после отжига плотность атомов индия в слое скрытого канала значительно снизилась вследствие высокого коэффициента сегрегации на границе Si-Si02 (см. раздел 5.2.4). На рис. 5.116 приведены экспериментальные зависимости от напряжения на затворе ^тока стока и крутизны длин- ноканальных р-МОПТ (Тох = 5 нм) со скрытым каналом, созданным имплантацией ионов BF2 (BF2-MOnT) и индия (In-МОПТ). Приборы имеют одинаковые пороговое напряжение Vt = 0,95 В и крутизну. Несмотря на равенство токов Рис. 5.115. Распределения концентрации стока, в рабочем режиме наблюдается индия в кремнии, полученные ВИМС- значительное различие тока в подпоро- методом, непосредственно после ионной говой области. Как видно из сравнения имплантации (D= 1,2-10" см\Е = 60 кэВ, ны приборов, в области малых Т = 200 А) и после отжига сток-истоковых областей ВС-р-МОПТ (900 °С, 30 мин), напряжении на затворе подвижность Для сравнения приведено распределение носителей в канале не ухудшается при концентрации бора (BF2, D = 6 • 1012 см2, использовании ионной имплантации Е = 40 КэВ) после отжига. Концентрация индия. Однако подпороговый ^-фактор мышьяка в кремнии 1,51012 см: BF2-MOnT (85 мВ/дек) ниже, чем для
5.4. р-канальные МОПТсо скрытым каналом г Г /' In^/ ,' . Ы24--+: 1 1 / 1^' /гк ; ^—* 7 ^Ч- V0S»-0.1B | W=s15mkm ! Цд»15мкм 0.5 8 Р .9 1.2 1.5 1.8 2.1 2.4 2.7 3.0 -Vgs(B) Рис. 5.116. Зависимости тока стока и максимальной крутизны от напряжения на затворе ВС-/?-МОПТ со скрытым каналом, легированным бором (D = 6-Ю12 см 2, Е = 40 КэВ) и индием (D = 1,4 • 10'3 см2, £=60КэВ) 1.001 0.95 0Q w0.90 0.85 0.80 0 * ^ff" * In 1.4x1013см*2, 60кэВ % Ж? a BF, 6x10 см , 40кэВ S\ . !4 * ° Si > в О .1.1.1.1.1.1,1.1.1. 6.0 5-0 « 4.0 II on 'I 3.0 „ 2.0 ^ i 1.0 X ■o .0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8 2.0 Leff (мкм ) Рис. 5.117. Зависимости порогового напряжения Vt и тока стока Idsat от эффективной длины канала ВС-/7-МОПТ со скрытым каналом, легированным индием и бором (Гох = 5 нм, W= 15 мкм). Большие символы соответствуют Vt(Leff), малые символы — Al,satWeff) In-МОПТ (S = 95 мВ/дек), причем различие увеличивается в режиме слабой инверсии. Необычный вид зависимости подпорогового тока от напряжения на затворе объясняется вымораживанием носителей, обусловленым относительно высокой энергией ионизации атомов индия в кремнии (ЕА = 0,16 эВ), вследствие чего в режиме обеднения канала атомы индия ионизованы полностью, в то время как в режиме инверсии—лишь частично. Влияние изменения степени электрической активации индия проявляется в увеличении подпорогового 5-фактора In-МОПТ на 10-20 мВ/дек в сравнении с BF2-MOnT [85]. На рис. 5.117 приведены зависимости порогового напряжения и тока стока от эффективной длины канала для In-МОПТ и BF2-MOnT Видно, что для зависимости Vt(LeJj) In-МОПТ характерно проявление умеренного обратного коротко- канального эффекта. В результате при одинаковом токе стока обоих транзисто- 10*°! •As ,ШкэВ ,2.1012см-2(950С,10с) *BF2,5ioB, 2.1013см-2 л oB,oH14,5k3B,2-1012cm-2 10* • As ,120кэВ ,2-1612см^(950Яс,1 Ос) ОВ10Н14,ЗкэВ,2.1012см-^1000^,10с) о В10Н14,ЗкэВ,2-1012см"1950^,10с) а) 10 20 30 40 Глубина (нм) б) 10 20 30 40 S0 Глубина (нм) 60 Рис. 5.118. Распределения концентрации бора в скрытом канале ВС-/?-МОПТ, полученные ВИМС-методом, после ионной имплантации BF2 и В10Н|4 (а), после высокотемпературного отжига (1000°С, 15 с) (а) и низкотемпературного (950°С, 10 с) отжига (б)
|f420 Глава 5. Формирование области канала субмикронных МОПТ •1.4 •1.2 ^ -1 PQ Ч>.4 •0.2 0 0 -♦-В10Н14(1000оС, 10с) --♦•В10Н14(950оС, 10с) ***~ Эпитаксиальны й канал • 7 Л о— f»—Zlm —t—I ' .1 0.3 0.5 0.7 г Leff(MKM) ров минимально допустимая длина канала In-МОПТ (L = 0,25 мкм) на 0,1 мкм меньше, чем у BF2-MOnT. Ионная имплантация В10Н14. Глубокосубмикронные ВС-р- МОПТ должны иметь пороговое напряжение в пределах -0,2...-0,4 В. Для обеспечения удовлетворительных короткоканальных характеристик и снижения порогового напряжения до указанного уровня скрытый канал дол- Рис. 5.119. Зависимости порогового напряжения жен быть сильнолегированным Vt от эффективной длины канала ВС-/7-МОПТ, (]у (\ 2) • 1018 см3) а глубина скрытый канал которого сформирован имплан- знания ^перехода' не превы- тациеи В1ПН14, имплантацией BF. с предваритель- -л лл ГА01 _ , „ 2 *- г шать 20—30 нм [681. Такие параной аморфизациеи кремния и эпитаксиальным L J y наращиванием кремния (Гох = 4 нм) метРы скрытого канала для глу- бокосубмикронных ВС-/?-МОПТ могут быть получены ионной имплантацией В10Н14 и эпитаксиальным наращиванием кремния. Однако в первом случае сохраняется обычная последовательность операций изготовления ИС. На рис. 5.118 приведены распределения концентрации бора в области скрытого канала непосредственно после ионной имплантации BF2h В10Н14, после высокотемпературного (1000°С, 15 с) и низкотемпературного (950°С, 10 с) отжига [86]. Из приведенных распределений видно, что расчетные значения концентрации 1,5 • 1018 см3 и глубины залегания /?-я-перехода 20 нм могут быть получены лишь с использованием имплантации ионов В10Н14 с энергией 3 кэВ и быстрого отжига при температуре 950°С в течение 10 с. На рис. 5.119 приведена зависимость Vt(Le//) для приборов, скрытый канал которых создан имплантацией В10Н14, имплантацией BF2 с использованием предварительной аморфи- зации кремния, а также эпитаксиальным наращиванием слоя кремния толщиной 0,01—0,04 мкм [82]. Из представленных зависимостей видно, что наименьший сдвиг порогового напряжения достигнут при использовании ионной имплантации В10Н14 При этом пороговое напряжение ВС-/7-МОПТ значительно ниже в Рис. 5.120. Ток стока /dsat ВС-/?-МОПТ со случае низкотемпературного отжига скрытым каналом, сформированным ион- имплантированных слоев, обеспечи- ной имплантацией В10Н14 с последующим вающего минимальную глубину зале- быстрым отжигом при низкой (950°С) и вы- гания /?-л-перехода скрытого канала, сокой (1000°С) температуре (Гох = 4 нм) л *>с и*4£Э ^ 1 °'2 2 0.15 ч»^ 03 о 0.1 В о 0.05 н 0 0 • •1 .*- юоо°с, Юс -#~950°С , Юс Vds=Vgs=-l,8B ^**^ ; • 1 , - ■^^^ ' \ 0.3 0.5 0.7 Leff(MKM)
5.4. р-канальные МОПТсо скрытым каналом -1—I As* ■н-н- Селективная эпитаксия Подзатворный окисел Спейсер (БСС) I—с >' Затвор (n+'Sf*) L ^ ^ч ;- ___Л I В результате эти приборы имеют более высокий ток стока (рис. 5.120). Формирование скрытого канала методом селективного эпитаксиального наращивания кремния. Альтернативой процессу создания тонкого (<30 нм) и сильнолегированного ((0,5—1,0)-1019 см3) скрытого канала методом имплантации может быть эпитаксиальное наращивание легированных слоев кремния при низкой температуре (~600°С) [4,80,82,87]. Последовательность основных операций изготовления ВС-/?-МОПТ со скрытым каналом на основе легированного эпитаксиального слоя представлена на рис. 5.121. После создания боковой диэлектрической изоляции осуществляется ионная имплантациямышьякадля формирования PTS-слоя. Селективное эпитаксиальное наращивание кремния в области канала осуществляется в ультравысоком вакууме с использованием SiH4 и В2Н6. Скорость роста слоя кремния не превышала 1,5 нм/мин [4]. При изготовлении ВС-МОПТ с длиной затвора L =0,15 мкм концентрация бора в эпитаксиальном слое составляла НО19 см-3, а толщина подзатворного окисла 2,8 нм. На рис. 5.122 приведены распределения концентрации примесей в эпи- таксиальной структуре области канала, а также для сравнения—распределения примесей в структурах, полученных методами ионной имплантации BF2 (15 кэВ, 2-1013 см2) и диффузией из боросиликатного стекла (БСС). В первом случае глубина залеганияр-п- перехода скрытого канала равнялась 17 нм, а поверхностная концентрация бора 1 • 1019 см3. Во втором и третьем случаях глубина залегания р-n -перехода выше, а поверхностная концентрация ниже указанных значений; поверхностная концентрация снижалась в этих случаях для того, чтобы глубина залегания /?-я-перехода не превышала 20 и 38 нм, соответственно. На рис. 5.123 приведены зависимости Vt(Lg) для ВС-/7-МОПТ с указанными вариантами структуры канала. Длинноканальное пороговое напряжение МОПТ с эпитаксиальным скрытым каналом при постоянном уровне легиро- Рис. 5.121. Последовательность основных операций изготовления ВС-/?-МОПТ с эпитаксиальным скрытым каналом 20 40 Глубина (нм) Имплантация BF2 15кэВ,2-Ю13см-2 20 40 Глубина (нм) Рис. 5.122. Сравнение распределений концентрации бора в скрытом канале ВС-р- МОПТ, сформированном эпитаксиальным наращиванием кремния (я), диффузией из БСС (б) и ионной имплантацией BF2 (в)
urf 22 Глава 5. Формирование области канала субмикронных МОПТ -3.0 -2.0 PQ •1.0 >~ 0.0 1.0 2.0 •• •• 1 оо оо ,,.! ,1,11, II, 1^ , j, , , Толщина эпитаксиального \ слоя: • ••• Онм \ ОООО 7,5нм j а^..^»^10нм | 1 J4 ** f *BF2 дДлА12,5нм 0.1 0.4 1.0 Lg (мкм) 4.0 200 ^150 PQ ~100 о н 1 ел 0 0. А а ■ 8 1 д П О Дд ДДЛД аа ааао во о оо© ' Эпитаксиальны и слои: 0 Онм О 7,5нм О Юнм Л 12,5нм 0.4 1 L (мкм ) Рис. 5.123. Зависимости порогового на- Рис. 5.124. Зависимости подпорогового пряжения от длины затвора ВС-/7-МОПТ ^-фактора от длины затвора ВС-/ьМОПТ для различных условий формирования с различной толщиной эпитаксиального скрытого канала (Гох = 2,8 нм) слоя в области канала вания эпитаксиального слоя определяется его толщиной и изменяется от -1,2В при Тох =7,5 нм до -0,5 В при Тох = 10 нм. При этом зависимости Vt(Lg) характеризуются незначительным спадом порогового напряжения вплоть до длины затвора 0,15 мкм. В то же время для МОПТ с ионно-имплантированным скрытым каналом значительный сдвиг наблюдается уже при 0,3 мкм. Управление величиной порогового напряжения ВС-/7-МОПТ с эпитаксиальным каналом осуществляется выбором концентрации бора и толщины эпитаксиального слоя. В случае ВС-/?-М0ПТ с имплантированным и диффузионным (БСС) каналом управление величиной порогового напряжения является более сложной задачей, так как поверхностная концентрация и глубина диффузии бора являются взаимозависимыми параметрами. Оптимальное значение толщины эпитаксиального слоя скрытого канала Тф определяется по результатам анализа зависимостей порогового напряжения, подпорогового ^-фактора и максимальной крутизны МОПТ от длины затвора. Из рис. 5.123 следует, что значению Vt = —0,4В для МОПТ с длиной затвора Lg =0,15 мкм соответствует толщина эпитаксиального слоя 10 нм, а при уменьшении толщины слоя пороговое напряжение возрастает. Однако с увеличением толщины эпитаксиального слоя выше 10 нм значение подпорогового 5-фактора возрастает до 150 мВ/дек (рис. 5.124), так как эффективная емкость между затвором и проводящим каналом Рис. 5.125. Зависимости максимальной становится меньше. С другой стороны, крутизны ВС-/7-МОПТ от длины затвора максимальная крутизна ВС-р-МОШ ВС-р-МОПТ с различной толщиной эпи- также возрастает с увеличением толщи- таксиального слоя в области канала ны эпитаксиального слоя (рис. 5.125), 10 3 S S S и Ы) 10 2 0. :„ О Онм ■Эпитаксиаль-/-% 7 ,„.. ный слой: w /'->нм D Юнм Д 12,5нм б • о § £б д 9о°а . в2о : wm .' 1 1 1 Lg(мкм)
5.4. р-канальные МОПТ со скрытым каналом Alt но при Тох > 10 нм ее повышение незначительно. Таким образом, в данном случае для ВС-МОПТ (Lg = 0,15 мкм, Тох= 2,8 нм) значение толщины эпитак- сиального слоя 7^ = 10 нм обеспечивает требуемое значение Vt = -0,4В, приемлемое значение подпорогового 5-фактора и максимальную крутизну qm =310 мОм/ мм. Ток стока в режиме насыщения ВС- МОПТ с указанными параметрами равен 0,6 мА/мкм. Из изложенного следует, что применение эпитаксиального наращивания тонких легированных слоев кремния для формирования скрытого канала глубокосубмикронных МОПТ с улуч- Рис. 5.126. Последовательность операций шенными короткоканальными харак- изготовления /ьМОПТ со скрытым кана- теристиками достаточно эффективно. лом> сформированным последовательным г\гг„~„~ х, „„„^г ~ ™т,™^*^ ™,™™, „^ эпитаксиальным наращиванием легиро- Однако в связи с высоким уровнем ле- * * ванного и нелегированного слоев кремния гирования эпитаксиальных слоев с поверхностной концентрацией бора — (0,5 — 1,0) • 1019см3 — подвижность носителей в канале ВС-/ьМОПТ из-за рассеяния на атомах примеси невысока. Кроме того, если эпитаксиальное наращивание одновременно осуществляется на область канала «-МОПТ (при изготовлении КМОП ИС), то пороговое напряжение этих приборов будет недопустимо высоким, а подвижность носителей в канале низкой. С другой стороны, сообщалось, что наращивание нелегированного эпитаксиального слоя на область канала «-МОПТ обеспечивает уменьшение порогового напряжения и повышение подвижности носителей в канале [19]. В работе [82] исследованы характеристики ВС-/ьМОПТ, в которых область канала сформирована последовательным наращиванием легированного и нелегированного эпитаксиальных слоев. Основные операции изготовления подобных ВС-/ьМОПТ представлены на рис. 5.126. В область канала проводится имплантация фосфора для создания PTS-слоя, затем осуществляется эпитаксиальное наращивание легированного (Тох = 10 нм, N = 2,4 • 1018 см3), а затем нелегированного слоев при температуре 570°С с использованием SiH4 и В2Н6. На рис. 5.127 представлено распределение концентрации атомов бора в области канала, полученное ВИМС-методом. Максимальная концентрация бора после отжига сток-истоковых областей (1000°С, 10 с) равна 2,4 • 1018 см3. На рис. 5.128, 5.129 приведены зависимости порогового напряжения от Leff и максимальной крутизны от (Vg — V) при толщине подза- творного окисла 5,8 нм. Как видно, с увеличением толщины нелегированного эпитаксиального слоя наблюдается значительное снижение порогового напряжения и увеличение крутизны ВС-/ьМОПТ. Влияние эпитаксиальных слоев на сдвиг порогового напряжения в короткоканальной области и максимальную крутизну ВС-/7-МОПТ иллюстрирует сравнение с характеристиками SC-/?-MOnT с нелегированным эпитаксиальным слоем, а также с характеристиками обычных Имплантация фосфора Селективная Нел$гированный эпитаксия услои_ L.ii **^ Легированны^*-» n„J Легированный слой Подзатворный окисел 5,5нм .WSix поликремнии
^24 Глава 5. Формирование области канала субмикронных МОПТ 10'». §1017 сЗ X 1019 I '■ г г 0.00 1 * 1 • 1 » 1 1,2-101 1 . !| «см"3 1 1 " 0.02 0.04 0.06 008 Глубина (мкм ) 1 1 i | |1Г| о ю -2 •1.5 /—V w .1 -0.5 0 Vd=-0,1B °^ -*~••• ■■••• #.... ••• *** Ж * —-О—Онм ■••# -20 нм - -А- -40нм ■ 0 1 1 Leff(MKM ) ~ - •«, - Рис. 5.127. Распределение концентрации бора в скрытом канале ВС-/?-МОПТ после эпитаксиального наращивания (ВИМС- метод) Рис. 5.128. Зависимости порогового напряжения от длины канала ВС-/?-МОГТТ при различной толщине нелигированного эпитаксиального слоя (Т = 5,8 нм). ВС-р-МОТТТ и SC-p-MOTVT. Условия формирования области канала приборов представлены в табл. 5.6. Из сравнения приведенных на рис. 5.130, 5.131 результатов следует, что характеристики сдвига порогового напряжения AVt(Lcff) р-МОТТТ с встроенным эпи- таксиальным каналом (BCepi) и с поверхностным каналом (SC, SCepi) сравнимы. С другой стороны, крутизна gm р-МОТТТ со скрытым каналом как с эпитаксиаль- ным слоем, так и без него, выше, чем у р-МОТТТ с поверхностным каналом. Таким образом, в глубокосубмикронной области размеров ВС-/ьМОПТ со структурой скрытого канала на основе двух эпитаксиальных слоев имеет наилучшее сочетание короткоканальных характеристик и нагрузочной способности. Это обеспечивается не только из-за увеличения подвижности носителей в канале благодаря использованию нелегированного эпитаксиального слоя, но также за счет увеличения расстояния между проводящим каналом и границей Si-Si02. Последний фактор подтверждается тем, что крутизна^/?-МОПТ с поверхностным эпитаксиаль- ным каналом (SCepi) ниже, чем у ВС-р-МОТТТ с эпитаксиальным слоем (BCepi). Табл. 5.6. Условия формирования области канала ВС-/?-МОПТ с короткоканальными характеристиками, приведенными на рис. 5.128, 5.129 Тип канала ВС ВС SCepi SC Имплантация в область канала Р, £=80кэВ, /)=2- 10,3см-2 BF2, Е= 10 кэВ, D = 6 • 1012 см2 Р, £=80кэВ, Z>=8-10I2cm-2 As, E= 100 кэВ, D = 2 • 1013 см 2 Р, £=120кэВ, /) = 4- 1012см"2 As, £=100кэВ, Z>=8- 1012см-2 Р, £=120кэВ,1) = 4- 10,2см-2 Эпитаксиальный слой Нелегированный 0,20 нм, 30 нм, 40 нм — 20 нм, 40 нм — Легированный 7Veni = 2,4-10,8CM-3 10 нм — — ~
5.4. р-канальные МОПТсо скрытым каналом Имплантация бора в предварительно аморфизированный кремний. Применение предварительной аморфизи- рующей имплантации (СР — channel preamorphization) ионов кремния для уменьшения толщины встроенного канала ВС-МОПТ позволяет улучшать короткоканальные характеристики приборов [88, 89, 90]. Было показано, что подвижность носителей в канале при этом не снижается [89]. Основные ограничения использования СР при изготовлении ВС-/ьМОПТ обусловлены возможным влиянием структурного совершенства рекристаллизованного кремния на ток утечки /?-я-переходов и качество подзатворного окисла. В работе [90] это влияние предварительной 400 § 300 и Й 200 100 h 0 -1.5 1 1 Lg = 0,16MKM L Vd=-2,0B Vd=-0,1B V УШ|"Ь -*l*« » +• *ттт9" | г ••••<—• 40нм А 20нм Онм * *. ^vv. «J 1 -„Глп»^-. 1 V, •05 0 Ч(В) 05 Рис. 5.129. Зависимости максимальной крутизны ВС-/7-МОПТ от эффективного напряжения на затворе (Vg - Vt) при различной толщине нелегированного эпи- таксиального слоя аморфизации выполнено при изготовлении ВС-/?-МОПТ с длиной затвора 0,25 мкм. Предварительная аморфизация осуществлялась имплантацией ионов кремния (Е = 180 кэВ, D = 2-Ю15 см-2) через окисел толщиной Тох= 15 нм в PTS-область, легированную мышьяком; скрытый канал формировался имплантацией BF2 (E = 25 кэВ); толщина подзатворного окисла составляла Тох= 5 нм. Постимплантационный отжиг производили при температуре 950°С в течение 15 с. Исследование влияния предварительной аморфизирующей имплантации на подзатворный окисел проводилось с использованием МОП-конденсаторов площадью 200 х 200 мкм2. Дефектными считались те конденсаторы, у которых ток утечки при напряжении 6 В (Есг = 12 мВ/см) превышал 1 мА. Исследуемые конденсаторы имели три варианта конструкции: вариант (а), в котором подзатворный окисел -0.2 0 ^0.2 0.6 0.8 1 • •« i vd = -2,0В // Тип канала: Vt (B^ [0 —о—Встроенный „ - 1 03 ' 1 эпитаксиальныи I •••^..•Индуцированный п А(\ I эпитаксиальныи ~ и'^и | . ...fyn Встроенный „ - 0,69" ( имплантированный 1 • - j> - -Индуцированный п ч\ J имплантированный - ",-« 0.1 т , ч 1 Leff (мкм) 400 g 300 У 200 §> 100 h ■ ци.н.рш, и, Ч щ . Vd = -2,0B 0.1 Leff (МКМ) Рис. 5.130. Зависимости сдвига порогового напряжения AVt от длины канала p-MOUT с различной структурой области канала Рис. 5.131. Зависимости максимальной крутизны gm от длины канала /ьМОПТ с различной структурой канала. Значения символов идентичны указанным на рис. 5.130
426 Глава 5. Формирование области канала субмикронных МОПТ не имеет общей границы с толстым окислом; варианты (б) и (в) имеют общую границу, причем в варианте (в) ее длина в 50 раз больше, чем в варианте (б). Обнаружено, что выход годных МОП-конденсаторов, изготовленных без использования предварительной аморфизации, снижается с увеличением протяженности границы подзатворного и толстого окислов. В то же время в случае применения процесса СР по- Рис. 5.132. Сравнение выхода годных добная корреляция отсутствует (рис. МОП-конденсаторовтипа(я),(6)и(*)(см.в 5.132). Обнаружено также, что надеж- тексте), изготовленных с использованием ТТЛЛГМ /ттлт>чэ\ ~ ™ ,.~™ 7' „ ность (TDDB) подзатворного окисла в предварительной аморфизации кремния и ,,^,х без нее. Напряжение на затворе равно 6 В конденсаторах типа (Ь) и (в) ниже, чем в конденсаторах типа (а), в которых отсутствует общая граница подзатворного и толстого окислов. Использование процесса СР улучшает надежность подзатворного окисла в образцах типа (б) и (в), в то время как надежность подзатворного окисла в образцах типа (а) остается высокой как до, так и после процесса СР. Из приведенных данных следует, что источники низкого качества и деградации подзатворного окисла расположены на границе с толстым окислом и они подавляются в результате применения процесса СР. Аморфизирующая имплантация ионов кремния с последующим рекристалли- зационным отжигом приводит к образованию остаточных дефектов вблизи исходной границы аморфизации [78, 79, 88, 91]. Геттерирующее действие остаточных дефектов, созданных аморфизирующей имплантацией, приводит к улучшению качества подзатворного окисла. Более низкая надежность подзатворного окисла в конденсаторах типа (б) и (в) объясняется высаживанием металлических примесей в напряженных участках вдоль границы с толстым окислом [90]. Исследование дефектности /?-я-переходов сток-истоковых областей ВС-р- МОПТ с предварительной аморфизирующей имплантацией скрытого канала производилось на сток-истоковых диодах площадью 100x100 мкм. Диоды имели 25 контактных окон размером 0,4 х 0,4 мкм, поэтому глубина залегания /?-я-перехода в них (0,2 мкм) была больше, чем за их пределами (0,08 мкм). Как видно на рис. 5.133, ток утечки диодов, созданных с использованием процесса аморфизации (тип (а)), выше, чем без него (тип (б)). С ростом обратного напряжения отношение токов утечки диодов (типа (а) и типа (б)) возрастает с 10 (при Uo6 = 1 В) до 100 (при Uo6 = 3 В). Полученные результаты объясняются влиянием остаточных им- Рис. 5.133. Сравнение токов утечки плантационных дефектов на исходной /?-л-переходов, сформированных с ис- границе аморфизированного слоя, ко- пользованием предварительной аморфиза- торые действуют в качестве генераци- ции кремния (а) и без нее (б) онных центр0В) когда они ПОПадают -100 ^-^ X 3 1 50 1 3 в 0 С аморфизацией ^^**v X. Без аморфизации I I I а) б) в) Тип конденсатора ю- §10'° н ю-" с I I I I I I ^ ) 1 2 Напряжение (В) Л л 3
5.4. р-каналъные МОПТсо скрытым каналом в область обеднения /?-я-перехода. На рис. 5.134 приведены зависимости тока утечки от обратного напряжения диодов типа (а), отличающихся количеством контактных окон: в диодах первого типа число контактных окон равно 25, в диодах второго типа - 4851. Отношение токов утечки диодов при обратном напряжении менее 1В приблизительно равно отношению суммарных площадей контактных окон. Это означает, что ток утечки диодов при Uo6< IB связан с ю- ою 10" Тип 2 —- 0 12 3 Напряжение (В) Рис. 5.134. Зависимости токов утечки от обратного напряжения диодов с числом контактных окон 25 (тип 1) и 4851 (тип 2), глубокими /ья-переходами в пределах изготовленных с использованием пред- контактных окон, а ток утечки, связанный с мелкими (LDD) ^-«-переходами, относительно мал. Полученные результаты можно объяснить, если считать, что остаточные дефекты на исходной границе аморфизированного слоя находятся в слое обеднения /ья-перехода в пределах площади контактных окон, а за пределами этой площади область обеднения мелкозалегающего /ья-перехода не захватывает остаточные дефекты. Это варительной аморфизации кремния Поверхность кремния _ V - Металлургический переход - \ г- ■■■■■ - а) Край области ДефеКТЫ-*77о7Л«Уоо777 °&Д"ения —- б) Край области обеднения V Рис. 5.135. Схематическое изображение распространения края области обеднения /?-/7-переходов первого и второго типов при иллюстрируется рис. 5.135, на котором низком {а) и ВЫСОКом (б) обратном напря- линия (а) обозначает границу области жении смещения обеднения при обратном напряжении на/?-я-переходе менее 1 В. В этом случае отношение токов утечки диодов первого и второго типов высокое. При увеличении обратного напряжения в область обеднения попадают остаточные дефекты как в пределах, так и вне площади контактных окон (линия (б) на рис. 5.135): в этом случае отношение токов утечки диодов становится равным 1. Из полученных в данной работе результатов следует, что ток утечки /?-я-переходов ВС-/ьМОПТ, сформированных с использованием предварительной аморфизирующей имплантации ионов кремния, будет уменьшаться при увеличении глубины аморфизации подложки и при уменьшении глубины залегания /?-я-переходов. Таким образом, использование аморфизирующей имплантации кремния для формирования мелкозалегающих слоев скрытого канала /ьМОПТ не приводит к ухудшению качества подзатворного окисла, кроме того, при соответствующих режимах имплантации возможно снижение тока утечки сток-истоковых р-п- переходов. Исследование влияния предварительной аморфизирующей имплантации кремния на надежность ВС-/?-МОПТ (Lg = 0,25 мкм) показало, что применение аморфизирующей имплантации приводит к повышению надежности ВС-р- МОПТ. Так, для приборов с длиной затвора 0,3 мкм длительность стресса, приводящего к изменению порогового напряжения на 10 мВ, увеличивается в сравнении с контрольными приборами в пять раз. Обнаружено также, что повышение на-
^28 Глава 5. Формирование области канала субмикронных МОПТ дежности ВС-/7-МОПТ при использовании предварительной аморфизирующей имплантации возрастает с уменьшением длины затвора. Так, для МОПТ с длиной затвора 0,2 мкм допустимая длительность электрического стресса увеличивается на порядок. Наблюдаемое повышение надежности ВС-/?-МОПТ объясняется улучшением качества границы Si-Si02 и/или подзатворного окисла. Не исключается также возможное влияние различий в распределении бора в скрытом канале, обусловленных особенностями перераспределения примеси в процессе рекристаллизации аморфизированных слоев кремния. 5.4.4. Латеральное легирование PTS-областей ВС-р-МОПТ Как следует из модели порогового напряжения ВС-/?-МОПТ, его короткока- нальные характеристики и емкость /?-я-переходов зависят от параметров PTS- области. Управление параметрами PTS-области, помимо выбора условий обычной (вертикальной) ионной имплантации, возможно с использованием наклонной ионной имплантации аналогично концепции латерального легирования области канала [92]. Показано, что этот метод позволяет обеспечить для ВС-р-МОПТ с длиной затвора 0,35 мкм высокую стойкость к смыканию сток-истоковых областей обеднения и высокую нагрузочную способность приборов без ухудшения емкости и напряжения пробоя /ья-переходов. Детальное исследование влияния латерального легирования PTS-областей на характеристики ВС-/ьМОПТ представлено в работе [93]. В табл. 5.7 приведены условия ионной имплантации областей кармана, канала и PTS-областей МОПТ с длиной затвора 0,3 мкм (Тох = 1 нм). С обычной структурой ВС-/7-МОПТ сравниваются структуры, в которых использована наклонная имплантация для создания PTS-областей: в первой структуре PTS-область формируется одной наклонной имплантацией (TI-PTS - tilt implanted PTS), во второй — PTS-область создается с использованием вертикальной и наклонной имплантации до и после формирования поликремниевого затвора, соответственно (DA-PTS — Double arsenic PTS). Постимплантационный отжиг осуществляли при температуре 750°С. Доза вертикальной ионной имплантации мышьяка в структурах DA-PTS в 2,5 раза ниже, чем в обычной структуре, поэтому ширина области обедне- Табл. 5.7. Условия имплантации областей кармана, канала и PTS-областей Карман Канал Наклонная имплантация (30°С) Сток-истоковые области Обычная структура TI-PTS DA-PTS RE= 800 кэВ, D= 3-1013 см Л Р.Е= 300 кэВ, D= 6-1012 см 2 BF2: E = 30 кэВ, D=1*1013cm-2; As:E= 180 кэВ, D=1-1013cm-2; - As: E = 30 кэВ, D = 410,2cm-2; As:E= 180 кэВ, D = 610,2cm-2 BF2: E = 30 кэВ, D = 7-1012cm-2; As:E=180k3B, D = 4-1012cm-2; As:E=180k3B, D = 6-1012cm-2 1 BF2 = 30кэВ, D= 2-Ю13см2, BF2= 30кэВ, D= 1,5-1015см2
5.4. р-канальные МОПТ со скрытым каналом 429 j| -0.8 -0.7 ■05 ■- 7нм j**Zt*+ П^Г 10 Lg (мкм) Рис. 5.136. Зависимости порогового на- Рис. 5.137. Зависимость DIBL-эффекта от пряжения от длины затвора ВС-/?-МОПТ с длины затвора ВС-р-МОПТ с различной различной структурой области канала: (•) структурой области канала: (•) DAPTS; DA-PTS; (о) TI-PTS; (А) обычная (о) TI-PTS; (А) обычная 0.6 S «и .0.2 Vd = -2,5B ч1 Lg (мкм) 0.3 с- |0.4 ^ 0 J •о 0.2 10 Lg =0,3мкм V 9 У ' " 10-Ю ю-9 ю-8 ю-? 10-6 ifr5 ю-» Ioff (А/мкм) Рис. 5.138. Зависимости тока стока в режиме насыщения от длины затвора ВС- /ьМОПТ с различной структурой области канала: (•) DA-PTS; (о) TI-PTS; (A) обычная Рис. 5.139. Взаимосвязь между током стока в режиме насыщения /d sat и током закрытого состояния /off ВС-/7-МОПТ с различной структурой области канала: (•) DA-PTS; (о) TI-PTS; (А) обычная ния /?-я-переходов в центральной части скрытого канала в этих структурах соответствен-но больше. На рис. 5.136 приведены зависимости V/LJ для ВС-/7-МОПТ с различной структурой области канала. Пороговое напряжение ВС-/7-МОПТ с обычной структурой монотонно снижается при уменьшении длины затвора, в то время как для структур TI-PTS и DA-PTS наблюдается обратный короткоканальный эффект, обусловленный латеральной неоднородностью концентрации примеси в PTS-области. Сдвиг порогового напряжения при увеличении напряжения на стоке (DIBL-эффект) в области малых значений Lg у ВС-/?-МОПТ со структурой канала типа TI-PTS значительно выше, чем у приборов с обычной или DA-PTS- структурой, что объясняется недостаточной концентрацией примеси в PTS-слое в центральной части области канала (рис. 5.137). Благодаря более низкой, чем у обычного транзистора, концентрации мышьяка в PTS-области ток стока Idsat МОПТ со структурой DA-PTS выше, несмотря на более высокое пороговое напряжение (рис. 5.138). Таким образом, структура МОПТ, сочетающая вертикальное и латеральное легирование PTS-области, обеспечивает наилучшие коротко-
'430 Глава 5. Формирование области канала субмикронных МОПТ канальные характеристики без ухудшения нагрузочной способности. Кроме того, ВС-р-МОПТ со структурой канала типа DA-PTS характеризуется более низким током стока в закрытом состоянии Iojr Как видно из приведенных на рис. 5.139 зависимостей, величина тока /0#ВС-/?-МОПТ со структурой DA-PTS при заданном токе стока в режиме насыщения на порядок ниже, чем у обычного транзистора. В дополнение к указанным преимуществам новая структура ВС-/?-МОПТ обеспечивает снижение емкости ^-«-перехода скрытого канала («16%), вследствие чего уменьшается влияние напряжения на подложке на величину порогового напряжения. 5.5. МОПТ с областью канала «канавочного» типа В рассмотренной в разделе 4.8.8. структуре МОПТ с «приподнятыми» сток- истоковыми областями улучшение короткоканальных характеристик обеспечивается благодаря уменьшению эффективной глубины залегания р-п- переходов. Альтернативным методом снижения эффективной глубины залегания /ья-переходов является использование непланарной структуры области канала «канавочного» типа (Recessed Channel) [94 -99] (рис. 5.140). В подобной структуре глубина залегания р-п- перехода может быть положительной, равной нулю или отрицательной в зависимости от положения донной части сток-истоковых областей относительно дна канавки. Другой особенностью рассматриваемой структуры МОПТ является латеральная неоднородность легирования области канала вблизи края LOCOS-окисла. Рис. 5.140. Поперечное сечение я-МОПТ с областью канала «канавочного» типа Рис. 5.141. Последовательность операций изготовления я-МОПТ с областью канала «канавочного» типа: а) формирование удаляемых спейсеров из нитрида кремния и локальное окисление области канала; б) удаление нитридных спейсеров и ионная имплантация в LDD-области; в) формирование спейсеров из нитрида кремния, удаление окисла с области канала, выращивание подзатворного окисла, формирование поликремниевого затвора; г) вскрытие сток-истоковых областей, ионная имплантация мышьяка
5.5. МОПТ с областью канала «канавочного» типа 423 Благодаря снижению эффективной глубины залегания сток-истоковых р-п- переходов, а также геометрическому фактору, приводящему к уменьшению резкости /?-я-перехода, при использовании структуры области канала «канавочного» типа обеспечивается снижение сдвига порогового напряжения AVt(Lg) и DIBL- эффекта AVt(V). Очевидно, что из-за геометрического фактора должны ухудшаться ток стока и подпороговые характеристики МОПТ аналогично тому, как это происходит в цилиндрическом МОПТ [100]. Однако в МОПТ с областью канала «канавочного» типа указанные ухудшения Idsat и подпорогового 5-фактора компенсируются благодаря латеральной неоднородности легирования области канала [99]. Таким образом, применение структуры области канала «канавочного» типа позволяет независимо улучшать как короткоканальные характеристики ((AVt(Lg), AVt(Vd)), так и подпороговый 5-фактор, обеспечивая при этом более высокую максимальную крутизну в сравнении с планарным МОПТ [99]. Кроме того, как ясно из изложенного, подобная конструкция МОПТ позволяет обеспечивать повышенную устойчивость МОПТ к воздействию горячих носителей при одновременном снижении Б1ВЬ-эффекта[18]. Однако возможность использования структуры области канала «канавочного» типа существенно ограничивается, если процесс формирования «канавки» не характеризуется малой дисперсией глубины травления и высокой точностью совмещения со сток-истоковыми областями [111]. Поэтому при изготовлении таких транзисторов используют принцип самосовмещения, а для обеспечения воспроизводимости глубины канавки применяют метод окисления кремния с последующим удалением окисла. В работе [96] предложена конструкция МОПТ (рис. 5.140), в которой реализация указанных условий основана на применении удаляемых ни- тридных спейсеров. Важной особенностью подобной конструкции МОПТ является возможность формирования области канала, длина которой значительно меньше минимального топологического размера. Последовательность основных операций изготовления МОПТ с областью канала «канавочного» типа представлена на рис. 5.141 [97]. В окисле толщиной 100 нм вскрывается окно, по периметру которого формируется временный спей- сер из нитрида кремния толщиной 150 нм. В сформированной таким образом области канала локальным окислением формируется окисел толщиной 50 нм (рис. 5.141я), временный нитридный спейсер удаляют в ортофосфорной кислоте, а в образовавшееся окно осуществляют имплантацию мышьяка (10 кэВ, 5 • 1014 см2) для легирования LDD- областей (рис. 5.1416). Затем вновь формируют нитридный спейсер и вытравливают окисел с области ка- рис. 5.142. Зависимости порогового напря- нала, в результате чего образуется жения и DIBL-эффекта от длины канала утопленная (recessed) структура об- «-МОПТ с областью канала «канавочного» ласти канала. Удаление окисла про- типа (-п-О-) в сравнении с обычным л-МОПТ изводят реактивно-ионным трав- (-■-•-) (^ох = 4 нм) ^ 0.5 о II |о.4 о II 0.2 «0.1 £ ° 9 0 0.1 0.2 0.3 0.4 0.5 Leff ( MKM ) О.в 0 110 да 100 о 90 ^ 80 ± 70 <=Г во " 50 > 40 ^ 30 « 20 5 10 ^ 9 3
^32 Глава 5. Формирование области канала субмикронных МОПТ лением с обеспечением условий, предотвращающих повреждение поверхности кремния, которые могут привести к дефектам подзатворного окисла. После этого проводят ионную имплантацию для формирования PTS-области (бор, 45 кэВ, 4 • 1012 см2) и подгонки порогового напряжения (BF2, 90 кэВ, 6 • 1012 см2), создают подзатворный окисел (Тох = 4 нм) и формируют поликремниевый затвор (рис. 5.141 в). Длина поликремниевого затвора превышала длину области канала на 0,2 мкм. На последнем этапе вскрывают окна для легирования сток-истоковых областей имплантацией мышьяка. Постимплантационный отжиг осуществляют при температуре 1050°С (10 с), при этом глубина залегания /?-я-перехода LDD- областей достигает 70 нм. В работах [97, 99] приведено исследование характеристик я-МОПТ с указанными параметрами структуры в диапазоне значений Lejr= 0,1 — 1,0 мкм. На рис. 5.142 для вышеописанной и обычной структур МОПТ приведены зависимости Vt(Leg) и сдвига порогового напряжения A Vt(Le^ при изменении напряжения на стоке от 0,1 В до 2,2 В (DLBL-эффект) [99]. Благодаря меньшей эффективной глубине залегания /?-я-переходов LDD-областей минимально допустимое значение Leff для МОПТ с областью канала «канавочного» типа составило 0,12 мкм, в то время как для обычного МОПТ — 0,18 мкм. Величина сдвига порогового напряжения AVt(Vd =0,1 — 2,2В) рассматриваемого МОПТ при Lejf = 0,12 мкм не превышает 40 мВ, что объясняется снижением напряженности электрического поля на стоковом /?-я-переходе. Подпороговый ^-фактор при указанном значении /,е#равен 82 мВ/дек. Наряду с хорошими короткоканальными характеристиками рассматриваемая конструкция МОПТ характеризуется высокой крутизной gm = 446 мСм/мкм (Vd = 2,0 В) и током стока в режиме насыщения Idsat = 620 мкА/мкм (Leff& 0,1 мкм) [97]. Кроме того, благодаря латеральной неоднородности легирования области канала отмечается уменьшение тока подложки и повышение надежности МОПТ [95]. ЛИТЕРАТУРА 1. A.G.Levis, J.Y.Chen «Current Trends in MOS Process Integration»in VLSI Electronics Microstructure Science» 1989, v. 18, ch.2, p.39-117 2. S.Ogura et al, IEEE Trans.Electron Devices ED-27, 1359(1980). 3. M.Saito et al, «0.15-мт RF CMOS Technology Compatible with Logic CMOS for Low- Voltage Operation» IEEE Trans.Electron Devices, v.45, N.3, p.737, March 1998. 4. S.Ogura et al, «0.15-мт Buried-Channel p-MOSFETs with Ultrathin Boron-Doped Epitaxial Si Layer» IEEE Trans.Electron Devices, v.45, N.3, p.717,March 1998. 5. TOchial et al, «2,5 Gb/S 1:8 DEMUX 1С Composed of 0,15-мт Single gate CMOS » IEICE Trans.Electron, V.E82-C, N3, p.498-503, 1999. 6. G.G.Shahidi et al, «Electron velocity overshoot at room and liquid nitrogen temperatures in silicon inversion layers,»IEEE Trans.Electron Devices, v.9, p.94, Feb. 1988. 7. K.Yamaguchi et al, «A new short-channel MOSFET with an atomic-layer-doping impurity profile» Jpn. JAppl.Phys., v.22, pp.267-270, 1983. 8. J.B.Jacobs and D.Antoniadis, «Channel profile engineering for MOSFET's with 100 nm channel lengths» IEEE Trans.Electron Devices, v.42, p.870, 1995. 9. TOhgura et al., «Undoped epitaxial Si channel n-MOSFET grown by UHV-CVD with preheating» IEEE Trans.Electron Devices, v.45, p.710, 1998.
Литература 4Ъ$ 10. М.Rodder et al., «A scaled 1.8 V, 0.18 Mm gate length CMOS technology: Device design and reliability considerations» in 1EDM Tech.Dig., p.415,1995. 11. B.Agrawal et al., «Device parameter optimization for reduced short channel effects in retrograde doping MOSFET's» IEEE Trans.Electron Devices, v.43, p.365, 1996. 12. A.Hori et al., «A 0. l-мт CMOS with a step channel profile formed by ultrahigh vacuum CVD and in situ doped Si» IEDM Tech..Dig, p.909-911, 1993. 13. C.Wann et al., «A Comparative Study of Advanced MOSFET Concepts» IEEE Trans. Electron Devices, v.43, N.10, p. 1742, oct.1996. 14. S.Maetal., «Comparison ofDeep-Submicrometer Conventional and retrograde n- MOSFET's» IEEE Trans.Electron Devices, v.47, N8, p. 1573, Aug.2000. 15. RGwoziecki et al., «Optimization of V(h Roll-Off in MOSFET's with Advanced Channel Architecture-Retrograde Doping and Pockets» IEEE Trans.Electron Devices, v.46, N7, p. 1551, July 1999. 16. K.Suzuki, «Short Channel Epi-MOSFET Model» IEEE Trans.Electron Devices, v.47, N.12, p.2372, December 2000. 17. Зи С, «Физика полупроводниковых приборов, кн.2, Пер.с англ., 2-ое изд.-М., Мир, 1998; Гл.8, МОП-транзисторы, 5-93. 18. Lui Z., et al., «Thershold Voltage Model for Deep-Submicrometer MOSFET's» IEEE Trans. Electron Devices, v.40, N1, pp.86-95, 1993. 19. RGwoziecki et al., «Jmproved understanding and optimizrtion of 0,18 Mm CMOS technology with retrograde channel and pockets » in Proc. ESSDERC Conf, p.352—355, 1998. 20. MAoki et al., «Design and performance of 0.1 -мт CMOS devices using low-impurity channel transistors»IEEE Electron Device Lett., v. 13, p.50, Jan. 1992. 21. S.E.Thompson et al., «Linear versus Saturated Drive Current: Tradeoffs in Super Steep Retrograde Well Engineering» Symp. on VLSI Technology Digest of Technical Papers, p. 154, 1996. 22. W.Chang et al., «A high-performsnce 0.25 мт CMOS technology: 1-Design and characterization» IEEE Trans.Electron Devices, v.39, pp.959—966, Apr. 1992. 23. M.Ono et al., «Sub-50 nm gate length N MOSFET with 10 nm phosphorus source and drain junctions» Int.Electron Devices Meet. Tech. Dig., pp.119—122, 1993. 24. K.Noda et al., «0.1 мт delta-doped MOSFET using post-energy implanting selective epitaxy» VLSI Symp. on VLSI Technology Digest of Technical Papers, pp. 19-20, 1994. 25. M.Rodder et al., «A study of design/process dependence of 0.25 мт gate lengh CMOS for improved performance and reliability» Int.Electron Devices Meet. Tech. Dig., pp.71—74, 1994. 26. T.Skotnicki et al., «The voltage-doping transformation: A new approach to the modeling of MOSFET short-channel effects» IEEE Electron Device Lett., v.9, pp.109-112, Mar.1988. 27. T.Skotnicki et al., «A new analog/digital CAD model for sub-halfmicron MOSFET's» IEDM Tech. Dig., pp. 165-168, 1994. 28. T.Skotnicki et al., «Electrical performances of retrograde versus conventional profiles MOSFET's» Digest Symp. VLSI Technology , pp.-152-153, 1996. 29. H. Koura, «Optimum Conditions of Body Effect Factor and Substrate Bias in Variable Threshold Voltage MOSFET's» Jpn. J. Appl.Phys., v.39, part 1, N4B, pp.2312-2317, Apr.2000. 30. PK.Ko, VLSI Electronics: Microstructure Science. New York: Academic, v. 18, p.25, 1989. 31. M.Rodder et al., «A sub-0.18 мт gate lengh CMOS technology for high performance (1.5V) and low power (1.0V)» Int.Electron Devices Meet. Tech. Dig., p.563, 1996. 32. S.Takagi et al., «On the universality of inversion layer mobility in Si MOSFET's: Part I-Effects of substrate impurty concentration» IEEE Trans. Electron Devices, v.41,p.2357, Dec. 1994. 33. H.Shin et al., «Physically-based models for effective mobility and local-field mobility of electrons in MOS inversion layers» Solid-State Electron., v.34, p545, 1991. 34. D.M.Caughey et al., «Carrier mobilities in silicon empirically related to doping and field» Proc.IEEE,v.52,p.2192, 1967.
434 Глава 5. Формирование области канала субмикронных МОПТ 35. D.Vasileska et al., «Scaled silicon MOSFET's: Universal mobility behavior» IEEE Trans. Electron Devices, v.44, p.577, Mar. 1997. 36. N.M.Darwish et al., «An improved electron and hole mobility model for general purpose device simulation» IEEE Trans.Electron Devices, v.44, p. 1529, 1997. 37. S.Villaetal.,«Aphysically-basedmodeloftheeffectivemobilityinheavily-dopedn-MOSFET's» IEEE Trans.Electron Devices, v.45, p.l 10, Jan.1998. 38. J.R.Brews, «Carrier-density fluctuations and the IGFET mobility near threshhold» Jpn J.Appl. Phys.,v.46,p.2193, 1975. 39. S.AHareland et al., «A computationally efficient model for inversion layer quantization effects in deep submicron n-channel MOSFET's» IEEE Trans. Electron Devices, v.43, p.90, Jan. 1996. 40. S.Venkatesan et al., «Device drive current degradation observed with retrograde channel profiles» IEDM 95, p.419. 41. PBouillon et al., «Re-examination of Indium implantation for a low power 0.1 Mm technology» IEDM95,p.897. 42. TScotnicki et al., «Channel engineering by Heavy Ion Implants» in Proc. ESSDERC Conf, p.670-674. 43. M.Cao et al., «Transient-Entanced Diffusion of Indium and its Effects on Electrical Characteristics of Deep Sub-Micron nMOSFET's» Symp. on VLSI Technology Digest of Technical Papers, p.85, 1997. 44. I.C.Kizilyalli et al., «Diffusion parameters of indium for silicon process modeling» Jpn.JAppl. Phvs.. v.80(9), p.4944, Nov. 1996. 45. RG.Woziecki et al., «Smart pockets-total suppression of roll-off and roll-up» VLSI Technology Symposium ,Kyoto,Japan, 7B-2, June 14-th-16-th, 1999,. 46. S.Kubicek et al., in Proc. ESSDERC Conf, p.369,1998, 47. I.O.Borland «Advanced Ion Implantation Brings New Changes» Semicond. Intern., №4, p.74, 2001. 48. YVPonomarev et al., «Channel Profile Engineering of 0.1 Mm Si MOSFETs by Through-the- Gate Implantation» IEEE IEDM, Tech Dig, p.635, 1998. 49. U.Schwalke et al., «Dual-Workfunction Gate Engineering in a Corner Parasitics-Free Shallow- Trench-Isolation Complementary-Metal-Oxide-Semiconductor Technology» Jpn.J.Appl. Phys., v.38, part 1,№4B, p.2232-2237, Apr. 1999. 50. M.Minami et al., «A 6.93 Mm2 Full CMOS SRAM Cell Technology for 1.8-V High- Performance Cache Memory» IEICE Trans.Electron., V.E80-C, №4, p590, Apr. 1997 51. AChen et al., «A Study of Boron Doping Profile Control for a Low Vt Device Used in the Advanced Low Power, High Speed Mixed-Signal 1С» Advanc. Semicond. Manuf. Conf, p.423, 1998. 52. TOhguro et al., «The influence of oxygen at epitaxial Si/Si substrate for 0.1- Mm epitaxial Si channel n-MOSFET's grown by UHV-CVD» Symposium VLSI Technology Dig., pp. 21- 22,1995. 53. S.Fiegna et al.,«Scaling the MOS transistor below 0.1- Mm: Methodology, device structures, and technology requirements» IEEE Trans.Electron Devices, v.41, pp.941—951, 1994. 54. S.Fiegna et al.,«Application of semiclassical device simulation to trade-off studies for sub-0.1- мт MOSFET's» IEDM Technology Dig., pp.437-450,1994. 55. K.Noda et al., «A 0.1- Mm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy» IEEE Trans.Electron Devices, v.45, №4, p.809, Apr. 1998. 56. T.Hori, «A 0,1- jam CMOS technology with tilt-implanted punchthrough stopper (TIPS)» IEEE IEDM Tech.Dig., p.75 ,1994. 57. H.Chen etal., IEEE IEDM Tech.Dig., p.91 ,1994. 58. S.Thompson etal., «MOS Scalling: Transistor Challenges for the 21st Century» Intel Corporation at: http://developer.Inte!. Com/technology/itj/q31998/articles/art_3.htm.
ЛИТЕРАТУРА 43 5" 59. B.Yu et al., «Short-Channel Effect Improved by Lateral Channel-Engineering in Deep- Submicronmeter MOSFET's» IEEE Trans.Electron Devices, v.44, №4, p.627, Apr. 1997. 60. M.Bohr etal., IEDM Technology Dig., p.847,1996. 61. T.Hori et al., «Quarter-Micrometer SPI (Self-Aligned Pocket Implantation) MOSFET's and Its Application for low Supply Voltage Operation» IEEE Trans.Electron Devices, v.42, №1, p.78,Jan.l995. 62. K.Miyashita et al., «Optimized Halo Structure for 80 nm Physical Gate CMOS Technology with Indium and Antimony Highly Angled Ion Implantation» IEEE Internat. Electron Devices meeting, 27-2, Dec. 1999. 63. Y.Momiyama et al., «Indium Tilted Channel Implantation Technology for 60 nm n- MOSFET» VLSI Technology Symposium , Kyoto,Japan, 6A-2, June 14-th-16-th, 1999. 64. B.Yu et al., «50 nm Gate-legth CMOS Transistor with Super Halo:Design, Process, and Reliability» IEEE Internat. Electron Devices meeting , 27—4 , Dec. 1999. 65. J.ALopez-Villanueva et al., «Study of the effects of a stepped doping profile in short-channel MOSFET's» IEEE Trans.Electron Devices,v.44,p. 1425,Sept. 1997. 66. TOhguro et al., «An 0.18- Mm CMOS for Mixed Digital and Analog Applications with Zero- Volt-^ Epitaxial-Channel MOSFET's» IEEE Trans.Electron Devices, v.46, N7, p. 1378, Jul. 1999. 67. I.De et al., «Impact of Super-Steep-Retrograde Channel Doping Profiles on the Performance of Scaled Devices» IEEE Trans.Electron Devices, v.46, N8, p.1711, Aug.1999. 68. PShamarao et al., «A Study on Channel Design for 0.1-мт Buried p-Channel MOSFET's» IEEE Trans.Electron Devices, v.43, N11, p.1942, Nov.1996. 69. GJ.Hu et al., «Design trade-offs between surface and buried- channel FET's» IEEE Trans. Electron Devices, v.32, pp.584-589, 1985. 70. K.M.Cham et al., «Device design for the submicrometer p-channel FET with n+ polysilicon gate» IEEE Trans.Electron Devices, v.ED-31, p.964, 1984. 71. TYbshitomi et al., «Ultra-shallow buried-channel P-MOSFET with extremely high transconductance» Internat. Dig. VLSI Technology Symposium , pp.99—100,1993. 72. J.RPfiester et al., »An ultra-shallow buried-channel PMOST using boron penetration» IEEE Trans.Electron Devices, v.40, pp.207-213, 1993 73. TOhguro et al., «Tenth micron p-MOSFET's with ultra thin epitaxial channel layer growth by ultra-high-vacuum CVD» IEDM Technology Dig. , p.433 , 1993. 74. YLee et al., «Threshold Voltage Reduction Model for Buried Channel PMOSFET's Using Quasi-2-D Poisson Equation» IEEE Trans.Electron Devices, v.47, N12, p.2326, Desember 2000. 75. PLing et al., «A New Method for Making Shallow p-type Junctions» 98Tnternat., Conf. on Ion Implantation Proc, v.2, pp. 1175-1178, 1998. 76. E.Collart, «Characterization of low energy boron implants and electrical result of Submicron PMOS transistor» 98Tnternat, Conf. on Ion Implantation Proc, v.2, pp.905-908, 1998. 77. AG.Dirks et al., «Low-energy implantation of decaborane (B10H14) ion clusters in Silicon Wafers» 98Tnternat., Conf. on Ion Implantation Proc, v.2, pp. 1167-1170, 1998. 78. M.Miyake et al., «Properties of preamorphized and rapid thermal annealed shallow p+n junctions» J.Electrochem. Soc, v. 135, p.2872, 1988. 79. D.K.Sadana et al., «Germanium implantation into silicon», J.Electrochem. Soc, v. 131, p.943, 1984. 80. S.Shishiguchi et al., «Si selective epitaxial growth technology using UHV-CVD and its application to LSI fabrication» Microelectronic Device Thechnology Proc. SPIE, v.3212, p. 106. 81. TYoshitomi et al., «High performance 0.15 мт Single Gate Co Salicide CMOS» Dig. VLSI Technology Symposium of Technical Papers, 1996.
436 Глава 5. Формирование области канала субмикронных МОПТ 82. H.Matsuhashi et al., «High performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET» Dig. VLSI Technology Symposium of Technical Papers, 1996. 83. H.Inokawa et al., «Highly Robust 0,25 — Mm Single-poly-gate CMOS with Inter-well Deep Trenches» Symp. on VLSI Technology Digest of Technical Papers, p.218, 1996. 84. A.Furukawa et al., «Channel Profile Control Based on Transient-Enhanced-Diffusion Suppresion by RTA for 0,18-мт Single Gate CMOS» Dig. VLSI Technology Symposium of Technical Papers, p.87, 1997. 85. I.C.Kizilyalli et al., «n+-Polysilicon Gate PMOSFET's with Indium Doped Buried-Channels» IEEE Electron Device Letters, v.17, N2, p.46, Feb.,1996. 86. TTanaka et al., «Channel Engineering Using B10H14 Ion Implantation for Low Vth and High SCE Immunity of Buried- Channel PMOSFETs in 4-Gbit DRAMs and Beyond» Dig. VLSI Technology Symposium of Technical Papers, p.88,1998. 87. C.Fiegna et al., «A new scaling methodology for the 0.1-0.025 Mm MOSFET» VLSI Technology Symposium , pp.33—34, 1993. 88. M.Miyake et al., «Formation of shallow boron-doped layer channel doping using preamorphization» J.Electrochem. Soc, v. 137, p.2860, 1990. 89. M.Miyake et al., «Subquarter-micrometer gate-length p-channel MOSFET's with shallow boron-doped layer fabricated using channel preamorphization» IEEE Trans.Electron Devices, v.37,p.2007, 1990. 90. M.Miyake et al., «Characteristics of Buried -Channel pMOS Devices with Shallow -Counter- Doped Layers Fabricated Using Channel Preamorphization» IEEE Trans.Electron Devices, v.43, N3, p.444, Mar., 1996. 91. S.D.Brotherton et al., «Defects and leakage currents in BF2 implanted preamorphized silicon» JpnJAppl.Phys.,v.60,p3567, 1986. 92. G.Guegan et al., «A comparative study of three designs for sub half micron buried p-channel MOSFET» Essderc-95, p.325 93. J.Son et al., «New anti-punchthrough design for buried channel PMOSFET» in Proc. ESSDERC conf, p. 77, 1997. 94. WLee et al., «A new recessed channel Metal-Oxide-Semiconductor Field-effect transistor with selectively halo-doped channel and deep graded source/drain» JpnJAppl.Phys., v.33, part 1, NIB, pp.529-531, Jan., 1986. 95. S.Kimura et al., «A 0.1 Mm elevated source and drain MOSFET fabricated by phase-shifted lithography» IEDM'91 Tech.Dig., p.950, 1991. 96. J.Lyu et al., «A 0.1 Mm inverted-sidewall recessed-channel (ISRC) n MOSFET for high performance and reliability» IEDM-95, p.431. 97. J.Lyu et al., «A novel 0.1 Mm MOSFET structure with inverted sidewall and recessed channel» IEEE Electron Device Letters, v. 17, N4, p. 157, Apr., 1996. 98. PBricout et al., «Short-channel effect Immunity and current capability of sub-0.1-micron MOSFET's using a recessed channel» IEEE Trans.Electron Devices, v.43, N8, p. 1251, Aug., 1996. 99. J.Lyu et al., «Lateral channel doping Engineering in 0.1 Mm recessed channel MOSFET's» in Proc. ESSDERC conf., p. 51, 1996. lOO.K.Natori et al., IEEE Trans.Electron Devices, v.ED-25, N4, pp.448-456, 1978. lOl.M.Tao et al., «On the structure of the recessed channel MOSFET's for sub 0.1 Mm Si CMOS» Solid State Electronics, N.10, ppl805-1808, 2001.
ГЛАВА 6 ФОРМИРОВАНИЕ ЗАТВОРА СУБМИКРОННЫХ МОПТ 6.1. Введение Обычно при изготовлении субмикронных МОП-транзисторов используется двухслойная конструктивно-технологическая структура затвора: нижний слой — поликремний (поли-Si, или Si*) верхний — низкоомный слой силицида или слой чистого металла с соответствующей работой выхода. Постоянство работы выхода поликремния достигается его легированием до уровня предельной растворимости примеси, что позволяет также минимизировать толщину области обеднения носителями на границе mxnH-Si-Si02 в режиме сильной инверсии, наличие которой эквивалентно увеличению эффективной толщины подзатворного диэлектрика. Использование затворов п+- и /?+-типов позволяет получать КМОП-приборы с малыми и симметричными пороговыми напряжениями. Однако при масштабировании МОПТ использование поли-Si-затвора, р+- типа ограничено из-за проникновения бора из затвора в подзатворный окисел и в область канала, вследствие чего происходит обеднение затвора носителями, снижается надежность окисла и увеличиваются сдвиг и дисперсия порогового напряжения. Как правило, при выборе метода предотвращения действия этого эффекта необходимо принимать компромиссное решение. Проблема выбора усложняется, так как, с одной стороны, уменьшение толщины подзатворного окисла увеличивает вероятность проникновения бора в область канала, с другой — снижение термического бюджета, обусловленное необходимостью уменьшения глубины залегания сток-истоковых /?-я-переходов и сохранения распределения примеси в области канала, приводит к повышению роли обеднения затвора носителями. При уменьшении проектных норм МОПТ в субмикронную область сопротивление поликремниевого затвора Rg начинает определять время задержки переключения из-за роста компоненты задержки, обусловленного емкостью затвора (Сох - (Rg = Rs • WJLg, где Rs — поверхностное сопротивление, Wg и Lg —ширина и длина затвора, соответственно). Обычный метод уменьшения сопротивления поликремниевого затвора — формирование на его поверхности слоя силицида тугоплавкого металла. В этом случае, когда силицид формируют одновременно и самосовмещенно на затворе и сток-истоковых областях, структуру затвора называют салицидной (salicideiself— ligned silicide). Когда же слой силицида формируется на поликремнии до фотолитографии областей затвора, структуру затвора называют полицидной (polycide). Упомянутые структуры затвора МОПТ представлены на рис. 6.1. Принято Рис. 6.1. Структура поликремниевых, салицид- считать, что при масштабировании ных и полицидных затворов Si02
438 Глава 6. Формирование затвора субмикронных МОПТ МОПТ задержка переключения емкости затвора (Rg Cox) не должна приводить к увеличению времени задержки переключения КМОП инвертора более, чем на 20%. На рис. 6.2 приведена зависимость от поверхностного сопротивления затвора времени задержки переключения КМОП-инвертора td, нормированной к величине td0 инвертора с поверхностным сопротивлением затвора, равным нулю [1]. Как вид- Рис. 6.2. Расчетные зависимости времени за- но? использование поли-Si-затвора держки переключения КМОП-инвертора, в кМОП-приборах с длиной затво- нормированного к th инвертора с нулевым со- Л „ с ~ * зад F н у ра менее 0,45 мкм не обеспечивает противлением затвора, от поверхностного со- ™~ противления затвора. Стрелка указывает, что 20% минимума увеличения/d. при уменьшении длины затвора необходимо ис- ^ри использовании салицид- пользовать более тонкий слой силицида н°го затвора следует учитывать необходимость уменьшения толщины слоя силицида на поликремнии для предотвращения деградации сток-истоковых /?-я-переходов при снижении их глубины залегания Ц). Обусловленное этим фактором увеличение поверхностного сопротивления затвора ограничивает применение салицидной структуры для МОПТ с длиной затвора менее 0,15 мкм. При дальнейшем масштабировании МОПТ в качестве затворной структуры может использоваться полицид. Толщина слоя силицида в полицидной структуре не ограничивается глубиной залегания сток-истоковых /ья-переходов. Используют два метода формирования полицидных затворов. В первом - слой силицида поверх поликремния образуется в результате термического взаимодействия тугоплавкого металла и поликремния. К недостаткам этой структуры затвора относят возможность интенсивного перераспределения легирующей примеси из поли-Si в слой силицида, быстрой латеральной диффузии примеси в силициде, приводящей к частичной компенсации проводимости в затворе близлежащего комплементарного транзистора. Помимо повышения контактного сопротивления в структуре затвора это может привести к увеличению степени обеднения затвора носителями в режиме сильной инверсии. Полицидная структура другого типа формируется с использованием маг- нетронного распыления силицида или газофазным осаждением слоя силицида на поликремний, причем для исключения взаимодействия силицида и поли-Si между ними встраивается барьерный слой из нитрида тугоплавкого металла. Полицидная структура затвора может быть реализована и без барьерного слоя [2,3], но в этом случае необходимо существенно ограничить термический бюджет процессов изготовления КМОП-приборов. Для исключения этих недостатков, а также для снижения поверхностного сопротивления затворов в глубокосубми- кронных МОПТ используют затворы на основе двухслойной структуры поликремния и металла (обычно нитрида тугоплавкого металла). По мере масштабирования МОПТ, когда физическая толщина подзатворно- го окисла (Гох) достигает значений менее 3 нм, в качестве материала затвора ис- Полицид Салицид Поликремний Поверхностное сопротивление (Ом/п )
6.2. Поликремниевые затворы 439^ пользуют металлы с соответствующей работой выхода. Основным аргументом в пользу применения металлического затвора является необходимость снижения тока затвора при Тох <3 нм, что обеспечивается использованием альтернативных подзатворных диэлектриков с большой диэлектрической проницаемостью, не совместимых со слоями поликремния. 6.2. Поликремниевые затворы Формирование поликремниевых затворов для субмикронных КПОМ-приборов с длиной затвора Lg > 0,5 мкм не вызывает значительных проблем. При масштабировании МОПТ в суб-0,5-микронной области из-за ограничения температуры и длительности отжига сток-истоковых областей необходимо учитывать эффект обеднения затвора носителями на границе поли-81-8Ю2 в режиме сильной инверсии — PDE-эффект (Poly-depletion effect). При изготовлении КМОП-приборов с длиной канала менее 0,35 мкм для обеспечения короткоканальных характеристик/?-МОПТ используются затворы /?+-типа, поэтому необходимо принимать меры, предотвращающие проникновение атомов В из затвора через подзатворный окисел в область канала, вследствие чего значение порогового напряжения сдвигается в положительном направлении. Кроме того, атомы В компенсируют доноры в тонком приповерхностном слое кремния, что увеличивает подпороговый 5-фактор и приводит к дополнительному сдвигу порогового напряжения. Наличие атомов фтора в окисле способствует ускорению диффузии в нем бора [4—8]. Поэтому при одинаковых условиях отжига сдвиг порогового напряжения МОПТ, в котором легирование затвора осуществляется имплантацией ионов В, значительно меньше, чем при имплантации ионов BF2 [9—13]. Наличие водорода в камере отжига также ускоряет процесс проникновения бора в область канала [5, 6]. Таким образом, при выборе метода легирования поликремниевого затвора МОПТ необходимо, с одной стороны, получить высокую концентрацию ионизированных атомов примеси на границе поли-81-8Ю2 для минимизации PDE-эффекта, а с другой, — ограничить скорость диффузии бора в поликремнии, чтобы исключить его проникновение в область канала. Условия предотвращения проникновения бора в область канала и снижения PDE-эффекта являются антагонистическими. Поэтому важным направлением оптимизации технологии формирования поли-Si-затворов является соответствующий выбор режимов ионной имплантации и отжига, а также методов управления распределением примеси в слое поликремния. 6.2.1. Влияние обеднения носителями поликремниевого затвора на характеристики МОПТ Обеднение поли-8ьзатвора носителями в режиме инверсии возникает, когда концентрация ионизованных атомов примеси на границе mwm-Si-Si02 становится ниже уровня вырождения Si (< 5 • 1019см~3) вследствие выпадания примеси из твердого раствора при низкотемпературном режиме обработки пластин. Результатом снижения концентрации ионизированных атомов примеси в поли- Si-затворе является уменьшение емкости МОП-конденсатора в режиме инвер-
440 Глава 6. Формирование затвора субмикронных МОПТ ♦i ъ-ъ ^N Поликремний i \ Окисел .1ФР ф, Кремний ► сии [9, 10]. Это объясняется уменьшением напряжения в поли-8ьзатворе из-за образования слоя обеднения на границе с Si02 (рис. 6.3). Уменьшение емкости МОП- конденсатора может быть представлено как увеличение эффективной толщины окисла, и поэтому этот эффект приводит к снижению тока стока [11]. При заданной концентрации примеси в затворе уменьшение тока стока становится все более Рис. 6.3. Распределение потенциала значительным при уменьшении толщины в структуре поликремний-окисел- подзатворного окисла (Гох) и увеличении кремний концентрации примеси в области канала. Выражение для порогового напряжения МОПТ с учетом эффекта обеднения поликремниевого затвора имеет вид [12]: 2 ^ = K„ + 2q>/+^-+ 1 2ol (6.1) Первые три члена определяют пороговое напряжение МОПТ с поликремниевым затвором, легированным до уровня вырождения (N> 5 • 1019 см3), последний член характеризует падение напряжения фр в области обеднения затвора [13], где - ° Si—L. Когда поли-Si-затвор легирован до уровня вырождения, величи- С2 а 0.5 0.4 Т" -т—1 i пи на av > 50 и последний член выражения (6.1) равен нулю. В этом случае влияние эффекта обеднения на пороговое напряжение пренебрежимо мало. С понижением концентрации активированной примеси в затворе величина оси уменьшается, а пороговое напряжение возрастает. Так как плотность заряда в области обеднения канала (Qb) зависит от короткоканальных эффектов, то из выражения (6.1) следует, что сдвиг порогового напряжения AVt(Lg) при уменьшении длины затвора будет больше для приборов с обедненным затвором. Кроме того, при заданной концентрации носителей в затворе увеличение порогового напряжения, обусловленное эффектом обеднения, будет больше в приборах с меньшей толщиной подзатворного окисла. Таким образом, уменьшение Тох приводит к возрастанию влияния эффекта обеднения поликремниевого затвора [11]. На рис. 6.4 приведена зависимость порогового напряжения Vtp от концентрации активированой примеси в затворе для МОПТ с длиной затвора 0,35 мкм и Тох =7 нм, полученные с использованием выражения (6.1), и для трех значений кон- Рис. 6.4. Зависимость порогового напряжения центрации (5 • 1018; 1,6 • 1019 и 5 • 1019 МОПТ с поликремниевым затвором (Vtp) см-3) _ с использованием двумер- 0.7 ^ 0.6 п-МОПТ %\ р-МОПТ W/L= 10/0,35 мкм I > 1 1111 I I i „,t lit 1018 1019 Np(cm-3) 1020
6.2. Поликремниевые затворы 441 ного моделирования [12]. Как видно, при уменьшении концентрации примеси в поли-Si-затворе до уровня 5 • 1018 см3 пороговое напряжение возрастает на 17% и 14,5 % для р- и я-МОПТ, соответственно. Эффект обеднения поли-Si- затвора носителями в режиме инверсии приводит не только к повышению порогового напряжения, но и к снижению плотности носителей в области инверсии, в результате чего уменьшается ток стока МОПТ. Рис. 6.5. Расчетные выходные характеристи- На рис. 6.5 приведены эксперимен- ки /?-МОПТ для двух значений концентрации тальные выходные характеристики носителей в затворе. Npl = 0,5 • 1019 см 3 (ква- /ьканальных МОПТ с длиной затво- ДРатные символы), Np2 = 1,6 • 10'9 см3 (круглые ра 0,35 мкм и концентрацией приме- символы) си в затворе 1,6 • 1019 см3 (прибор А) и 0,5 • 1019 см2 (прибор В). Представлены также результаты расчета тока стока с использованием аналитической модели [12], в которой учитывали уменьшение плотности носителей в канале, возрастание порогового напряжения, увеличение паразитного сопротивления истока и стока и увеличение эффективной длины канала в приборах типа В в сравнении с приборами типа А. Видно, что при снижении Nло 0,5 • 1019 см3 и 1,6 • 1019 см-3 ток стока/ьМОПТ уменьшается более, чем на 30%. 6.2.2. Влияние микроструктуры поликремния на степень обеднения затвора носителями Известно, что эффективный коэффициент диффузии примесей в поликремнии зависит от его микрокристаллической структуры и размера зерен [7, 14, 15], что Рис. 6.6. Микрофотографии поверхности слоев поликремния после обработки втравителе SECCO и гистограммы распределения размеров зерен в образцах А, В, D
442 Глава 6. Формирование затвора субмикронных МОПТ Табл. 6.1. Технология формирования затворов с различным размером зерна. Образец А В С D Е Технологические операции LPCVD610°C,SiH4 LPCVD 530°С+680°С , 10 мин LPCVD 530°С+850°С , 20 мин LPCVD 530°С+610°С , 3 час LPCVD 530°С+ ионная имплантация фосфора или бора, 680°С, 10 мин определяется типом легирующей примеси, температурой осаждения слоя и температурой последующего отжига, во время которого продолжается изменение размера зерен. Перенос атомов некоторых примесей по границам зерен (сильно разупорядоченным областям) происходит со значительно более высоким коэффициентом диффузии, чем через объем зерен. На рис. 6.6 приведены полученные на РЭМ микрофотографии поверхности поли-Бьслоев толщиной 0,2 мкм, осажденных LPCVD-методом с использованием SiH4 [14]. Параметры процессов формирования поликремниевых слоев приведены в табл. 6.1. Поли-8ьслои, полученные осаждением аморфного кремния (a-Si) с последующей рекристаллизацией, состоят из почти произвольно ориентированных зерен (equi-axed-grain), размер которых зависит от режима отжига рекристаллизации. Образец А получен осаждением поли-Si при температуре 530°С с последующей кристаллизацией (680°С, 10 мин); средний размер зерен равен 0,052 мкм. Образец В получен осаждением a-Si при температуре (530°С) с последующей кристаллизацией (680°С, 10 мин); средний рамер зерен — 0,148 мкм. Образцы С и D получены осаждением аморфного кремния, но кристаллизация осуществлялась в режимах (850°С, 20 мин) и (610°С, 3 ч), соответственно; средний размер зерен — 0,184 мкм. Слои поли-Si типа А имеют колончатую микрокристаллическую структуру, а типа В и D — равновероятную кристаллографическую ориентацию зерен [15]. Чем больше размер зерен, тем меньше суммарная площадь межзеренных границ и меньше путей ускоренной диффузии атомов. В соответствии с этими особенностями структуры полислоев, эффективная скорость диффузии максимальна в образцах типа А и минимальна в образцах типа D. Как следствие проникновения бора в область канала, напряжение плоских зон (VFB) МОП- конденсатора в образцах А выше, чем в образцах D. В работе [14] исследовали влияние микроструктуры поликремния на характеристики КМОП-приборов, обусловленное уровнем концентрации примеси на границе mxjm-Si-Si02, т.е. эффектом обеднения затвора носителями. Подавление проникновения бора в область канала р-МОПТ обеспечивали нитрированием подзатворного окисла и ионной имплантацией азота в поликремниевый затвор. На рис. 6.7 представлена степень обеднения затвора Cinv/Cox для МОП- конденсаторов всех типов (А, В, С, D). Легирование поликремниевых затворов осуществляли одновременно с легированием сток-истоковых областей ионной имплантацией BF2 и As с последующим активационным отжигом. Легирование поликремниевых затворов осуществлялось имплантацией ионов BF2 или As одновременно с формированием сток-истоковых областей
6.2. Поликремниевые затворы 443^ МОПТ. На рис. 6.7 приведены также характеристики Cinv/Cox для образцов типа Е с дополнительной имплантацией бора или фосфора после осаждения слоя поликремния (размер зерен -0,15 мкм). Как видно, при однократном легировании поли-Si степень обеднения затвора п- и /?-МОП-конденсаторов тем меньше, чем меньше размер зерен. При двойном легировании затвора (тип Е, размер зерен 0,15 мкм) степень обеднения затвора я-МОПТ ниже, чем при однократном легировании поли-Si с малым размером зерен (тип А, размер зерен 0,05 мкм). При двойном легировании затвора /ьМОПТ картина обратная: степень обеднения затвора типа Е выше, чем типа А. Это различие между п- и ^-МОП-конденсаторами объясняется разной скоростью диффузии бора и мышьяка по границам зерен поликремния. В случае легирования поли-Si бором определяющую роль в эффекте обеднения играет более быстрая диффузия атомов по границам зерен. При легировании поликремния мышьяком и фосфором определяющим фактором является более высокая концентрация предельной растворимости, вследствие чего увеличение концентрации носителей с ростом концентрации примеси значительно выше в случае мышьяка, чем бора [16]. Из приведенных на рис. 6.7 СК-характеристик видно, что степень обеднения затвора носителями в образцах типа Е «-МОП- конденсатора снижается, благодаря дополнительному легированию, до 4%, в то время как вр-МОП-конденсаторах степень обеднения равна 20%. На рис. 6.8 показано влияние микроструктуры поли-Бьзатвора на пороговое напряжение МОПТ (Lg /W= 0,3/0,5 мкм). Как видно, для случая одновременного легирования затвора и сток-истоковых областей (образцы А, В, С и D) с увеличением размера зерен поликремния возрастает пороговое напряжение из-за увеличения степени обеднения затвора носителями в режиме инверсии, а также увеличивается его дисперсия, что обусловлено сравнимостью размеров зерен поли-Si (0,15— 0,18 мкм) и затвора (LJW= 0,3/0,5 мкм). Микроструктура поликремниевого за- твора может влиять и на характеристики вре- •3.0 -2.0 -1.0 0.0 1.0 2.0 3.0-3.0-2.0 -1.0 0.0 1.0 2.0 3.0 V.(B) V(B) а) б) Рис.6.7. Сравнение степени обеднения CinJCm затвора, полученной измерением квадратических СУ-характеристик МОП-конденсаторов с поликремниевыми слоями типа A,B,D и Е 1.1 5 °-9 II °-8 к-0.7 во-5 >~0-4 0.3 0.2 -1.1 ©"■О-в II -0.8 >°-0.7 "-0.6 в-0.5 >~-0.4 -0.3 -0.2 i i i i i - г п-МОП 52 образца^ г L/W = 0,3/0,5мкм S.Hi > j : i i i i i А В С D Е а) Г < ill 1 ; 1 52 образца т Т -; Г т * I 1 г { 1 L 1 1 Г т р-МОП ? ) [ * L/W = 0,3/0,5мкм ] : i lit i з ABODE б) Рис.6.8. Влияние микроструктуры по- ликремниевого затвора п- и /?-МОПТ на пороговое напряжение
|fa44 Глава 6. Формирование затвора субмикронных МОПТ мязависимого пробоя окисла МОП-структур. В частности, при длительной рекристаллизации поли-Si (610 °С, 3 ч) плотность заряда (QBD) уменьшается более, чем на два порядка. Такое значительное ухудшение надежности подзатворного окисла обусловлено образованием «слабых» мест в окисле из-за воздействия механических напряжений, создаваемых во время роста зерен больших размеров. 150 S 10° К « Э 03 ;>- 50 0 0 с ! ! ! д с кЛ f X ч_ п I 0 1x10 4\ 15 15 £- ^к. 1 А / * / / t А \ 9 ,х> >-LJ 5х1015 ^ 1хЮ16 Доза бора (1/см2) 6.2.3. Снижение степени проникновения бора из затвора в область канала ионной имплантацией азота Для снижения степени проникновения В из поли-Si-затвора в область канала (в дальнейшем эффект проникновения бора) широко используются методы нитрирования подзатворного окисла (см.раздел 3.5, ч.1). Однако их применение приводит к уменьшению подвижности носителей в инверсионном слое и сдвигу порогового напряжения из-за высокой концентрации атомов азота на границе Si-Si02. Кроме того, эти методы реализуются с использованием термических процессов с относительно высокой температурой, что ограничивает возможности применения ретроградного распределения примеси в области канала. Для предотвращения проникновения бора предложен альтернативный метод ионной имплантации азота в поликремний, исключающий дополнительную термическую обработку [17—20]. Энергия имплантируемых ионов азота выбирается в пределах 10—25 кэВ, чтобы максимум распределения азота располагался вблизи поверхности по- ликремния. Тем самым устраняются условия возникновения импланта- ционных дефектов в подзатворном окисном слое. На рис. 6.9 представлена зависимость величины сдвига напряжения плоских зон VFB от дозы ионов бора при имплантации в поликремний, в который предварительно имплантированы ионы азота [20]. Толщина слоя поликремния в образцах МОП- конденсаторов равна 6 нм, энергия Рис. 6.9. Зависимости сдвига напряжения плоских зон МОП-конденсатора от дозы имплантации ионов бора и азота в поликремниевый затвор 1.0 0.9 §0.8 ^0.7 0.6 05 0 ± -с ¥ j j/ j х У / —ш S- } т .—" rrf Ь- 1 о О U -» -а оза 1 4 азо 0 х1( та \\$ х10,а \ 5хЮ15 1х1016 Доза бора (1/см2) Рис. 6.10. Зависимость емкости в режиме инверсии, нормализованной к емкости окисла, для МОП-конденсаторов с различной дозой имплантации ионов бора и азота в поликремниевый затвор; температура отжига 850°С (20 мин)
6.2. Поликремниевые затворы 44$" s? 99 §90 Я 5 50 1Ш о и 8 4 - - - I - 1 1 S 1 §0.5 н Ц0.1 ^ "* 0.01 А л д , ,,,,., А Л ff // в У Жш J& ж А % ы ■• • ■ Доза азота: А 0 • 1х1015 В 4х1015 0.1 1 10 Qbd(IOi/cm2) ионов азота 10 кэВ. Активационный отжиг выполнялся при температуре 850°С (20 мин) в среде азота. Как видно, сдвиг VFB, вызываемый проникновением бора через подзатвор- ный окисел в область канала, полностью подавляется в диапазоне доз имплантации азота <8- 1015см-2. На рис. 6.10 представлена зависимость емкости МОП-конденсатора в режиме инверсии (нормализованной к величине емкости в режиме обогащения) от дозы имплантации бора в поликремний для образцов, указанных на рис. 6.9. С увеличением дозы имплантации бора емкость МОП- конденсатора возрастает из-за уменьшения степени обеднения затвора носителями. Из рисунка видно, что при дозе бора в диапазоне 5 • 1015 см2 — 1 • 1016 см2 ионная имплантация азота слабо влияет на степень обеднения затвора. Когда же доза имплантации бора сравнима или меньше дозы имплантации азота, эффект обеднения затвора носителями становится значительным, что объясняется уменьшением степени активации атомов бора. Приведенные результаты показывают, что при обычно используемых условиях легирования поликремниевого затвора проникновение В в область канала эффективно подавляется ионной имплантацией азота без отрицательного влияния на степень активации атомов бора. Аналогичные исследования МОП- конденсаторов с затвором, легированным As, показали, что ионная импланта- ция азота также не влияет на степень активации атомов мышьяка в поли-Si. Рис. 6.11. Характеристики времязави- симого пробоя подзатворного окисла для я-МОП-конденсаторов с поликремниевым затвором, легированным мышьяком (D= 8 • 1015 см2), с различной дозой имплантированного азота 800 850 Температура отжига (°С) 900 2.0 1.5 1.0 0.5 О Без имплантации азота \ во влаж- ф Доза имплантации азота 4- 1013/см2 Jной среде D Без имплантации азота QI Доза имплантации азота 4- 1015/см2 800 850 900 Температура отжига (°С) Рис.6.12. Зависимость сдвига напряжения плоских зон р-МОПТ от температуры отжига после ионной имплантации азота и BF2 (Z) = 4 • 1015 см2, £=40 кэВ) в поликремний Рис.6.13. Зависимость сдвига напряжения плоских зон /ьМОПТ от температуры отжига после ионной имплантации бора (/) = 4 • 1015 см2, £=10 кэВ) и азота
Е£ Глава 6. Формирование затвора субмикронных МОПТ Исследования надежности подза- творных диэлектриков и-МОПТ показали, что при использовании ионной имплантации азота в я+-затвор плотность заряда, инжектированного в окисел до пробоя ((?BD), увеличивается более чем на порядок (рис. 6.11) [20]. Подобные результаты также получены для МОП- конденсаторов с/?+-затвором [18]. Как указывалось ранее, степень проникновения бора в канал /ьМОПТ воз- Рис. 6.14. Зависимость коэффициента растает при имплантации в затвор ионов диффузии бора в поли-Si, легированном BF2 или при отжиге в парах воды. На азотом, и коэффициента сегрегации бора рис. 6.12, 6.13 приведены зависимости на границе поликремний-окисел от кон- величины сдвига напряжения плоских центрации азота зон /7-МОПТ от температуры отжига в диапазоне 800—900 °С, показывающие возможность подавления проникновения бора ионной имплантацией азота в поли-8ьзатвор при наличии фтора, водорода и гидроксильных групп (ОН) в окисле [18]. Для получения этих зависимостей использовали /ьМОПТ с толщиной подзатворного окисла 8 нм, с толщиной поли-Si-затвора 200 нм; имплантацию осуществляли ионами BF2 (T= 40 кэВ) и В (Е= 10 кэВ). Причем энергия ионов в обоих случаях соответствовала одинаковой проекции длины пробега ионов /?р = 30 нм. Имплантацию ионов азота проводили с энергией 10 кэВ (i^ = 22 нм), что исключало возможность появления имплантационных дефектов в подзатворном окисле. Видно, что имплантация ионов азота в поликремний с дозой D = 4 • 1015 см2 позволяет полностью подавить проникновение бора в область канала при легировании затвора имплантацией ионов BF2 во влажной среде. При исследовании причин снижения степени проникновения бора при легировании поликремния азотом обычно рассматривают действие таких факто- ров, как уменьшение коэффициента диффузии бора в поликремнии; увеличение коэффициента сегрегации бора на границе Si-Si02; накопление азота на этой границе; уменьшение коэффициента диффузии бора при наличии азота в окисле. По результатам измерений ВИМС- методом распределения концентрации бора в трехслойной структуре (легированный бором поли-Бьлегированный азотом поли-Si — Si02) в работе [21] определены: коэффициент диффузии Рис. 6.15. Зависимость сдвига напряжения боРа в поликремнии, легированном плоских зон AFFB от толщины поликремния, азотом (/)BSiN), и коэффициент сегрега- легированного бором и азотом (AFFB равен ции бора (Кс) на границе поли-8ь8Ю2 разности VFB конденсаторов, отожженных (Кс — отношение концентрации бора в при температуре 850°С в N2 и во влажном 02) поли-Si, легированном азотом, к кон- Концентрация азота (см 3) 0 10 Толщина поликремния (нм)
6.2. Поликремниевые затворы 44' центрации бора в окисле после отжига при температуре 900°С (30 мин). На рис. 6.14 приведены зависимости DBSiN и Кс от концентрации азота в поликремнии. Как видно, коэффициент диффузии бора (£BSiN) уменьшается с увеличением концентрации азота в поли-Si до (2-кЗ) 1021 см3, а затем незначительно возрастает. Коэффициент сегрегации Кс остается неизменным при увеличении концентрации азота до 1 • 1021 см-3, а затем увеличивается более, чем в 2 раза. Увеличение Кс означает, что концентрация бора в окисле вблизи границы поли-Si-SiC, снижается. Для определения степени влияния коэффициентов диффузии и сегрегации на подавление эффекта проникновения бора исследовалась зависимость сдвига напряжения плоских зон (AKFB) от толщины слоя поли-Si, легированного азотом. На рис. 6.15 видно, что с увеличением толщины слоя поликремния AKFB уменьшается, т.е. проникновение бора в область канала подавляется эффективнее. Если бы этот эффект определялся коэффициентом сегрегации, то толщина слоя поли-Si, легированного азотом, не должна была бы влиять на величину сдвига напряжения плоских зон, так как Кс зависит только от свойств границы раздела и начальной концентрации бора в поликремнии. Для оценки роли третьего фактора — накопления азота на границе раздела — сравнили перераспределение азота, введенного в поликремний ионной имплантацией, и осаждением поликремния с использованием NH3. Сразу после ионной имплантации накопления азота на границе mwm-Si-Si02 не наблюдается. Отжиг при температуре более 800°С приводит к перераспределению азота с накоплением его на границе раздела, причем степень накопления увеличивается с ростом температуры отжига, независимо от дозы имплантации азота. Естественно, с повышением концентрации азота на внешней и внутренней границах слоя происходит снижение концентрации в объеме поли-Si. Подобный результат был получен и в случае легирования поликремния азотом в процессе осаждения с использованием аммиака. В обоих случаях плотность атомов азота (QN) на границе раздела rKmH-Si-Si02 увеличивается с ростом температуры (рис. 6.16). Взаимосвязи между величиной QN и дозой имплантируемых ионов азота (или степенью легирования поликремния азотом с использованием NH3) не обнаружено. В то же время показано, что эффект проникновения бора зависит от концентрации азота в поликремнии (см. рис. 6.15), а не от накопления азота на границе поли- Si-Si02. 1x10 16 Ё G Имплантация азота, 1015 см-2 £ Д Имплантация азота, 1016 см 2 О Легирование поликремния в процессе осаждения S 1x10 и О 8 15 1x10 14 1x10 13 Время отжига: 2часа 700 800 900 1000 1100 Температура отжига (°С) Роль четвертого возможного фактора подавления обсуждаемого эффекта — уменьшение коэффици- ента диффузии бора в окисел в при- ' Рис 6 16 зависимость плотности атомов азо- сутствии азота - незначительна при та на границе поли-Si-SiO-, от температуры использовании ионной имплантации отжига поликремния после^ионной имплан- азота в поликремний. Таким образом, тации азота и после осаждения поли-Si, леги- подавление эффекта проникновения рованного азотом в процессе осаждения
448 Глава 6. Формирование затвора субмикронных МОПТ бора в область канала обусловлено уменьшением коэффициента диффузии бора в объеме поликремния. Следует отметить, что использование больших доз при ионной имплантации азота в поликремний, превышающих 1 • 1015 см2, приводит к ряду отрицательных последствий. Во-первых, из-за высокой концентрации азота в окисле и на границе Si-Si02 наблюдается снижение надежности окисла, увеличивается сдвиг порогового напряжения и снижается подвижность носителей в инверсионном слое. Кроме того, неоднородный концентрационный профиль бора в поликремнии, формируемый вследствие снижения коэффициента диффузии бора, может быть причиной обеднения затвора носителями и возрастания поверхностного сопротивления затвора. Так, поверхностное сопротивление слоя поликремния толщиной 255 нм после ионной имплантации бора (BF2, E= 40 кэВ, D = 4-Ю15 см2) и отжига (1000°С, 30 с), равно 40 Ом/П. При нитридизации с дозой имплантации ионов азота < 1 • 1015 см2 поверхностное сопротивление возрастает до 45 Ом/П, в то время как имплантация с дозой 5 • 1015 см2 приводит к увеличению ps до 800 Ом/П [22]. Метод нитридизации поли-Si-затвора использован с дополнительным быстрым отжигом (1000°С, 30 с) или отжигом в печи перед ионной имплантацией. Это позволяет осуществить перераспределение азота в затворной структуре и получить ее оптимальную концентрацию в подзатворном окисле (7 ■ 1019 см3), которая соответствует, с одной стороны, условию торможения диффузии бора в Si02, а с другой, — сохранению высокой надежности окисла. При большей концентрации азота в окисле плотность заряда (QBD) снижается, увеличивается сдвиг порогового напряжения и уменьшается подвижность носителей. 6.2.4. Другие методы снижения эффекта проникновения бора в канал р-МОПТ Наряду с методами подавления эффекта проникновения бора ионной имплантацией азота в работе [23] предложено использовать осаждение поликремния в два этапа с нитритизацией поверхности первого слоя поликремния толщиной 1000 А в среде NH3. При температуре 900°С (20-40 мин) азот частично перераспределяется в подзатворный окисел, что дополнительно обеспечивает торможение диффузии бора через окисел в область канала. В сравнении с методом нитрирования подзатворного окисла (см. раздел 3.5 и ч.1) в этом случае концентрация азота в окисле и на границе раздела значительно ниже, поэтому не наблюдается сдвиг порогового напряжения и снижение подвижности носителей. Кроме того, вследствие захвата атомов водорода на границах зерен поли-Si не проявляются (обусловленные присутствием в окисле атомарного водорода) отрицательные явления, имеющие место при нитрировании окисла в NH3 (усиленный захват носителей в окисле, увеличение плотности поверхностных состояний, снижение QbdhEbd). В работе [24] предложен метод снижения проникновения бора в область канала /ьМОПТ для случая легирования затвора с использованием имплантации ионов BF2. Метод основан на создании в слое поликремния дефектов, геттерирующих атомы фтора, присутствие которых в подзатворном окисле приводит к ускорению диффузии бора.
6.2. Поликремниевые затворы 449^ В осажденныйо слой аморфного кремния (3000 А) проводят ионную имплантацию аргона (Е = 80 кэВ, Rp = 900 A, D = (5-10)-1015 см2), а затем — имплантацию ионов BF2 (Е = 50 кэВ, D = 6 • 1015 см2). Кристаллизацию осажденного слоя и перераспределение примесей осуществляют при температуре 900- 950°С (15 мин). Затвор легируется также во время формирования сток- истоковых областей. Исследования ВИМС-методом и просвечивающей электронной микроскопией показали, что ионная имплантация аргона в слой аморфного кремния после кристаллизации приводит к образованию дефектов типа газовых включений (bubbles). Плотность образующихся дефектов тем больше, чем больше доза имплантации аргона. Уменьшение проникновения бора в подзатворный окисел и область канала объясняется геттерированием атомов фтора на «пузырьковых» дефектах. В сравнении с контрольными образцами /ьМОПТ с поли-81-затворами приборы, изготовленные с использованием имплантации ионов аргона в слой аморфного кремния, характеризовались меньшим сдвигом порогового напряжения. Степень обеднения затвора носителями в режиме сильной инверсии составила 10% (Cinv/Cox = 0,9). Таким образом, данный метод обеспечивает высокую концентрацию носителей на границе поли-81-8Ю2 и подавление проникновения бора в область канала /ьМОПТ. Кроме того, обнаружено значительное снижение плотности поверхностных состояний на границе Si-Si02 и улучшение надежности подзатворного окисла. Предварительная аморфизация поликремния имплантацией ионов мышьяка. Как известно, скорость диффузии примесей в поликремнии зависит от его микроструктуры. Одним из способов управления микроструктурой поликремния является аморфизация слоя с последующим термическим отжигом. Эффективным методом аморфизации поликремния считается имплантация ионов мышьяка (/) = 4 • 1014см 2, Е> 120 кэВ) [25]. После отжига аморфизированный слой кристаллизуется с образованием зерен много большего размера, чем в нижележащем не аморфизированном слое поликремния. К другим факторам, способствующим снижению скорости диффузии бора в поликремнии, относят интенсивный рост зерен в присутствии примеси донорного типа, влияние внутреннего электрического поля, создаваемого концентрационным профилем мышьяка, а также образование комплексов AsB. Предварительная аморфизирующая имплантация мышьяка исключает необходимость применения ионов BF2 или бора низких энергий для легирования затворов /?+-типа. В работе [25] легирование затворов производили ионами В с энергией 20 кэВ (D = 2 • 1015 см2). Поверхностное сопротивление /?+-затворов, сформированных с применением аморфизирующей имплантации, зависит от энергии ионов мышьяка ^ | 600 О s 500 // р» i ■ • 1 • i • 1 • i • i • i • . Отжиг: 30 мин • °*\^ о:850°С - § f ^\. »:900°С ■ а 4оо § 300 н о 1 200 ^ -^\ ! «. Без аморфизирующей *—...^ ^ Ц имплантации **'*• \ V . t . 1 . 1 . t . 1 . 1 . 1 . ...' /> о 0 60 80 100 120 140 160 180 200 Энергия ионов As (кэВ) Рис. 6.17. Зависимость rs поликремния /?+-типа после ионной имплантации As и В и отжига от энергии ионов аморфизирующей имплантации As (Z)(As) = 4 • 1014 см Л Е = 20 КэВ; толщина слоя поликремния 250 нм)
/(fa50 Глава 6. Формирование затвора субмикронных МОПТ 200 о X X а и о Он I ?120 ° 1 Ж S В 160 ь 80 Ь 40 h г I L. Г г L L \ 1 ' 1 ' 1 ' 1 ' t ' 1 ' T^T .-о *•"' \у^ ~ .''"'6 ^^ °^Z^ ,*&' ^s^ S^ Расчет , 1, 1 '„„« 1-....Л—J,-^..,l i i ■ 1 i,l. \ \ 1 i J J J 1 20 40 60 80 100 120 140 160 180 200 Энергия ионов As (кэВ) Рис.6.18. Изменение толщины аморфизированного слоя поликремния при увеличении энергии ионов As (рис. 6.17). Видно, что при увеличении энергии ионов мышьяка выше 120 кэВ обеспечивается значительное снижение поверхностного сопротивления /?+-затворов относительно контрольных образцов. Этот результат объясняется увеличением степени аморфизации, глубины аморфизированного слоя и более интенсивным ростом зерен поликремния большего размера. На рис. 6.18 представлена зависимость толщины аморфизированного слоя от энергии ионов As. Анализ (с использованием просвечивающей электронной микроскопии) слоев поликремния после термического отжига (900°С, 30 мин) показал, что размер зерен в предварительно аморфизированной области (Е = 180 кэВ) равен 0,22 мкм, в то время как в ниже расположенной области слоя поликремния он составляет 0,03 мкм. В контрольных образцах без аморфизирующей имплантации различие в размерах зерен до и после отжига незначительное. Эти данные позволяют интерпретировать зависимость, приведенную на рис. 6.17, следующим образом. При толщине аморфизированного слоя ~ 80 нм и энергии ионов мышьяка 60 кэВ увеличение размера зерен поликремния и подвижности носителей после отжига невелико. В этом случае поверхностное сопротивление поликремния выше, чем в контрольных образцах из-за частичной (-20%) компенсации дырочной проводимости. С повышением энергии ионов мышьяка поверхностное сопротивление слоя уменьшается из-за увеличения подвижности носителей. Следует также учитывать большую степень сегрегации на границах зерен атомов As, чем атомов В. Результаты исследования зависимости подвижности и концентрации носителей от энергии ионов аморфизирующей имплантации представлены в табл.6.2 [25]. Концентрация и подвижность носителей определялись методом Холла, а расчетное значение ps, равно произведению (q p цр d)\ где d — толщина слоя поликремния (250 нм). Увеличение размера зерен поликремния, обусловленное применением предварительной аморфизирующей имплантации, наряду со снижением поверх- Табл. 6.2. Подвижность и концентрация дырок в слоях/^-поли-Si для различных значений энергии ионов аморфизирующей имплантации Образцы Без аморфизирующей имплантации As/60 кэВ As/120k3B As/180 кэВ Подвижность (см^В'-с1) 7,9 12,3 13,1 15,5 Концентрация носителей, р х цр (х1019см-3) 8,84 5,09 5,78 6,11 рхцр (хЮ19 В-1 • с-1 • см -1) 69,84 62,61 75,72 94,71 Ps расчет (ом/П) 358 401 330 265 Ps эксперимент (om/D) 370 410 340 270 1
6.2. Поликремниевые затворы 451 j] ностного сопротивления поликремниевого слоя приводит к значительному уменьшению коэффициента диффузии бора. Коэффициент диффузии бора в поликремнии, благодаря предварительной аморфизации, снижается приблизительно в 50 раз [25], что позволило обеспечить минимизацию сдвига порогового напряжения /?-МОПТ. 6.2.5. Формирование затворов п+-и р+-типов осаждением легированных слоев поликремния Использование затворов двух типов проводимости предъявляет антагонистические требования к термическому бюджету изготовления КМОП-приборов: для предотвращения проникновения бора в область канала термический бюджет необходимо уменьшать, а для повышения концентрации носителей на границе mwiH-Si-Si02 его нужно увеличивать. Нежелательный компромисс при выборе условий активационного отжига может быть исключен при формировании затворов с использованием процесса нанесения поликремниевых слоев, легированных в процессе парофазного осаждения. В этом случае высокий уровень легирования и однородное распределение концентрации бора в затворе обеспечивается при минимальном термическом бюджете, необходимом для создания сток-истоковых областей с мелкозалегающими/?-я-переходами. a-Si (легированный фосфором) рвГ- п l,ulllll I ; [ JT р ~xq п+ 1 р L~J j а) a-Si (легированный бором и азотом) б) шжяиш п 0ишммм^^„^м^1!4^Ш r-J i 1 J p n+ ! р и 1 в) Фоторезистивная маска п f" р L_ 1 n + р u д) e) Фоторезист ч 1 b«p*i«p i n P и < P y ^ \ не: n+ П - затвор Рис. 6.19. Этапы изготовления КМОП-приборов с поликремниевыми затворами п+ и р+- типа, легированными в процессе осаждения поликремния
Cs Глава 6. Формирование затвора субмикронных МОПТ Один из вариантов технологии изготовления КМОП-приборов с поликремниевыми затворами п+- и/?+-типов, легированными в процессе парофазного осаждения, представлен на рис. 6.19 [26]. После выращивания подзатворного окисла проводят осаждение слоя аморфного кремния, легированного фосфором, при пониженном давлении и при температуре 510°С в атмосфере Si2H6—РН3 (рис. 6.196). Толщина слоя кремния 380 нм, концентрация фосфора в кремнии 3 • 1020 см3. Осажденный слой кремния удаляют в области /^-канального транзистора высокоселективным ионно-плазменным травлением [27] с последующим ЖХТ- дотравливанием и выращиванием подзатворного окисла/?-МОПТ. Во время процесса окисления аморфный слой кремния, легированный фосфором, кристаллизуется. Затем методом парофазного осаждения в одном вакуумном цикле наносят слой аморфного кремния толщиной 50 нм, легированного бором и азотом в среде Si2H6—NH3—В2Н6, и слой, легированный только бором в среде Si2H6—В2Н6 (510°С) (рис. 6.19#). Концентрация бора и азота в кремнии 2 • 1020см~3и 1,1 • 1020 см3, соответственно. Общая толщина слоя 380 нм. После этого производят селективное удаление слоя аморфного кремния, легированного фосфором (рис. 6.19г). Эта операция осуществляется методом «обратного» плазменного травления с использованием планаризованного слоя фоторезиста. После удаления фоторезиста производят фотолитографию и травление поли-Si и a-Si для формирования п+- и р+- затворов, соответственно (рис. 6.19д, 6.19е). Кристаллизация аморфного кремния, легированного бором, происходит при термическом окислении затворов (750°С, 30 мин). Легирование сток-истоковых областей осуществляется имплантацией ионов низких энергий (BF2 и As) с последующим быстрым отжигом (950°С, 15 с). Глубина залегания/?-я-переходов 80 нм. Таким образом, для изготовления КМОП- транзисторов не требуются высокотемпературные операции отжига, предназначенные для легирования затворов. Это позволяет предотвратить проникновение бора из затвора в область канала, а также обеспечить сохранение ретроградного распределения примеси в области канала и формирование мелкозалегающих/ья- переходов. Кроме того, использование процессов осаждения легированных слоев аморфного кремния позволяет снизить поверхностное сопротивление затворов (Lg = 0,2 мкм) до 16 Ом/П и 34 Ом/П для п- и/?-МОПТ, соответственно. Указанные значения ps на порядок ниже поверхностного сопротивления поли-Si-слоев, легированных с использованием ионной имплантации [28]. Снижение поверхностного сопротивления затворов достигнуто благодаря высокой концентрации носителей в поликремнии, легированного в процессе осаждения, и высокой подвижности носителей вследствие большого размера зерен поли-Si (1—4 мкм), полученного кристаллизацией аморфного слоя [29]. КМОП-структуры характеризуются низкой степенью обеднения затвора носителями в режиме сильной инверсии. Отношение Cinv/ Сох для /?-МОПТ равно 0,92, а для Рис. 6.20. Эволюция распределения концентра- л-МОПТ - 0,95. Кроме того, бла- ции примеси в структуре ncwra-Si-Si02-Si при годаря значительному снижению увеличении длительности термического отжига термического бюджета операций Поли -SI StOg Si Глубина Поли-SI SlOa SI 1 ISIOa SI h Время Обеднение затвора Оптимальная i Увеличение длительность невоспроизводимости отжига ' V,
6.2. Поликремниевые затворы 453> легирования затворов и использованию диффузионно-барьерного слоя поликремния, легированного азотом, эффект проникновения бора в область канала отсутствует. 6.2.6. Оптимизация термического бюджета при легировании поликремниевого затвора При определении условий отжига слоев поли-Si после имлантации примесей необходимо учитывать ограничения термического бюджета. Сверху он ограничен степенью проникновения примеси через подзатворный диэлектрик в область канала и может быть увеличен за счет использования процессов нитрирования окисла и/или поликремния, выбора соответствующих характеристик микроструктуры поликремния и т.п. Снизу величина термического бюджета ограничена заданным уровнем обеднения затвора. При заданной температуре минимальную длительность отжига, в течение которойдиффузионноераспределение примеси становится однородным, называют критическим временем /, (рис. 6.20). Критическое время t2 определяет начало проникновения примеси в область канала. При этом tx должно быть больше, чем минимальное время отжига, допускаемое требованиями к динамическим характеристикам реактора быстрого отжига. Разница между t{ и t2 определяет возможный диапазон длительности отжига — «процессное окно». На рис. 6.21 приведены распределения концентрации бора и мышьяка в поликремнии после ионной имплантации и отжига (900°С) [30]. Поликремний осаждали методом LPCVD при температуре 620°С. Ионную имплантацию бора (мышьяка) проводили с энергией ионов 20 кэВ (30 кэВ), соответственно, и дозой Ю» £, 10* s (Л О* н X <D DC О 10»[цЛ 101Н 1018 900°С С*Поли* 180НМ 50 100 150 Глубина (нм) а) 200 250 *SK>e 4 50 100 150 Глубина (нм) б) 200 250 Рис.6.21. Экспериментальные и расчетные распределения концентрации бора (а) и мышьяка (б) в поликремнии после ионной имплантации и отжига при температуре 900°С 102 но» 1°0.7 | Концентрация . - f ... . -—•—г nojiH-Si«_J_SiO . ... 1 , и, -о-В ~*-Аз i, 0.8 0.9 1000/Т(1/К) 1.0 Рис.6.22. Зависимость эффективной поверхностной концентрации N0 от температуры отжига
(ffa54 Глава 6. Формирование затвора субмикронных МОПТ 5 • 1015 см2. Для исключения обратной диффузии примеси на поверхность поликремния наносили слой Si02 (420°C). На распределениях концентрации бора и мышьяка, приведенных на рис. 6.21, явно выражены две области: область I, созданная ионной имплантацией, содержит примесь в виде неподвижных комплексов, и область II, которая образуется в результате диффузионного перераспределения примеси. Граница между областями определяется так называемой эффективной поверхностной концентрацией NQ. Для бора она увеличивается с повышением температуры, а в случае мышьяка остается практически неизменной (рис. 6.22): 7V0(£) = 8,911021exp 0,38(эЯ) кТ СМ" (6.2) N0 = (As) = 2,5- 1020см" В предположении постоянства коэффициента диффузии примесей в поликремнии и отсутствия переноса примеси через границу поли-81-8Ю2 решение диффузионного уравнения для распределения концентрации N(x,t) имеет вид [30]: N(x,t) = NG —ехр —— |sin(A,0 х) 71 X ' (6.3) где h = — , V 2d 4dz n2D ■, d — толщина слоя поликремния. poly Аналитическая модель диффузии примесей в поликремнии (6.2) хорошо согласуется с экспериментальными результатами (рис. 6.23) при условии использования коэффициента диффузии Dpoly, полученного методом экстракции с применением экспериментальных распределений N(x,t) для различной температуры отжига: 3,49(эЯ)~ D„ /v(i?) = 2,3310Jexp Z) /v(^) = 8,80103exp кТ 3,65(эВ) кТ см /с ; см2 / с . (6.4) Найденые значения коэффициента диффузии бора и мышьяка в поликремнии более чем на три порядка выше коэффициентов диффузии в монокристаллическом кремнии (рис. 6.23): 3,46(э£)" DSi(B) = 0,76exp Z>y/(Av) = 22,9exp кТ 4,ЧэВ) кТ см2/с; см2/с. (6.5) Как видно на рисунке, коэффициенты диффузии бора и мышьяка в поликремнии близки по величине, поэтому условия отжига для получения однородного распределения концентрации по толщине поликремния при форми-
6.2. Поликремниевые затворы 455j ровании затворов /г- и я -типов практически одинаковы. Полученные значения Z)poly (6.3) находятся между значениями коэффициентов диффузии в монокристаллическом кремнии (6.4) и коэффициентов диффузии примесей по границам зерен в поликремнии, которые приблизительно на 4 порядка выше, чем/)Si[31,32]. Из выражения (6.2), используя условие малого различия между эффективной поверхностной концентрацией N0 и концентрацией примеси на границе поли-81-8Ю2, NJNq = г = 0,9, определяется критическое время t{. 4 = т01п (1-г)7Г (6.6) 0.8 0.9 1000/Т(1/К) Рис. 6.23. Расчетные зависимости коэффициентов диффузии бора и мышьяка в поли-Si от температуры. Для сравнения приведены зависимости Д7)в моно-Si [34] и в Si02 для бора [33] и мышьяка [34] Верхний предел критического времени t2 определяется диффузией примеси через подзатворный окисел в область канала. Выражение для концентрации примеси на поверхности кремния имеет вид [30]: N(0,t) = 2у т„ (тс+у) тр N.erfcl - Л ^ см2/с (6.7) где у = Ds, DSi DSi0 — коэффициент диффузии примеси в окисле, Тох — толщина окисла, тс — коэффициент сегрегации примеси на границе Si-Si02, mp — коэффициент сегрегации примеси на границе поли-81-8Ю2. Задавая предельно допустимую концентрацию примеси на поверхности кремния Ncr=\Q-4N0, из выражения (6.6) определяют критическое время t2: *2 = 4DQl erfc~' 1 (Ч+Y тр Ncr)\ ( 2у тс N0)\ (6.8) Значения коэффициентов диффузии примесей в окисле приведены на рис. 6.23, соответствующие выражения для них имеют вид [33, 34] : 3,83(э£)~ Dslo(B) = l,83l0'^xp Джо(Я/-2) = 3,96-10-2ехр кТ 3,65(эВ) кТ см2/с, см2/с, (6.9)
u?456 Глава 6. Формирование затвора субмикронных МОПТ DSl0 (>4s) = 67,25exp 4,7(э2?)' kT см2/с. 103 К S е- lie1 S §10° со О 0. 5 mp1(As) a me(A») г me(B) s тр(В) -: 7 0.8 0.9 1000/Т(1/К) Коэффициент диффузии бора в окисле в случае имплантации ионов BF2 много больше, чем при имплантации ионов В [6, 8, 11]. Коэффициент диффузии мышьяка в окисле больше, чем бора при температуре выше 1000°С. Коэффициент сегрегации бора шс(В) на границе Si-Si02 при температуре отжига 800-1100°С меньше единицы, а для мышьяка wc(As) — больше 10, т.е. атомы бора, в отличие от атомов мышьяка, относительно легко переносятся через границу Si-Si02. Зависимость от температуры коэффициентов сегрегации mc(B), mc(As), mp(B) и wp(As) представлена на рис. 6.24 [35, 36]: Рис. 6.24. Зависимость коэффициентов сегрегации бора и мышьяка на границе Si-Si02 (mc) и на границе nonn-Si-Si02 (mp) mc=mp(B) = 0,357 mc(A?) = 3,23-109 exp 197эВ kT mp(As) = max[mpl(As),mp2(As)] , где (6.10) /f!pl(As) = 3,23-109exp mpl(As) = 4,60-104ехр 1,97(эД)" kT 0,73(э£) kT С использованием этих данных в [30] получены зависимости t{ и /2 от температуры отжига для МОПТ с толщиной подзатворного окисла 3 нм. Результаты расчета приведены на рис. 6.25. Из расчетных зависимостей следует, что t{ для В и As почти одинаковы из-за близости коэффициентов диффузии в поли-Si. При температуре 1000°С для получения однородного распределения концентрации бора и мышьяка в поликремнии достаточно 5 с. Критическое время t2 в случае ионной имплантации BF2 значительно меньше, чем бора, из-за большего коэффициента диффузии бора в окисле в присутствии атомов F, а /2 при легировании затвора As всегда больше, чем при легировании В, несмотря на то, что Z)Si02(B) < DSi02(As) при температуре выше 1000°С. Это объясняется большим коэффициентом сегрегации мышьяка, чем бора. Из приведенных на рис. 6.25 зависимостей /, и t2 от температуры отжига следует, что допустимая величина термического бюджета при изготовлении /7-МОПТ всегда меньше, чем при изготовлении «-МОПТ. Поэтому при изготов-
6.2. Поликремниевые затворы 457j| 1А4 мя отжига (с) ел |ю2 0Q О 8 101 s н S D, ^ 10° 8С Г <^1олив 180НМ ^^ N ■ Г а0.9 ■ N0/Nc » 10000 !0 900 1000 1100 Температура (°С) i 1200 Рис. 6.25. Зависимость критического времени отжига от ионной имплантации BF2, В и As в поликремний от температуры отжига 2 О 1500 1000 500 As:30k>li; BF2:20k)B 5х101всм"$ 10" 101 10* 103 Время (с) 104 Рис. 6.26. Зависимость поверхностного сопротивления поликремния после ионной имплантации ВF2 и As от длительности отжига лении КМОП-приборов достаточно оптимизировать для выбранной температуры длительность отжига t в пределах tx<t<t2 (только для /?-МОПТ). Выбирать температуру отжига следует, исходя не только из эффектов обеднения затвора и проникновения примеси в область канала, но и с учетом необходимости обеспечения высокой степени активации атомов примеси в поли- Si-затворе. На рис. 6.26 приведены зависимости поверхностного сопротивления поликремниевого затвора от длительности отжига при температуре 800, 900 и 1000°С. При повышении температуры отжига поверхностное сопротивление снижается из-за увеличения степени активации примеси. Однако повышение температуры отжига с целью снижения Cs необходимо осуществлять с учетом ограничения по величине критического времени t2. При использовании имплантации ионов BF2, как видно на рис. 6.26, максимальная температура отжига не должна превышать 950°С. При имплантации ионов В максимальная температура отжига 1050°С, что обеспечивает значительное снижение поверхностного сопротивления затвора. В этой связи необходимо указать иной подход к определению критического времени /,, учитывающий требование высокой степени активации примеси [37]. При выводе выражения для критического времени tx использовалась однопотоко- Рис. 6.27. Стадии легирования поликремния бором: (а) после ионной имплантации; (б) быстрая диффузия по границам зерен; (в) диффузия на глубину, почти равную толщине слоя поликремния; (г) вая модель диффузии. В действительно сти диффузия примесей в поликремнии локальное проникновение бора в крем более корректно описывается двухпото- ний
ES Глава 6. Формирование затвора субмикронных МОПТ ковой моделью, в соответствии с которой диффузия атомов по границам зерен сопровождается диффузией атомов от границ в объем зерен поликремния с коэффициентом диффузии DSj. Для обеспечения высокой степени активации примеси в поли-Si диффузионная длина атомов 2 V/)Si • / должна быть сравнима с половиной среднего размера зерен dg. Отсюда критическое время /',. t\=d2g/\6DSi (6.11) значительно больше, чем tv Критическое время t\ может изменяться в широких пределах в зависимости от толщины слоя и микроструктуры поликремния, от температуры отжига и условий ионной имплантации. При использовании отжига в печи минимальная длительность процесса ограничена снизу временем нарастания и спада температуры пластин. Поэтому для снижения влияния переходных процессов на термический бюджет активирующего отжига критическое время /', должно быть не менее 5 мин. При этом критическое время t2 должно быть большим в сравнении с t\ (~30 мин). В этом случае в соответствии с рис. 6.25 температура отжига не должна превышать 850°С при имплантации BF2 и 950°С — при имплантации В. При этих условиях затвор имеет высокое ps из-за низкой степени активации примесей. Поэтому использование быстрого активирующего отжига при более высокой температуре - необходимое условие изготовления субмикронных КМОП-приборов с низким поверхностным сопротивлением затвора. Следует подчеркнуть, что приведенный анализ ограничения термического бюджета отжига поликремниевого затвора необходим, когда в технологический маршрут изготовления КМОП-приборов вводятся операции, изменяющие свойства поли-Si и подзатворного окисла. Например, при осаждении слоев Si02 или Si3N4, после ионной имплантации примесей в затвор, содержащийся в реакторе осаждения водород, проникая в подзатворный окисел, изменяет коэффициент диффузии примесей в окисле [38]. Возрастание коэффициента диффузии бора в слое Si02 наблюдается при уменьшении его толщины [39] и при использовании быстрого отжига [40]. Указанные факторы вызывают необходимость уточнения критического времени t2 и соответствующей корректировки условий отжига. 6.2.7. Эффект рассогласования параметров близкорасположенных МОПТ Для высококачественных КМОП-приборов важной характеристикой является степень рассогласования параметров близкорасположенных МОПТ [41, 42]. Рассогласование пороговых напряжений обычно связывают с флуктуациями плотности примеси в области канала [43]. В субмикронных приборах несоответствие пороговых напряжений может быть вызвано вероятностным характером распределения размеров зерен поликремниевого затвора и связанным с этим локальным обеднением затвора и проникновением бора в область канала (рис. 6.27) [44]. Рассогласование пороговых напряжений обусловлено неоднородностью фронта легирования слоя поликремния из-за преимущественной диффузии примеси по границам зерен. Этот эффект принято оценивать величиной стандартного отклонения распределения разности пороговых напряжений (aAVt)
6.2. Поликремниевые затворы 45^ двух идентичных рядом расположенных транзисторов. Технология изготовления МОПТ по величине не обеспечиваемой ею степени рассогласования пороговых напряжений оценивается коэффициентом масштабирования площади прибора AVt, который представляет собой тангенс угла наклона зависимости awt = f[(W • L) ~2], где Wn L — ширина и длина канала [42]. На рис. 6.28 приведены указанные зависимости для р- и я-МОПТ, изготовленных по технологии с 0,25- микронными проектными нормами: Тох — 6 нм, размер зерна поликремния ltylQt ЩЮ. , , *ЦШ г- 0.25 0.50 | W-L Г2 { 1/мкм ) Рис. 6.28. Зависимость стандартного отклонения aAVt от параметра [W • L]2, где W и L — ширина и длина канала (для р- и я-МОПТ, изготовленных по технологии 0,2-0,3 мкм. Значение коэффициента с 0,25-микронными проектными норма- , Т — 6 нм, размер зерна поликремния Avt для я-МОПТ относительно невелико 0,2-0,3 мкм) (6 мВ • мкм), для/?-МОПТ — значительно выше (20 мВ • мкм) и характеризуется большим разбросом. При этом обнаружено, что приборы с необычно большой дисперсией (a2AVt) характеризуются передаточной характеристикой с двумя максимумами зависимости Gm( Vg). Такой вид передаточной характеристики р-МОПТ объясняется, как показано ранее [45], обеднением затвора носителями. На рис. 6.29 для приборов, изготовленных по 0,25-микронной технологии, приведены зависимости aAVt от температуры активационного отжига сток-истоковых областей /ьМОПТ большой площади (W= L = 10 мкм). И при низкой, и при высокой температуре отжига наблюдается значительное возрастание aAVt. Даже при оптимальных условиях отжига aWt более чем в 3 раза выше расчетного значения, полученного с учетом статистической неоднородности концентрации примеси в области канала, [41,42,43]. Это означает, что для получения расчетного значения aAVt площадь затворов транзистора должна быть увеличена примерно в 10 раз. В этом случае с повышением температуры отжига на передаточных характеристиках /?-МОПТ исчезает второй максимум, обусловленный эффектом обеднения затвора носителями. Этот факт подтверждает, что изменение ат при температуре отжига до 1030°С (20 с) (рис. 6.29) связано с неполной активацией примеси в затворе. Так как стандартное отклонение aAVt относится к рассогласованию пороговых напряжений соседних идентичных транзисторов, то возрастание aAVt при снижении температуры отжига вызывает- 7.5 -г 5.0 4- 2.5 4- 0.0 950 975 1000 1025 1050 1075 Температура RTA (°С) Рис.6.29. Зависимость стандартного отклонения aAVt; для/?-МОПТ большой площади (W= L = 10 мкм) от температуры отжига. □ — для 0,25- микронной технологии, х — для 0,13-микронной технологии
Глава 6. Формирование затвора субмикронных МОПТ 2.5 т 2.0 Т 0.0 Увеличение степени обеднения затвора V п-МОПТ W/L=1W10 Расчетное значение стДУ| для 0,25 мкм-технологии значение <ТДУ для 0,13 мкм-технолоп+и» х.. 950 —\ 1 1 1 975 1000 1025 1050 Температура RTA (°С) 1075 ся эффектом обеднения в микроскопически малых областях случайным образом. Иначе говоря, левая ветвь зависимости ат от температуры отжига объясняется негомогенностью легирования зерен поликремниевого затвора (рис. 6.27). Слаболегированные области в объеме зерен поликремния на границе с подзатворным окислом ответственны за локальное увеличение порогового напряжения. Размеры и число участков неоднородного легирования изменяются от транзистора к транзистору случайным образом и их число возрастает при снижении температуры отжига. С увеличением Границы зерен поликремниевого затвора Рис. 6.30. Зависимость стандартного отклонения ат для я-МОПТ большой площади (IV = L = 10 мкм) от температуры отжига, температуры отжига степень негомо- В — для 0,25-микронной технологии, х — для генности легирования поликремния 0,13-микронной технологии снижается, поэтому различие в параметрах транзисторов из-за эффекта обеднения становится меньше. При дальнейшем повышении температуры отжига — выше 1030°С — рассогласование пороговых напряжений транзисторов вновь возрастает (рис. 6.29). Это объясняется неоднородностью проникновения бора через окисел, обусловленной ускоренной диффузией атомов в поликремнии по границам зерен (рис. 6.21 г). Границы зерен поли-Si являются диффузионными источниками на границе раздела mxjm-Si-Si02, поэтому при повышении температуры отжига количество мест и степень локального проникновения бора в область канала будут возрастать. Локальные участки канала с более низким пороговым напряжением будут распределены случайным образом, поэтому и в данном случае рассогласование пороговых напряжений транзисторов оценивается величиной аДУг Для «-канальных транзисторов зависимость среднеквадратичного crAVt от температуры отжига поликремниевых затворов (рис. 6.30) отличается от зависимости, приведенной на рис. 6.29 для /ьМОПТ. Во-первых, из-за меньшего, в сравнении с бором, коэффициента диффузии мышьяка в окисле рост aAVt с увеличением температуры значительно меньше. Во-вторых, при оптимальной температуре отжига (1025—1050°С) она близка к Рис. 6.31. Локальное перекрытие кана- теоретическому уровню и, наконец, величина ла областью обеднения затвора МОПТ, среднеквадратичного отклонения для л-МОП когда ширина затвора меньше размера во всем диапазоне температуры отжига значи- отдельных зерен поликремния тельно ниже, чем для р-МОПТ. Большое зерно
6.3. Салицидная технология формирования затворов субмикронных МОПТ 461 Рассмотренный эффект рассогласования пороговых напряжений характеризуется линейной зависимостью aAWt от 1/VWL только в том случае, если длина и ширина затвора МОПТ значительно больше размеров зерен поли-Si. Когда ширина затвора МОПТ меньше размера зерен, существует определенная вероятность того, что в диапазоне низких температур отжига область канала полностью перекроется слаболегированной областью, находящейся под зерном большого размера (рис. 6.31). Вследствие этого среднеквадратичное отклонение aAVt резко возрастает, как это видно в случае МОПТ с размерами JV/L = 0,4 мкм (Т= 1010°С) (см. рис. 6.28). р-МОПТ с размерами W/L =10/0,3 мкм характеризуется благоприятным соотношением ширины затвора и размера зерен. В этом случае среднеквадратичное отклонение (aAVt) ниже величины, предсказываемой ее линейной зависимостью от (\/^IWL). 6.3. Салицидная технология формирования затворов субмикронных МОПТ 6.3.1. Формирование затворов по самосовмещенной технологии Силициды тугоплавких металлов — обязательный элемент структуры субмикронных МОПТ. Их использование обусловлено необходимостью значительного снижения сопротивления затвора, паразитных сопротивлений сток-истоко- вых областей и контактов к ним. Силициды TaSi2, MoSi2, WSi2, формируемые на поли-Si методом сораспыле- ния, характеризуются высокой термостабильностью и относительно высоким удельным сопротивлением (70—100) • 10~6 Омсм). Силициды металлов, используемые в салицидном процессе изготовления КМОП-приборов, — TiSi2, CoSi2, NiSi, PtSi — создаются в результате термического взаимодействия слоя металла с кремнием. Они имеют низкое удельное сопротивление и более низкую термическую стабильность (табл. 6.3) [46] Обобщение результатов исследований электрических свойств силицидов тугоплавких металлов представлено в работе [47]. Значительный вклад в исследование силицидов и их применение в микроэлектронике сделан академиком РАН К. А. Валиевым и его коллегами [48]. Наиболее широко при изготовлении субмикронных КМОП-приборов применяется силицид титана (TiSi2). Для 0,25- и суб-0,25-микронных приборов в основном используется силицид кобальта (CoSi2) [46]. Силицид никеля (NiSi) Табл. 6.3. Характеристики некоторых силицидов Силицид TiSi2 CoSi2 NiSi PtSi Уд.сопр. (xlO6 Омсм) 10-15 18 20 28-35 Стабильная фаза TiSi7 CoSi2 NiSi, - Мех. напряжния (дин/см2) 1,5-1010 1,2-1010 6 109 1 • 1010 Потребление кремния (отн. толщина слоя металла) 0,904 1,03 0,82 - Термическая стабильность 700-850°С 1000°С < 700°С - Коэфф. диффузии в кремнии (см2/с) Ti: 2 • Ю-5 Со: 9- Ю-4 Ni: 2 • Ю-3 Pt: 1,6- 102
es Глава 6. Формирование затвора субмикронных МОПТ детально изучен, однако широкого использования при изготовлении глубоко- субмикронных приборов до настоящего времени он не получил. Последовательность операций формирования силицида на затворе и сток-истоковых областях в типовом силицидном процессе приведена на рис. 6.32. Слой металла (Ti или Со) наносят на поверхность пластин после формирования поли-Si-затворов, спейсеров и сток-истоковых областей. Затем структуру подвергают низкотемпературному отжигу в среде азота. Во время отжига участки слоя металла, контактирующие с поли- и моно-Si, при термическом взаимодействии селективно преобразуются в высокоомную фазу силицида, а на его поверхности образуется тонкий слой TiN. Участки слоя металла, расположенные на диэлектрике, удаляют в растворах H202+NH4OH или H202+H2S04. Затем пластины повторно подвергают отжигу при более высокой температуре, во время которого происходит образование низкоомной фазы силицида. Температура этого отжига ограничена снизу (при заданной длительности) необходимостью достижения наибольшей полноты фазового превращения (относительного содержания в силициде низкоомной фазы) с тем, чтобы обеспечить снижение удельного сопротивления силицида. Эту минимально допустимую температуру отжига необходимо повышать при уменьшении толщины слоя силицида и ширины области в связи со снижением плотности центров зарождения низкоомной фазы. Сверху диапазон температур второго отжига ограничен температурой начала агломерации поликристаллической структуры силицида (увеличение микрорельефа и нарушение сплошности слоя силицида). Процесс агломерации приводит к возрастанию ps слоя силицида. Он усиливается при уменьшении толщины силицида и ширины поликремниевой дорожки, на которой формируется силицид. Это обстоятельство становится особенно важным при уменьшении глубины залегания сток-истоковых /ья-переходов, так как в этом случае необходимо снижать толщину слоя силицида, чтобы исключить увеличение тока утечки /ья-переходов и контактного сопротивления к сток-истоковым областям. Влияние агломерации на поверхностное сопротивление слоя силицида снижается при уменьшении температуры фазового превращения силицида. Так как агломерация определяется процессами массопереноса, более эффективным является быстрый отжиг: небольшая длительность процесса ограничивает агломерацию, а высокая температура обеспечивает необходимую полноту фазового превращения. Рис. 6.32. Последовательность операций самосовмещенного формирования силицида на затворе и сток-истоковых областях
6.3. Салицидная технология формирования затворов субмикронных МОПТ 463" Табл. 6.4. Основные проблемы формирования силицидных затворов МОПТ и пути их решения. Проблема Увеличение поверхностного сопротивления Возрастание поверхностного сопротивления силицида при уменьшении ширины топологического элемента "Мостиковые" за- коротки между затвором и сток- и стоковым и областями Увеличение контактного сопротивления между силицидом и гю- ликремнием. Снижение термической стабильности Физический процесс Морфологические изменения слоя силицида Морфологические изменения слоя силицида. Латеральный рост силицида Взаимодействие металла с диэлектрическим спей- сером Перераспределение примеси. Агломерация Причина Следы окислителя, высокая температура Следы окислителя Снижение скорости фазового превращения Внутренние механические напряжения Атомы отдачи кислорода Дефекты поверхности поликремния после реактивно- ионного травления - Отжиг при высокой температуре Отжиг при высокой температуре Атомы "отдачи". Малая толщина силицида Пути решения Нанесение защитного слоя TiN, снижение температуры Нанесение защитного слоя TiN Предварительная аморфи- зирующая имплантация в поликремний Оптимизация процессов формирования спейсеров Удаление окисла перед ионной имплантацией в сток-истоковые области Использование стопорных диэлектрических слоев Формирование силицида в два этапа Нанесение (формирование) защитного слоя TiN Снижение температуры термических процессов после формирования силицида Снижение температуры отжига Удаление окисла перед ионной имплатнацией. Увеличение толщины силицида Трудности увеличения сопротивления силицида при уменьшении проектных норм МОПТ в большей степени относятся к силициду титана. При использовании силицидов кобальта и никеля эта проблема нивелируется благодаря характерному для них механизму силицидообразования (высокоомной фазы), в результате которого толщина слоя силицида по периметру больше, чем в центре топологического элемента. Вследствие этого при уменьшении ширины элемента ps слой силицида Со и Ni не возрастает, а иногда даже уменьшается. Для силицида Pt также наблюдается независимость ps от ширины области сили- цидизации вплоть до 0,1 мкм. Однако использование PtSi при изготовлении МОПТ с мелкими сток-истоковыми областями приводит к увеличению тока утечки р-п- переходов. Для предотвращения роста тока утечки толщину слоя Pt необходимо сни-
^64 Глава 6. Формирование затвора субмикронных МОПТ жать до величины, при которой поверхностное сопротивление силицида платины возрастает до 20 Ом/п, а это недопустимо для глубокосубмикронных приборов [49]. Кроме особенностей формирования силицидных затворов, обусловленных агломерацией и неполным фазовым превращением силицида, необходимо учитывать ряд проблем (табл. 6.4) [47]. Помимо указанных причин увеличения ps слоев силицидов, обусловленных агломерацией и неполнотой фазового превращения, к росту ps может приводить неконтролируемое образование окислов Si и металлов в двухслойной структуре затвора. Как правило, металлы, используемые для формирования силицидов, легко окисляются, если в инертной атмосфере силицидообразующего отжига имеются следы окислителя. Последствиями окисления металла являются ухудшение морфологии силицида и увеличение поверхностного сопротивления затвора, а в сток- истоковых областях — аномально высокий ток утечки /ья-перехода из-за сильно развитого рельефа нижней границы силицида с кремнием. Обычно этот эффект наиболее сильно проявляется при формировании силицида на кремнии «-типа. Окисление металла может быть предотвращено путем нанесения защитного слоя TiN в одном вакуумном цикле с нанесением силицидообразующего металла. Выбор TiN в качестве защитного слоя обусловлен возможностью его удаления в том же травителе, что и непрореагировавшего с кремнием металла. Образование кислородных соединений Si и металла в силициде может быть вызвано также высокой плотностью атомов отдачи кислорода при ионной имплантации примеси в поликремний через слой Si02. Кроме увеличения ps это приводит к снижению термической стабильности силицида. Поэтому перед ионной имплантацией нужно удалять слой Si02. При использовании силицидной технологии возможно образование «мости- ковых» закороток (bridging) между затвором и сток-истоковыми областями. Эта проблема наиболее часто возникает при формировании TiSi2 и CoSi2, так как в этом случае образование силицида происходит, главным образом, в слое металла, в который из поли-8ьзатвора и сток-истоковых областей диффундирует кремний. При повышенной температуре отжига атомы Si диффундируют в слой металла, расположенный на диэлектрическом спейсере, в результате чего образуется слой силицида, закорачивающий затвор и сток-истоковые области. В случае формирования NiSi «мостиковые» закоротки возникают значительно реже, так как механизм силицидообразования основан на переносе атомов металла в кремний. Для предотвращения образования «мостиковых» закороток формирование силицида проводят в два этапа. При формировании CoSi2 первый этап — силицидообразова- ние осуществляют при температуре 500°С. При такой низкой температуре формируется силицид, обогащенный металлом Co2Si, образование которого происходит так же, как и NiSi, т. е. диффузией атомов металла в кремний без возникновения «мостиковых» закороток. После удаления слоя кобальта с поверхности спейсера при более высокой температуре (750°С) проводят формирование низкоомного силицида путем фазового превращения Co2Si => CoSi2. В случае формирования силицида титана образование высоомной фазы С49 TiSi2 происходит при температуре (600°С). В отличие от случая CoSi2, на этом этапе силицид TiSi2 образуется диффузией атомов кремния в слой металла. Поэтому отжиг на первом этапе формирования TiSi2 осуществляют при минимальной температуре образования фазы С49 TiSi2. После удаления непрореагировавшего металла производят отжиг при
6.3. Салицидная технология формирования затворов субмикронных МОПТ 1Э 800°С для обеспечения наиболее полного фазового превращения С49—С54 TiSi2, благодаря которому удельное сопротивление силицида снижается в четыре раза. Проблема снижения надежности подзатворного окисла возникает при диффузии атомов металла через слой поли-Si в подзатворный Si02. Однако при используемой температуре отжига и толщине слоя поли-Si атомы Ti и Со не достигают границы раздела rawm-Si-Si02. Концентрация атомов никеля на границе поли-8ь8Ю2 значительно выше и достигает уровня 5 • 1017 [47]. Тем не менее плотность заряда, инжектированного в окисел до пробоя (QBD), не отличается от величины, характерной для поли-Бьзатвора без силицида. В результате сегрегации и перераспределения легирующей примеси на границе силицид-поликремний возможно увеличение в структуре затвора контактного сопротивления. Так, степень перераспределения бора в структуре TiSi2-Si выше, чем в CoSi2—Si, в связи с чем ps в салицидном затворе значительно выше при использовании TiSi2 [50]. Предотвращение перераспределения примеси достигается применением быстрого отжига в процессах формирования силицида и снижением температуры последующих термических операций. Применение TiSi2 ограничено также эффектом подавления процесса образования силицида на Si, сильно легированном As (D > 2 • 1015 Ом2 см2 (dopant effect). Это обусловлено низкой энергией образования соединения TiAs (ПО кДж) в сравнении с энергией образования соединений Ti с бором и Si [51, 52]. Поэтому уровень легирования кремния мышьяком при использовании TiSi2 нужно оптимизировать с целью снижения ps силицида и уменьшения эффекта обеднения затвора носителями. Интенсификация силицидообразования на Si, сильно легированном As, обеспечивается использованием предварительной аморфизации кремния перед нанесением слоя Ti. При формировании слоев силицидов в кремнии возникают механические напряжения, обусловленные различием их ТКР, а в случае эпитаксиальных слоев силицидов — еще и несоответствием параметров кристаллических решеток. Уровень напряжений становится критическим при уменьшении размеров элементов до 0,25 мкм и может привести к увеличению тока утечки /?-я-переходов [53] и увеличению вариаций крутизны МОПТ [54]. 6.3.2. Затворы на основе силицида титана Силицид титана широко используется при изготовлении субмикронных КМОП- приборов по силицидной технологии с проектной нормой менее 0,5 мкм. TiSi2 имеет низкое удельное сопротивление, приемлемые для стандартной технологии режимы образования и допускает возможность селективного удаления не- прореагировавшего металла. Ограничения использования TiSi2 связаны с факторами, приводящими к росту ps при уменьшении размеров силицидизируемой области. В стандартной технологии изготовления КМОП-приборов силицидо- образование осуществляют при температуре 600°С (первый отжиг). Взаимодействие титана с кремнием происходит благодаря диффузии атомов кремния через слой TiSi2. Скорость роста слоя силицида тем больше, чем выше температура отжига. Зависимость толщины слоя силицида от длительности отжига является линейной функцией VF [55]. При отжиге (600°С, 30 мин) взаимодействие Ti
ufa 66 Глава 6. Формирование затвора субмикронных МОПТ О х CQ 5 Н О с О 10 о к н о о д X О) CQ о II—I—«— а) 600 А Ti/Uonu-Si (30—35 нм) и Si приводит к образованию слоя силицида в метастабильной фазе С49 TiSi2 с pv = (60—70) • 106 Ом • см. После удаления слоя непрореагировавшего титана проводят второй отжиг при температуре > 750°С для формирования термодинамически стабильной фазы С54 TiSi2. Удельное сопротивление силицида в этой фазе равно (13—18) • Ю-6 Ом • см. Размер зерен силицида фазы С49 и С54, полученного при указанной температуре в течение 30 мин, равен ~ 30 и 65 нм, соответственно. При самосовмещенном формировании областей силицида титана протекают нежелательные реакции Ti с кислородом атмосферы реактора и Si02 (рис 6.33). При взаимодействии Ti с Si02 на границе раздела образуется обогащенный кремнием проводящий слой Ti5Si3, легко удаляемый в селективном травителе. Неполное стравливание приводит к «мостиковым» закороткам, т.е, в конечном счете, к браку приборов. Обнаружено, что образование Ti5Si3 в случае отжига в среде Н2 происходит более интенсивно, чем в инертной среде [56, 57]. В результате латеральной диффузии атомов Si в слое Ti образуется на окисле силицид, что также приводит к «мостиковым» закороткам. Процесс этот проходит в условиях превышения временного и температурного режима первого отжига, поэтому превращения слоя Ti в TiSi2 не происходит. Образование TiSi2 на окисле при первом отжиге может также происходить из-за диффузии атомов Si в слое Ti5Si3 и последующей реакции с вышележащим слоем Ti. Скорость диффузии атомов Si в слое Ti5Si3 такова, что после первого отжига в Н2 слой TiSi2, не удаленный в селективном травителе, образуется на расстоянии десятков микрон от затвора. Если же наносить Ti на подслой W, который при температуре первого отжига не взаимо- Ч' м1 o2(D j щ\ Г ft (2) V Х ' it (2) Si (3) Si (4) Si I Si (3) Ti SiO, Si (1) Ti + 02"^Ti-0 на поверхности слоя Ti (2) Ti + Si02-*Ti-Si-0 на границе Ti/Si02 (3) Ti + Si -^>TiSi2 на Si02 (латеральная диффузия кремния) (4) Ti + Si ^TiSi2 на Si (вертикальная диффузия кремния) -Й-J 1 L J L. 550 600 650 T(°C) 700 750 800 -I 1 \ \ 6) 600 A Ti/FIcwiH-Si J О После селективною травления • После 1-го отжига А После 2-го отжига 500 550 600 650 тсо 700 750 800 Рис. 6.33. Реакции взаимодействия Ti с кислородом окисла и кремнием при самосовмещенном формировании слоя TiSi2 Рис. 6.34. Зависимости поверхностного сопротивления слоя TiSi2 (1200 А) от температуры первого отжига (а) в Аг, (б) в N2
6.3. Салицидная технология формирования затворов субмикронных МОПТ 46 Рис. 6.35. Зависимость изменения толщины слоя Si02, вызванного взаимодействием с Ti, от температуры первого отжита (длительность отжига 10 с) действует с Si02, слой Ti5Si3 не образуется, и рост TiSi2 на поверхности окисла происходит только за счет латеральной диффузии Si в слое Ti, протяженность которой при температуре 700°С (10 мин) не превышает 1 мкм [56]. Итак, первый отжиг нужно проводить в инертной среде при температуре, достаточной для образования фазы С49 TiSi2, с длительностью, определяемой протяженностью латерального роста силицида, т.е. в режиме быстрого отжига. При формировании силицида с использованием быстрого отжига в среде азота и аргона выявлено снижение скорости образования силицида в среде азота [56]. На рис. 6.34. приведены зависимости ps силицида от температуры первого отжига (10 с) в среде Аг и N2 (толщина слоя TiSi2 равна 1200 А). Видно, что скорость образования фазы С49 TiSi2 значительно ниже при отжиге в среде N2, чем в среде Аг. Тем самым обеспечивается мелкозернистая структура этой фазы, что является важным фактором при фазовом превращении С49—С54 TiSi2 во время второго отжига. Выполняемая после первого отжига операция селективного травления в аммиачно-перекисном растворе должна обеспечить полное удаление остатков нитрированного слоя титана Ti(N) и межфазного TixSiy, обогащенного титаном, состав которого зависит от температуры среды отжига. Представление об интенсивности взаимодействия Ti с окислом с образованием межфазного силицида можно получить при рассмотрении зависимости изменения толщины слоя окисла от температуры (рис. 6.35). Количество «мостиковых» закороток зависит от времени травления и температуры первого отжига. В табл. 6.5 приведены результаты анализа выхода годных тестовых структур от количества «мостиковых» закороток в зависимости от температуры первого отжига и длительности селективного травленя [58]. Тестовые структуры — это поли-8ьзатворы длиной 30 см, вдоль периметра которых расположены 6400 контактных окон к моно-Si; расстояние между силицидом на поли-Si и в контактных окнах определено окисным спейсером толщиной 0,25 мкм; толщина слоя титана 35 нм; режим второго отжига — 900°С, 20 с. Выход годных определяли по измерениям 140 структур. Видно, что с повышением температуры первого отжига необходимо увеличить длительность травления, так как скорость травления межфазного силицида обратно пропорциональна содержанию Si, которое растет с повышением температуры первого отжига. Условия проведения второго отжига должны обеспечивать полноту фазового превращения С49-С54 TiSi2 при минимально возможном термическом бюджете. Зависимость поверхностного сопротивления слоя силицида от длительности второго отжига при температуре 900 и 875°С приведена на рис. 6.36 [56]. Как видно, скорость фазового превращения С49-С54 TiSi2 определяется тремя фак-
|fa68 Глава 6. Формирование затвора субмикронных МОПТ 15Ь G 30 о* <L> S X 5 я н О Он с о о о X н о о X X Си <D 03 о 0 5 10 15 20 25 30 35 40 45 Длительность 2-го отжига (с) а) о 23 нм j а 26 нм > Структуры van der Pauw о 39 нм) ■ 23 hmj * 26 им > Резисторы шириной 1,5мкм • 39 нм) 0 25 50 75 100 125 150 175 Длительность 2-го отжига (с) б) Рис. 6.36. Зависимость поверхностного сопротивления слоев силицида от длительности второго отжига 900°С (а) и 875°С (б) для различной толщины слоя Ti торами: температурой, толщиной слоя титана и шириной силицидизированнои области. Для фазового превращения тонких слоев силицида необходима более высокая температура и/или более длительный отжиг. Повышение температуры отжига — более эффективное средство повышения скорости фазового превращения, чем увеличение длительности отжига. Это обусловлено тем, что фазовое превращение С49—С54 TiSi2 является процессом с высокой энергией активации (4,5 эВ [58]). Процесс фазового превращения состоит из двух последовательных этапов — зарождения фазы С54 TiSi2 и последующего ее роста, причем скорость фазового превращения определяется в основном этапом образования центров зарождения фазы С54 TiSi2. Образование таких центров зависит от уровня механических напряжений в слое и размера зерен фазы С49 TiSi2. Поэтому изменение толщины слоя и размеров областей силицидизации оказывают значительное влияние на температуру фазового превращения С49-54 TiSi2. Исследования фазового превращения С49—С54 TiSi2 привели к заключению, что зарождение фазы С54 TiSi2 наиболее вероятно в «тройной точке»— точке пересечения трех границ зерен фазы С49 TiSi2 (рис. Рис. 6.37. Скорость фазового пре- 6.37) [59, 60]. Было также обнаружено, что в вращения С49—С54 TiSi2 зависит от тонких слоях силицидов на узких поли-Si- плотности центров зарождения фазы областях скорость фазового превращения С54. Зарождение фазы С54 происхо- ограничена из-за низкой плотности цен- ДИТ_В точке^пересечения трех границ тров зарождения фазы. В таком случае для увеличения полноты фазового превраще- LJUEk. -С54 j Размер зерна( X) V **—** ,^Ф^^т\ ( мД зерен фазы С49
6.3. Салицидная технология формирования затворов субмикронных МОПТ 469j|j Табл. 6.5. Выход годных тестовых структур без «мостиковых» закороток в засисимости от температуры и длительности первого отжига, от времени селективного травления непрореагировавшего слоя титана Первый отжиг Температура (°С) 700 725 750 800 850 700 725 750 800 700 725 750 700 725 1 750 Длительность (с) 10 10 10 10 10 10 10 10 10 30 30 30 30 30 30 Время селективного травления (мин) 20 20 20 20 - 5 5 5 5 20 20 20 5 5 5 Выход годных тестовых структур (%) 100 100 100 82 58 100 97 94 68 100 100 100 98 54 3 ния С49—С54 TiSi2 второй отжиг силицида необходимо производить при более высокой температуре или более длительное время (рис. 6.36). Эффект увеличения поверхностного сопротивления слоя силицида на узкой поли-Бьобласти, обусловленный неполнотой фазового перехода С49-С54 TiSi2, называют NLE- эффектом (Narrow Line Effect). На рис. 6.38 приведена зависимость доли площади поверхности силицида, занятой зернами фазы С49 и С54 TiSi2, от ширины области силицидиза- ции [46]. Как видно, при С49 40% С54 60% а) 0,4м км Э40| с J япйш-ИГЬ. ...... .1 и0.1 .2.3.4.5.6.7.8.91.0 Размер зерна (мкм) ^80] 1 40 2 20Г ШЭ о ^ 20 Q 40 g 60 If С49 | 100% i b) 0,2мкм 0.1.2.3.4.5.6.7.8.91.0 Размер зерна (мкм) ширине области 2 мкм доля площади поверхности, занятой зернами фазы С54, составляет ~ 80%, в то время как при ширине 0,2 мкм образование фазы С54 еще не началось. Если размер зерен фазы С49 TiSi2 достигает ширины силици- дизированной области, то плотность центров зарождения фазы С54 ^ис- 6-38. Влияние ширины областей силицидизации и TiSi («тройных точек») РазмеРа зеРен фазы С49 на полноту фазового превращения снижается, поэтому пло- 0.1 0.2 0.4 1.0 2.0 W (мкм) 10 m С49 100% с) 0,1 мкм I 1 .2 .3 .4 .5 .6 .7 .8.9 1 Размер зерна (мкм) С 44-С54 TiSL
|Н70 Глава 6. Формирование затвора субмикронных МОПТ U го ■е PQ X Ж я аз со о К о, о -е о О 120 100 80 60 40 20 0 120 100 80 60 40 20 0 120 100 80 60 40 20 0 120 100 80 60 40 20 0 [Имплантация бора, 3-Ю15 см2,10 кэВ Н L «jT4^ -0- Ширина линии 0,4 мкм 11 -Q- Ширина линии 0,5 мкм 11 -О"" Ширина линии 1,0 мкм I] -Х~ Ширина линии 2,0 мкм Г] ,. и 1 1 « 1 Имплантация мышьяка. 3-Ю15 см2,10 кэВ ча-в в) '0 Ширима линии 0,4 мкм НЕН Ширина линии 0,5 мкм ~0~ Ширина линии 1,0 мкм \ ~$4~ Ширина линии 2,0 мкм | щадь, занятая зернами этой фазы, мала или равна нулю (рис. 6.38). При ширине области силицидиза- ции, превышающей размер зерен фазы С49 TiSi2, плотность центров зарождения фазы С54 TiSi2 увеличивается, из-за этого скорость фазового превращения возрастает [61]. Наряду с NLE-эффектом в ряде работ [63—65] анализируется зависимость полноты фазового перехода С49—С54 TiSi2 и соответствующей величины поверхностного сопротивления силицида от площади силицидизированных областей. Обнаружено, что вследствие случайного характера распределения размеров зерен и плотности «тройных точек» существует определенная вероятность, что часть таких областей заданной площади не будет содержать центров зарождения фазы С54 TiSi2, и поэтому после второго отжига останется в фазе С49 TiSi2. С уменьшением площади относительная доля силицидизи- руемых областей, в которых не произошел фазовый переход С49—С54 TiSi2, увеличивается независимо от ширины областей и термического бюджета. В [62] показано, что влияние «эффекта малой площади» может проявляться при ширине об- Рис. 6.39. Зависимость относительного числа ластей' значительно превышающей Имплантация бора, 3-Ю15 см2, 100 кэВ ...0.. Ширина линии 0,4 мкм -Q- Ширина линии 0,5 мкм ~0~ Ширина линии 1,0 мкм -Н- Ширина линии 2,0 мкм Имплантация мышьяка, 3-1015 см2,100 кэВ- ...0ш Ширина линии 0,4 мкм -Q- Ширина линии 0,5 мкм -ф- Ширина линии 1,0 мкм ~Х~ Ширина линии 2,0 мкм 20 40 80 80 100 Площадь (мкм2) 120 структур, в которых достигнуто полное фазовое превращение С49—С54 TiSi2, от площади областей силицидизации: режим второго отжига (900°С, 30 с). размер зерен силицида в фазе С49 TiSi2 . Значительная часть областей малой площади может оставаться полностью в фазе С49 TiSi2, даже при ширине вплоть до 2 мкм. Например, вероятность того, что область силицида шириной 0,5 мкм и длиной 30 мкм после стандартного двухэтапного цикла формирования TiSi2 будет оставаться полностью в фазе С49, может достигать 60% (а сопротивление элемента указанных размеров - 0,5 кОм). На рис. 6.39 приведена зависимость относительного количества структур, в которых достигнуто полное фазовое превращение С49-С54 TiSi2 , от площади силицидизированных областей [62]. Остальные структуры во всех случаях оста-
6.3. Салицидная технология формирования затворов субмикронных МОПТ 411 вались полностью в фазе С49. Эти данные были получены для образцов с различной шириной силицидизированных областей. Вероятность того, что структура с заданными размерами полностью перейдет в фазу С54, зависит только от площади, а не от ширины. Кроме того, структуры, в которых поликремний легировали бором, характеризуются много меньшей вероятностью полного фазового превращения С49-С54 TiSi2, чем легированные мышьяком. Для структур, легированных бором, 100%-ная вероятность превращения в фазу С54 реализуется при площади более 100 мкм2, а для легированных мышьяком — более 30 мкм2. Этот результат может быть объяснен влиянием аморфизации поликремния при ионной имплантации мышьяка на размер зерен силицида фазы С49. Экспериментальный результат, полученный для случая легирования поликремния бором и показывающий, что чем больше при заданной площади ширина силициди- зированной области, тем меньше процент структур в фазе С54, пока не нашел объяснения. Различие между NLE-эффектом и «эффектом малой площади» заключается в следующем. NLE-эффект (в структурах большой площади) проявляется в снижении скорости фазового превращения при уменьшении ширины областей, но все же возможно достижение полного превращения в фазу С54 при увеличении термического бюджета. «Эффект малой площади» проявляется дополнительно к NLE-эффекту в структурах малой площади; его особенностью является то, что относительная доля структур (с заданной площадью), остающихся в фазе С49, не зависит от величины термического бюджета. Изложенные причины возрастания ps силицида титана связаны с неполнотой фазового перехода С49—С54 TiSi2, обусловленной неблагоприятным соотношением между размерами зерен, толщиной слоя силицида и размерами сили- цидизированной области. Для полного фазового превращения в узких областях силицида нужно, чтобы размер зерен фазы С49 был в 1,5—2,0 раза меньше ширины области. Тогда плотность центров зарождения фазы С54 будет достаточна для эффективного фазового превращения. Когда же размер зерен С49 сравним с шириной области, скорость фазового превращения снижается. Интенсификация этого процесса повышением температуры второго отжига приводит к агломерации силицида. В этом случае поверхностное сопротивление слоя силицида может возрастать в несколько раз. Процесс агломерации начинается с утонения Утонение вдоль границ зерен 715 °С, 20 с 850°Cfti(c) tf+Mc) 20 С Рис. 6.40. Развитие процесса агломерации слоя силицида: во время первого отжига (/,) зерна фазы С54 начинают контактировать друг с другом, во время второго отжига (/2) завершается фазовое превращение С49—С54 TiSi2. При увеличении длительности второго отжига процесс утонения силицида вблизи границ зерен приводит к формированию островковой структуры силицида
^72 Глава 6. Формирование затвора субмикронных МОПТ слоя силицида в окресности границ зерен (grooving). Границы между зернами представляют собой сильно разупоря- доченную область шириной 7—20 нм, обогащенную титаном. По завершении фазового превращения С49—С54 TiSi2 начинается перестройка разупорядо- ченной области между зернами, сопровождаемая диффузией атомов кремния из нижележащего слоя поликремния. При умеренном увеличении длительности и/или температуры отжига на этом этапе увеличивается микрорельеф Рис. 6.41. Кумулятивные распределения нижней границы раздела силицид- ps областей силицидизации шириной поликремний, обусловленный уто- 0,2 мкм после дополнительного отжига нением слоя силицида в окрестности (800-900°С, 30 с) Хгг ,71 п v ' ' границ зерен [66,67]. При дальнейшем увеличении длительности и/или температуры отжига процесс утонения силицида завершается формированием островковой структуры с прорастанием поли-Si до поверхности слоя силицида (рис. 6.40). Процесс агломерации силицида развивается также и на границах зерен фазы С49, когда фазовое превращение С49—С54 TiSi2 не происходит из-за отсутствия центров зарождения фазы С54 («тройных точек»). Развитие агломерации слоя сидицида сопровождается увеличением его поверхностного сопротивления. На рис. 6.41 приведены интегральные кумулятивные распределения поверхностного сопротивления силицидизированных областей шириной 0,2 мкм после дополнительного отжига при температуре 800—950°С (30 с). Как видно, в диапазоне температуры отжига 800—850°С однородность ps улучшается в области низких значений благодаря продолжающемуся процессу фазового превращения С49—С54 TiSi2. При повышении температуры дополнительного отжига до 900°С однородность ps снижается вследствие агломерации. В работе [68] показано, что процесс фазового превращения С49—С54 TiSi2 при температуре 900°С в областях шириной менее 0,25 мкм прекращается, и повышение температуры не может инициировать превращение фазы С49 в фазу С54. Поэтому дополнительный отжиг силицида с шириной областей менее 0,25 мкм при температуре >900°С приводит к агломерации. Вследствие этого наблюдается резкое ухудшение однородности распределения ps после отжига при температуре 950°С (рис. 6.41). Агломерация силицида в областях шириной более 0,25 мкм начинает проявляться при более высокой температуре дополнительного отжига. Так, при ширине областей 0,3 мкм температура отжига, соответствующая начальной стадии агломерации, возрастает до 1000°С [66]. 6.3.3. Технологические методы интенсификации фазового превращения С49-С54 TiSi2 Рассматриваются методы повышения полноты фазового превращения и подавления агломерации в узких областях силицида шириной менее 0,25 мкм. Ис-
6.3. Салицидная технология формирования затворов субмикронных МОПТ 473 следования в этом направлении при масштабировании МОПТ приобретают особое значение в связи с необходимостью уменьшения толщины слоя титана (с целью недопущения роста тока утечки сток-истоковых/?-я-переходов), что ухудшает условия для полного фазового превращения С49—С54 TiSi2. Снижение содержания кислорода в структуре затвора. Одной из причин снижения термостабильности и скорости фазового напряжения С49—С54 TiSi2 является наличие кислорода в слоях титана, поликремния и на границе между ними. Введение кислорода в структуру затвора происходит в процессе ионной имплантации легирующих примесей через тонкий защитный окисел (-20 нм), необходимый для исключения внесения нежелательных примесей из камеры имплантера и из фоторезистовой маски, дважды формируемой для ионной имплантации мышьяка и бора. Кроме того, перед первым и вторым отжигом силицида происходит окисление пластин атмосферным кислородом во время межоперационного хранения. В работе [69] снижение содержания кислорода в силициде достигалось нанесением защитного слоя TiN (50 нм) перед первым и вторым отжигом. Сравнение поверхностного сопротивления силицида, сформированного без защитного слоя (А), с защитным слоем, наносимым перед первым отжигом (В) и перед вторым отжигом (С), а также с защитным слоем, наносимым и перед первым, и перед вторым отжигом (D), представлено в виде гистограмм на рис. 6.42 для толщины слоя титана 20 и 40 нм. Использование защитного слоя TiN в каждом случае обеспечивает снижение поверхностного сопротивления, но наилучшие результаты получены при двукратном нанесении защитного слоя перед первым и вторым отжигом. Уменьшение поверхностного сопротивления силицида обусловлено повышением полноты фазового превращения С49—С54 TiSi2. Кроме того, использование защитного слоя TiN перед вторым отжигом приводит к образованию более толстого слоя титана из-за исключения его взаимодействия с азотом в атмосфере камеры отжига. Однако следует отметить, что снижение поверхностного сопротивления в этом случае обусловлено не только увеличением толщины силицида. Действительно, ps силицида, сформированного без защитного слоя, снижается с 15,4 Ом/п до 5,95 Ом/п при использовании двух защитных слоев, в то время как толщина силицида возрастает с 33 до 43 нм. Из этого следует, что использование защитных слоев приводит к уменьшению удельного сопротивления силицида с 50,6 • Ю-6 Ом • см до 25,5 • 106 Ом • см, что объясняется увеличением полноты фазового превращения С49-С54 TiSi2. Предотвращение окисления силицида применением защитных слоев приводит к снижению зависимости поверх- Рис 6А2. Поверхностное сопротивление ностного сопротивления от ширины слоев TiSi2, сформированных с и без за- области силицида (рис. 6.43). Показано щитного слоя TiN; ширина силицидизи- также, что использование защитного руемых областей 0,35 мкм, режим второго слоя TiN повышает термическую ста- отжига (850 °С, 30 с) о 16 п 14- Р - 10- 8- 6- i - ?- 0- 15,4 □ Без защитного слоя Щ Защитный слой перед 1-м отжигом 9 7 В Защитный слой перед ^-^. 8,8 2-м отжигом ■ Защитный слой перед ;:;^^Н5,95 '"м и 2-м отжигом у| i_j ■шм"04 ИНН | 20 нм 40 нм Толщина осажденного Ti (нм)
|f474 Глава 6. Формирование затвора субмикронных МОПТ D s £12 1 ю Б в- сх о С ° ft 8 2- S. 0- о 0 " . • ♦•Be3TiN,20HMTi,850°C ^*-С TiN перед 1-м и 2-м отжигами, 20нмТ|,Б50°С • -^Be3TiN,20HMTi,890°C -*-С TiN перед 1-м и 2-м отжигами < 20iiMTi,890°C \ Ч -*-С TiN перед 1-м и 2-м отжигами 40HMTi,850°C * х*Г>-«^ ~* .3 0.5 0.7 0.9 Ширина линии (мкм) 1.1 бильность TiSi2 и снижает различие толщины силицида, формируемого на кремнии п+- и/?+-типов [69]. В работе [70] предложена так называемая OFS-технология (Oxygen free silicidation), дополнительно исключающая введение кислорода в структуру затвора на этапах ионной имплантации бора и мышьяка. Последовательность операций изготовления МОПТ по этой технологии приведена на рис. 6.44. Поликрем- Рис. 6.43. Влияние защитного слоя TiN на ний осаждается методом LPCVD в зависимость поверхностного сопротивления установке со шлюзовой камерой, слоев TiSi2 от ширины силицидизируемых об- что обеспечивает точку росы в про- ластей цессе осаждения ниже -100 °С, а содержание кислорода менее 0,01 ррт. Нанесение слоя TixNy осуществляется методом реактивного распыления в среде 1%N2+Ar в ультравысоковакуумной установке кластерного типа. Силицидообра- зующий отжиг производится в термическом модуле в одном вакуумном цикле с процессом нанесения TixNy Ионная имплантация примесей проводится после силицидообразующего отжига, чтобы исключить внедрение атомов отдачи кислорода в поликремний. В результате таких мер по предотвращению образования окисных соединений Si и Ti температура второго отжига может быть повышена до 1000°С (20 с) без признаков агломерации. На рис. 6.45 приведена зависимость от температуры второго отжига ps силицида, сформированного по обычной и OFS- технологии. Поверх- Формирование затвора с использованием LPCVD осаждения поликремния и защитного окисла Ионная имплантация через защитный окисел и активационный отжиг t * + Удаление окисла в растворе HF и нанесение слоя Ti ( 50нм ) 1-й отжиг RTA, 625°С, 20с , N2 Селективное травление и 2-й отжиг RTA, 850 °С, 20с, N2 а) Формирование затвора с использованием установки для осаждения иоли- кремния со шлюзовой камерой Травление в растворе HF и нанесение слоя TixNy( 50нм ) 1-й отжиг RTA, 625°С, 20с , N2 Ионная имплантация через слой TiN t t * Селективное травление и 2-й отжиг RTA, 1000°С, 20с, N2 б) ностное сопротивление силицида, полученного по стандартной технологии, начинает Рис. 6.44. Последовательность этапов изготовления МОПТ возрастать при тем- по стандартной силицидной (а) и OFS-технологии {б) пературе 950°С. При
6.3. Салицидная технология формирования затворов субмикронных МОПТ 475j| 100 хО Н О <D О К X X X 0> ^ 5 <D PQ ffl 5 о н С g С о о 10 г~ г* г" Ь Jj] Ё Е i "Г" 1 1 ! а) Стандартная технология 1 I L . 1 « \ b) OFS т i_ I ргпт *| 1 ч uL н JJJ 1 800 900 1000 1100 Температура 2-го отжига RTA (°С) Рис. 6.45. Зависимость ps слоев TiSi2, сформированных по стандартной и OFS-технологии на поликремнии я+-типа, от температуры второго быстрого отжига этой же температуре, как показал электронно-микроскопический анализ, начинается процесс агломерации. Поверхностное сопротивление силицида, полученного по OFS-технологии, остается неизменным вплоть до температуры отжига 1050°С. Благодаря повышенной термической стабильности силицида в этом случае допускается возможность использования технологических операций с высоким термическим бюджетом, в частности, операции оплавления БФСС в печи при температуре 900°С. Кроме того, в предложенной технологии формирования силицида отсутствует различие в характеристиках силицида, сформированного на кремнии п+- и /?+-типов, таких как поверхностное сопротивление, толщина силицида и зависимость ps от ширины силицидизированной области. В стандартной технологии из-за более высокой плотности атомов отдачи кислорода в кремнии, создаваемых имплантацией ионов мышьяка, в сравнении с имплантацией ионов бора, термическая стабильность силицида на кремнии п+- типа ниже, а поверхностное сопротивление выше, чем на кремнии /?+-типа. Силицид, сформированный по OFS-технологии, имеет поверхностное сопротивление в 5—10 раз более низкое, чем в обычной технологии. При этом ps силицида не зависит от типа проводимости поли-Si и от ширины области силицидизаации вплоть до 0,15 мкм (рис. 6.46). Таким образом, наличие кислорода в силицидной структуре затвора оказывает значительное влияние на процесс силицидообразо- вания, на фазовое превращение С49—С54 TiSi2, а также на термическую стабильность TiSi2. Исключение влияния процесса реактивно-ионного травления окисла при формировании спенсеров. В работе [71] показано влияние реактивно-ионного травления окисла с использованием CHF3 и CF на последующий процесс формирования силицида. Исследования показали, что в результате этой операции в поликремний вводятся примеси фтора, углерода и кислорода (образец С) на рис. 6.47). При использовании очистки поверхности поли-Si методом ионного травления перед нанесением слоя титана (образец В) в поли-Si увеличивается □ о60 а> S X <и |40 о о- с §20 ML Стандартный TiSi/n+ О OFS TiSi2/n" О OFS TiSi2/p+ . ОтО(ЖЖК>^0 0.2 0.4 0.6 Длина затвора (мкм) 0.8 Рис. 6.46. Поверхностное сопротивление затворов п+- и/?+-типа с силицидом, сформированым по OFS-технологии, в сравнении с затвором /?+-типа, изготов- содержание кислорода (атомы отдачи), ленным по стандартной салицидной Последовательность операций формиро- технологии; диапазон ширины обла- вания силицида, обеспечивающая защиту стей силицидизации 0,15—0,8 мкм
|М76 Глава 6. Формирование затвора субмикронных МОПТ 1 i i til /? ООбразец А АОбразец В ООбразец С \Д I ,,, ЛО I — 50 в о 40 J 30 20 о 10 С Элемент о 44 Рис. 6.47. Относительное содержание фтора, углерода и кислорода, вносимых при очистке поверхности кремния перед нанесением Ti: A — удаление окисла в растворе HF, В — ионное травление в Аг, С — реактивно-ионное травление Фотолитография и В ФСС травление затвора [ | Поли Осаждение Si02 Формирование спейсеров Селективное удаление ФСС * ря*« * && -Si L Эбы D * .•,□>,,-, Ф db чная структура затвора Рис. 6.48. Последовательность этапов формирования спейсеров, исключающая внесение примесей фтора, углерода и кислорода при очистке поверхности поликремния перед нанесением Ti поверхности поликремния от внесения указанных примесей, приведена на рис. 6.48. На поверхность поли-Si наносят защитный слой ФСС, затем производят фотолитографию затворных областей, после чего формируют окисные спейсеры. После удаления ФСС в буферном травителе на поли-Si наносят слой титана. На рис. 6.49 приведены зависимости ps силицида, сформированного на ^-поли-Si, от ширины силицидизированных областей. Формирование силицида осуществляли при следующих условиях: толщина слоя Ti 30 нм, первый отжиг 750°С (30 с), второй отжиг 850°С (10 с) в среде N2. Ясно, что защита поли-Si от внесения примесей фтора, углерода и кислорода при выполнении операций РИТ и ионного травления обеспечивает снижение зависимости ps от ширины области силицида. Предварительная аморфизация Si с целью снижения ps тонких слоев TiSi2B областях шириной до ОД мкм. Перед нанесением слоя Ti проводится аморфизация кремния путем имплантации ионов элементов с большой атомной массой As, Ge, Si, Sb или молекулярными ионами BF2. В результате применения предварительной аморфизации (PAI — pre-amorphization implant) размер зерен фазы С49 TiSi2 снижается до -0,07 мкм в сравнении с обычным методом формирования силицида, в котором средний размер зерен равен -0,2 мкм. Это позволяет создавать слои силицида с высокой степенью фазового превращения С49—С54 TiSi2 и низким ps в областях шириной до 0,1 мкм (рис. 6.50) [72]. Использование РА1-процесса совместно с быстрым термическим отжигом юз 102 10 1 [Rib \ Г *SlXA -О- (•) -А- (Ь) -О (с) ] \ I J & [ 0.1 0.2 0.3 1 Ширина линии затвора (мкм) Рис. 6.49. Зависимости поверхностного сопротивления TiSi2 на поли-Si я+-типа от ширины силилидизируе- мых областей при очистке поверхности поликремния: (а) травлением в растворе HF, (б) ионным травлением в Аг, (в) реактивно-ионным травлением
6.3. Салицидная технология формирования затворов субмикронных МОПТ 47 Табл. 6.6. Влияние условий аморфизирующей имплантации ионов Sb, Ge и As на толщину, поверхностное и удельное сопротивление TiSi2. Образцы Обычный Sb+, 40 кэВ, PAI Sb+, 60 кэВ, РА1 Sb+, 80 кэВ,РА1 Sb+,40K3B,PA1 Sb+, 60 кэВ,РА1 Sb+, 80кэВ,РА1 As+, 40 кэВ,РА1 As+, 60 кэВ, PAI As+, 80 кэВ,РА1 Кр(А) 0 230 310 385 273 381 488 269 374 478 ARp(A) (Глубина аморф. слоя (А) 0 71 96 118 102 140 177 99 136 172 0 380 500 630 500 690 820 480 650 760 Толщина TiSi2 (А) 510 360 395 450 395 450 520 — 510 - R (Ом/А) (мкОм.см) 6.29 5.20 4.40 4.20 5.12 4.20 4.02 4.93 4.35 4.90 32.1 18.7 17.4 18.9 20.2 18.9 20.9 — 22.6 - Толщина Ti = 30 нм, доза аморфизирующей ионной имплантации 3 • 1014 см2, 1-й отжиг 670 °С (20 с), 2-й отжиг 880 °С (20 с). обеспечивает ускорение реакции взаимодействия Ti с кремнием по отношению реакции Ti с азотом, благодаря чему полнота фазового превращения С49—С54 TiSi2 достигается при снижении толщины слоя титана до 17-20 нм. Этот результат имеет большое значение для исключения роста тока утечки мелкозалегаю- щих /ья-переходов и возрастания контактного сопротивления сток-истоковых областей. Использование PAI-процесса создает возможность снижения температуры фазового превращения (второго отжига) на 80°С [73], вследствие чего высокая степень фазового превращения достигается до начала агломерации силицида в узких областях. При исследовании процесса взаимодействия Ti и Si с различным уровнем аморфизации установлено [74], что снижение температуры фазового превращения происходит вследствие образования не идентифицированной промежуточной кристаллической фазы на начальном этапе взаимодействия, возникающей до образования фазы С49. С ростом степени аморфизации кремния плотность центров зарождения этой не идентифицированной фазы увеличивается, соответственно снижается температура образования фазы С49 TiSi2 и, как следствие — уменьшается размер зерен фазы С49 и увеличивается плотность центров зарождения фазы С54 TiSi2. Наряду с аморфизацией кремния ионами мышьяка, исследовалась возможность использования ионов Sb и Ge [73] с целью Рис 6>50. Зависимость Ps затвора исключить компенсацию примеси в сток- TiSi2/mxjiH-Si-fl+-THna от длины за- истоковых областях /?-МОПТ и снижение твора с использованием РА1 и без скорости образования TiSi2 на областях, ле- PAI. В первом случае обеспечивается тированных мышьяком [75]. Возможность низкая величина (4 Ом/П) и высокая использования указанных ионов обусловле- воспроизводимость ps с Lg = 0,1 мкм ^ □ ^60 «| ^55- к SO' £ 4$- § *о g 35' R30 S2S- £ 20' о 15- н Ю- рхно осп Пове 1 ♦ 50 нм TiSi,, с использованием PAI I •О 50 нм TiSi,, без использования PAI j \ I : V 1 • d i ........ . > 0.1 0.2 0.3 0.4 0.5 0.6 07 0.8 0.9 Длина затвора (мкм)
нМ78 Глава 6. Формирование затвора субмикронных MOIJT 100 0,3 мкм —в— Обычная технология —•— РА1-технология lot на тем, что Ge является нейтральной примесью в кремнии, а атомы сурьмы при взаимодействии Ti с кремнием сегрегируют в силицид. Кроме того, атомная масса Sb (121,7) значительно больше, чем у As (74,9), что обеспечивает более резкую границу аморфизации и эффективный отжиг имплантационных дефектов при более низкой температуре. Применение ионов Sb и Ge вместо As [76] обеспечивает более однородное взаимодействие Ti с моно-Si и более низкую температуру фазового превращения С49-С54 TiSi2. Кроме того, граница раздела TiSi2 с кремнием я+-типа характеризуется менее развитым микрорельефом, несмотря на то, что наличие мышьяка в кремнии подавляет образование TiSi2. Результаты исследования влияния условий аморфизирующей имплантации ионов Sb, Ge и As на толщину, поверхностное и удельное сопротивления слоя силицида приведены в табл. 6.6 [73]. Видно, что с увеличением глубины аморфизации кремния я+-типа толщина слоя TiSi2 возрастает и что при аморфизации ионами Sb с энергией Е < 80КэВ и ионами Ge с Е < 60 кэВ толщина сформированного слоя TiSi2 меньше, чем в стандартном процессе без аморфизации кремния. Это означает, что при формировании силицида с указанными режимами аморфизации слой титана использован не полностью и, следовательно, реакция между кремнием и Ti происходит, главным образом, в аморфизи- рованном слое. Из результатов исследования, приведенных в табл. 6.6, следует, что, во-первых, аморфизация кремния ионами Sb, Ge и As повышает скорость фазового превращения С49—С54 TiSi2 , что обеспечивает снижение удельного сопротивления силицида по отношению к образцам без PAI- (32,1 • 10~6 Ом • см). Во-вторых, аморфизирующая имплантация ионами Sb с энергией 60 кэВ способствует снижению удельного сопротивления силицида до наименьшей величины 17,4 • 106 Ом • см и обеспечивает минимальную толщину слоя силицида, что важно для предотвращения увеличения тока утечки /?-я-переходов. В-третьих, при одинаковой энергии и дозе аморфи- зирующих ионов Sb, Ge и As (60 кэВ, 3 • 1014 см2) удельное сопротивление силици- нологии и с использованием предвари- / j« f тельной аморфизации кремния (PAI, от Да> сформированного с использованием температуры дополнительного отжига ™> имеет наивысшее значение 22,6 * 10 (30 с): ширина областей силицидизации Ом • см, из-за снижения скорости фазово- 0,3 мкм (а) и 0,2 мкм (б); длительности го превращения С49—С54 TiSi2 в присут- отжига 20 с ствии атомов мышьяка. ч*-~ 25 800 850 900 950 а) Температура (°С) о С Обычная технология PAI-технология -//- 25 800 850 900 950 б) Температура (°С) Рис. 6.51. Зависимость ps слоя TiSi2, сформированного по стандартной тех-
6.3. Салицидная технология формирования затворов субмикронных МОПТ 473^ При использовании PAI необходимо учитывать перераспределение примеси в сток-истоковых областях /ьМОПТ, происходящее на границе TiSi-кремний, а также вследствие TED-эффекта (см. разделы 4.3.3 и 4.3.4.). В стандартном двухэ-тапном процессе формирования силицида с PAI снижение поверхностной концентрации бора в сток-истоковых областях приводит к увеличению контактного сопротивления [77]. С уменьшением глубины залегания /?-я-перехода возрастание контактного сопротивления увеличивается. В работе [78] показано, что в этом случае уменьшение тока стока может достигать 10%. В связи с этим в работах [77, 78] исследована возможность оптимизации технологии формирования силицида TiSi2 с применением PAI без второго отжига. Это оказалось возможным при сочетании использования PAI и нанесения ультратонких слоев молибдена на поверхность кремния. Использование предварительной аморфпзации кремния приводит к снижению термической стабильности силицида TiSi2. В отличие от стандартной технологии в слоях силицида, сформированного с применением PAI, уже на стадии второго отжига вслед за завершением фазового превращения С49—С54 TiSi2 начинается утонение слоя вблизи границ зерен (grooving). Во время дополнительного отжига возрастание поверхностного сопротивления силицида в областях шириной 0,3 мкм начинается при температуре 850°С, а в областях шириной 0,2 мкм — при температуре 800°С (рис. 6.51)[66]. В областях силицида, сформированного без применения PAI, фазовое превращение на стадии второго отжига не завершается, если ширина области меньше 0,25 мкм. Поэтому во время дополнительного отжига происходит два конкурирующих процесса: продолжение фазового превращения С49—С54 TiSi2 и локальное утонение слоя силицида. При температуре выше 850°С развитие процесса локального утонения приводит к агломерации и возрастанию поверхностного сопротивления. Метод ионного перемешивания используется наряду с методом предварительной аморфизации Si для интенсификации реакции Ti с Si. В результате его применения достигается разупорядочение структуры слоев Ti и Si в окрестности границы Ti-Si. В [79] ионное перемешивание осуществляли имплантацией тяжелых молекулярных ионов SiF3+ (M = 85), выбор которых обусловлен не только их большой массой, но и обнаруженным в работе [80] явлением улучшения термической стабильности силицида, содержащего атомы фтора. Энергия имплантируемых ионов SiF3 (E= 100 КэВ) выбирается из условия равенства проекции среднего пробега ионов толщине слоя титана (40 нм). После имплантации дальнейшая последовательность операций формирования силицида не отличается от стандартной: первый отжиг — в N2 (680°C, 60 с), второй отжиг — в N2 (800°С, 60 с). Результирующая толщина силицида равна 72 нм. Исследования показали, что несмотря на то, что имплантация ионов кремния дозой 1 • 1015 см2 (Е = 35 кэВ) создает наивысшую степень ионного перемешивания, процесс образования силицида и фазового превращения С49—С54 TiSi2 происходит интенсивнее, чем при имплантации ионов SiF3 дозой 3 • 10й см2 (Е = 100 КэВ), создающей меньшую плотность имплантационных дефектов в кремнии. Атомы фтора после отжига распределяются в системе TiSi2-Si таким образом, что максимум концентрации располагается на границе силицида с кремнием. Благодаря этому, как предполагается, происходит разрушение окисла на границе раздела TiSi2- Si, кроме того, атомы фтора на границе раздела способствуют диффузии ато-
^80 Глава 6. Формирование затвора субмикронных МОПТ мов кремния из поли-Si в силицид, что обеспечивает уменьшение размера зерен силицида фазы С49. В результате указанных процессов увеличивается полнота фазового превращения С49-С54 TiSi2 и снижается поверхностное и контактное сопротивление силицида, сформированного на узких областях поли-Si. Следует отметить, что применение ионного перемешивания с использованием ионов SiF3 не ухудшает характеристики сток-истоковых/?-я-переходов. Активация реакции взаимодействия Ti и Si в присутствии ультратонких слоев тугоплавких металлов (Мо). В работах [81, 82] показано, что при нанесении на поверхность кремния ультратонких слоев некоторых тугоплавких металлов (Мо,Та, Nb) скорость образования фазы С54 TiSi2 увеличивается. Это позволяет осуществлять, как и в случае PAI-процесса, формирование силицида при использовании одного низкотемпературного отжига, используя тонкие слои Ti (до 15 нм). Благодаря низкой температуре отжига исключается вероятность возникновения «мостиковых» закороток. Значительное снижение температуры образования фазы С54 TiSi2 было обнаружено при нанесении ультратонких слоев Мо [83] и ионной имплантации Мо в кремний перед нанесением Ti (D = (1-ИО) • 1013 см2) [84]. В работах [77, 85] показано, что на начальном этапе образования силицида фаза С49 TiSi2 предшествует или присутствует наряду с фазой С54 TiSi2. Главной причиной ускорения взаимодействия Ti с Si в присутствии Мо является увеличение плотности центров зарождения и уменьшение размеров зерен фазы С49 TiSi2. Благодаря этому низкое поверхностное сопротивление силицида обеспечивается в областях шириной до 0,1 мкм. Совместное использование ультратонких слоев Мо и РА1-процесса позволило уменьшить ширину областей силицида с низким поверхностным сопротивлением до 0,05 мкм. В [85] нанесение слоев Мо или MoSix (x « 2) осуществлялось электронно-лучевым испарением со скоростью 0,02—0,1 нм/с. Силицид формировался быстрым отжигом (650°С, 60 с) сразу же после нанесения слоя Ti или TiSix (13—15 нм) без нарушения вакуума с целью исключения взаимодействия титана с азотом. При использовании предварительной аморфизации кремния во время такого отжига достигалось почти полное фазовое превращение С49- С54 TiSi2 с образованием слоя толщиной 34 нм. Зависимость поверхностного сопротивления слоя силицида от толщины слоя Мо приведена на рис. 6.52. Подобная зависимость наблюдается и при использовании слоя MoSix. Оптимальная толщина слоя Мо равна 0,1 нм (6 • 1014 атм. Мо/см2), а при формировании TiSi2 на кремнии п+- типа она увеличивается до 0,3 нм. Результирующий размер зерен фазы С54 TiSi2 pa- Рис. 6.52. Зависимость поверхностного вен °>2-0,4 нм. сопротивления TiSi2 от толщины слоя Показано, что ионная имплантация Мо; формирование силицида осущест- Мо в слой силицида фазы С49 не приво- влялось одноэтапным отжигом (650°С, дит к ускорению фазового превращения 60 с) непосредственно после нанесения С49-С54 TiSi2. Это подтверждают данные Ti (15 нм) в едином вакуумном цикле 0 том? что влияние Мо проявляется лишь о 5 к В g 6 о » & С о RTA:650°C/60c| "•"9 р-тип с PAI —■»— р-тип без PAI] —О— n-тип с PAI —•О— n-тип без PAl —г=а 0 0.2 0.4 0.6 0.8 1 \2 Толщина слоя Мо (нм)
6.3. Салицидная технология формирования затворов субмикронных МОПТ 48 I jj| на начальной стадии образования силицида. Методами электронной микроскопии и рентгеновской дифракции подтверждено, что присутствие Мо приводит к значительному уменьшению размеров зерен фазы С49. Фазовое превращение С49—С54 TiSi2 на поверхности моно-Si происходит с меньшей скоростью, чем на поли-Si. Это приводит после отжига (650°С, 60 с) к высокому поверхностному сопротивлению силицида на сток-истоковых областях и к возникновению агломерации в областях шириной менее 0,14 мкм. Совместное применение слоя Мо и РА 1-процесса позволяет уравнять скорости фазового превращения в слоях силицида на поли-Si и на сток-истоковых областях и при ширине областей 0,06 мкм обеспечить поверхностное сопротивление менее 6 Ом/п. Важным следствием использования Мо для снижения температуры фазового превращения С49—С54 TiSi2 является возможность снизить дозу имплантации ионов (As, Ge) для предварительной аморфизации кремния [77], что позволяет уменьшить влияние TED-эффекта на величину контактного сопротивления к сток-истоковым областям/?-МОПТ и на соответствующее снижение тока стока. Кроме того, это позволяет минимизировать влияние PAI-процесса на величину тока утечки /?-я-переходов. Метод прямого (одноэтапного) формирования силицида с предварительной аморфизацией кремния. Тонкие слои силицида (~20 нм) при малой ширине сили- цидизируемых областей способствуют агломерации и требуют создания условий для интенсификации фазового превращения С49—С54 TiSi2: помимо использования ультратонких слоев Мо и Та применяется технология прямого (одноэтапного) формирования низкоомной фазы С54 TiSi2, получившей название DIET- процесса (Direct step silicidation with Enhanced Transition) [86]. Полное фазовое превращение в DIET-процессе достигается благодаря использованию силици- дообразующего отжига при температуре выше 800°С. Причем исключение «мо- стиковых» закороток обеспечивается снижением толщины слоя Ti и ускорением его взаимодействия с кремнием, осуществляемое при помощи предварительной аморфизации кремния. Нарис. 6.53 приведена последовательность операций формирования силицида тремя вариантами: с использованием одноэтапного отжига (а), стандартного двух- этапного процесса (б) и DIET-процесса. Формирование низ- коомного силицида по варианту (а) приводит к значительному латеральному росту силицида. В двухэтап- ном стандартном процессе предотвращение латерального роста силицида достигается благодаря низкой температуре первого силицидообразую- л Осаждение Ti TiSi Отжиг в печи <Z Осаждение Ti Предварительная Т: амортизация а) Селективное травление Ti Осаждение Ti TiN б) Селективное травление Ti в) Рис. 6.53. Последовательность этапов формирования TiSi2 с использованием одноэтапного (а), двухэтапного (б) отжига и DIET-процесса с одноэтапным отжигом (в)
(f/182 Глава 6. Формирование затвора субмикронных МОПТ Нелегированный кремний "" щего отжига и последующего удаления непрореагировавшего титана. В DIET- процессе этот результат обеспечивается применением PAI и уменьшением толщины слоя Ti до 20 нм. В [86] исследованы слои TiSi2, сформированные с использованием DIET-процесса. Предварительную аморфизацию кремния осуществляли ионами мышьяка (Е = 60 кэВ, D = 3 • 1014 см2), отжиг проводили (850°С, 30 с) в атмосфере азота. При использовании DIET-процесса амплитуда микрорельефа поверхности слоя силицида и границы раздела с кремнием значительно меньше, чем в случае стандартного силицидного процесса. Толщина слоя силицида, созданного с применением DIET-процесса, равна 38 нм, в то время как после стандартного процесса 25 нм. Поверхностное сопротивление слоя TiSi2 в случае DIET-процесса (4,9 Ом/п) ниже, чем в случае двухэтапного стандартного процесса (7,4 Ом/п), и не изменяется после селективного травления и дополнительного отжига (800°С, 10 с). При этом удельное сопротивление силицида в обоих случаях фактически одинаковое (18,5—18,6) 10~6 Ом • см. Полученные результаты объясняются следующим образом. В DIET-процессе метастабильная фаза С49 образуется на начальном этапе отжига на стадии подъема температуры до номинального значения. Вследствие высокой температуры (в сравнении с температурой первого отжига стандартного процесса) и кратковременности стадии подъема температуры размер зерен фазы С49 уменьшается, что обусловливает высокую плотность Селективное осаждение кремния | центр()В 3аРОДЫШеобраЗОВаНИЯ (<фОЙ- ных точек»). Благодаря этому даже при использовании тонких слоев Ti обеспечивается высокая скорость фазового превращения. В то же время в стандартном процессе полнота фазового превращения снижается при уменьшении толщины слоя титана до 20 нм, что приводит к морфологическим изменениям и агломерации слоя силицида. Формирование TiS2 с использованием селективного осаждения нелегированного поли-Si. С уменьшением глубины залегания /?-я-переходов (х) применение стандартной технологии формирования силицида ограничивается возрастающей их деградацией из-за относительного увеличения (по отношению к х) толщины слоя кремния, потребляемого в процессе силицидообразования. Поэтому при масштабировании МОПТ для создания TiSi2 используется более тонкий слой титана, однако это приводит к увеличению поверхностного сопротивления силицида. Альтернативой обычной ванием селективного осаждения нелеги- технологии формирования Ti Заявляется рованного кремния метод селективного осаждения силицида а) Предварительная аморфизация имплантацией As ♦ ♦ ♦ ♦ ♦ i t i ♦ ♦ ваш ' кремний б) в) RTA и селективное травление TiSi, г) Рис. 6.54. Последовательность этапов из готовления КМОП-приборов с использо
6.3. Салицидная технология формирования затворов субмикронных МОПТ 483* Табл. 6.7. Последовательность операций формирования TiSi2 с использованием селективного осаждения нелегированного кремния (SEDAM) и стандартной технологии SEDAM-технология Стандартная технология Имплантация AS и BF2 в сток/истоковые области Активационный отжиг х Селективное осаждение нелегированного кремния (30 нм) Предварительная аморфизация (имплантация As, 70 кэВ, 3 • 1014 см2) * _ Осаждение Ti (35 нм) 1-й отжиг (690 °С, 30 с) Селективное травление Ti и TiN I 2-й отжиг (840 °С, Юс) на сток-истоковые области и поликремниевый затвор. Помимо исключения деградации /?-я-переходов метод селективного осаждения TiSi2 обеспечивает более низкое контактное и поверхностное сопротивление силицида. Широкому использованию этой технологии препятствует ее относительная сложность при подготовке поверхности кремния для обеспечения однородного зародышеобразования, а также наличие «эффекта загрузки». Кроме того, селективность зародышеобразования и скорость осаждения силицида зависят от концентрации примеси в кремнии (в частности, мышьяка) [87]. Для обеспечения формирования низкоомных слоев TiSi2 на узких областях кремния с мелкозалегающими /?-я-переходами необходимо исключить факторы, тормозящие процесс силицидообразования, в том числе влияние примесей в кремнии, и интенсифицировать фазовое превращение С49—С54 TiSi2. Для решения этих проблем используют селективное осаждение тонкого слоя нелегированного кремния и предварительную его аморфизацию (PAI) с последующими операциями стандартного силицидного процесса (рис. 6.54 и табл. 6.7) [88]. Селективное осаждение нелегированного поли-Si толщиной 30 нм осуществляется LPCVD-методом при температуре 660°С с использованием Si2H6 в реакторе с холодными стенками. На рис. 6.55 приведены зависимости скорости осаждения кремния на сток-истоковые области и затвор. Для обеспечения низкого контактного сопротивления между TiSi2 и п+- и /?+-областями моно- и поли-Si важно обеспечить одинаковую толщину осаждаемого слоя кремния. Это условие выполняется при расходе Si2H6 = 1 seem. Аморфизация кремния перед нанесени- 0.15 ь 1 i \ i t Si (100) А А А JA ф <ft 11111 Температура осаждение = 660°С] I I nl I I I I I i I I I t 1) 0.5 1.0 1.5 Поток Si2H6 (см3/мин) Рис. 6.55. Скорость селективного осаждения кремния на поликремний и на монокристаллический кремний в зависимости от потока Si2H6
|fa84 Глава 6. Формирование затвора субмикронных МОПТ '40 \ TlSia/n*поли-Si = 30 L20 ;Ю Обычный процесс SEDAW-процесс о чи 30 20 10 Л 1 1 t 1 1 Г" ' Г '"1 TiSig/p* поли-Si \ Л ' J Обычный процесс L SEDAM-процесс i _J..,_I 1 „ ,1 , t, 1 nt j 0.2 0.4 0.6 0.8 Ширина (мкм) a) 0.2 0.4 0.6 0.8 Ширина (мкм) б) Рис. 6.56. Зависимость поверхностного сопротивления TiSi2, сформированного на п+ (а) и /?+-поликремнии (б), от ширины силицидизированных областей. Формирование силицида осуществлялось по стандартной технологии и с использованием селективного осаждения нелегированного кремния. SEDAM > селективное осаждение 4- PAI ем слоя Ti осуществляется ионной имплантацией мышьяка (Е = 70 кэВ, D = 3 • 1014см-2). При использовании этой технологии формирования TiSi2 исключается зависимость поверхностного сопротивления и толщины силицида от типа проводимости поли-Si (рис. 6.56). Аналогичные закономерности наблюдаются и при формировании TiSi2 на диффузионных областях истока и стока. Важным достоинством рассматриваемой технологии является значительное снижение дисперсии поверхностного сопротивления силицида и на моно-, и на поли-Si. Кроме того, устраняется ограничение на толщину слоя Ti, а следовательно, и на ps силицида, обусловленное глубиной залегания /?-я-переходов в сток-истоковых областях, а также не увеличивается ток утечки /?-я-переходов МОПТ с проектной нормой 0,1 мкм и менее. 6.3.4. Силицидные затворы на основе CoSi2 Продолжаются широкие исследования, направленные на совершенствование процессов создания слоев силицида кобальта (CoSi2), хотя силицид TiSi2, успешно используется при изготовлении МОПТ, а удельное сопротивление CoSi2 (18 • Ю'Юм-см) лишь немного выше, чем у TiSi2( 15 • Ю~60м*см). Для CoSi2 характерна низкая температура формирования низкоомной фазы и стойкость к воздействию химических реактивов. CoSi2 является перспективной альтернативой силициду Ti благодаря более высокой термической стабильности и отсутствию зависимости ps от ширины области силидизации. Кроме того, величина контактного сопротивления (рсо) в структуре CoSi2-3aTBopa/?+-типа на порядок ниже, чем при использовании TiSi2 [50]. Отмечается также, что в отличие от TiSi2 силицид Со имеет стабильное ps на кремнии, сильно легированном As. [78,89,90]. Нанесение слоя Со магнетронным распылением является критичным этапом формирования силицида, так как кобальт — ферромагнитный материал. Снижение напряженности магнитного поля вблизи мишени уменьшает эффективность
6.3. Салицидная технология формирования затворов субмикронных МОПТ 485])) 100 10 -И- > 200 нм -*->150нм —Д— 65 нм -+~asi 400 500 550 600 650 Температура (°С) 700 750 Рис. 6.57. Изменение ps слоя Со в результате взаимодействия с поликремнием при повышении температуры первого отжига: размер зерен поли-Si: □ — > 200 нм, о — > 150 нм, А — 65 нм, 0 — aSi распыления и плотность плазмы [91]. В связи с тем, что силицид кобальта образуется при относительно низкой температуре (350—700°С), типовые системы быстрого лампового отжига не обеспечивают нужную воспроизводимость и однородность температуры по пластине [92, 93]. Изменение излучательной способности Со в процессе взаимодействия с кремнием приводит к высокой погрешности измерения температуры кремниевых пластин в диапазоне менее 600°С. Поэтому при формировании слоев CoSi2 используется быстрый отжиг в реакторах с горячими стенками, где пластины нагреваются излучением от массивного карбид-кремниевого под- ложкодержателя (см. раздел 4.2.2) [93]. Применение прецизионных систем быстрого отжига важно также потому, что температура образования CoSi2 на поли-Si приблизительно на 40°С ниже, чем на моно-Si с ориентацией (100) [47]. Это различие может повлиять на получение оптимальных характеристик слоев силицида, формируемых на поликремниевом затворе и на сток-истоковых областях [92]. На рис. 6.57 приведены зависимости ps слоя силицида, сформированного на поли-Si с различными размерами зерен, от температуры первого отжига (/=60 с). Видно, что поверхностное сопротивление силицида на поликремнии с большим размером зерен быстро снижается при увеличении температуры выше 650°С, соответствующей началу образования низкоомной фазы CoSi2. При уменьшении размера зерен до 65 нм температура, при которой начинает снижаться поверхностное сопротивление силицида, падает до 500°С. Для случая отжига при температуре 600°С (на рис. 6.57 отмечена пунктирной линией) в работе [94] выполнен рентгеноструктурный анализ силицида. Обнаружено, что при взаимодействии слоя Со с аморфным или мелкозернистым поликремнием при указанной температуре уже образуется низкоомная фаза CoSi2. В то же время силицид на поликремнии с размером зерен 150 и 200 нм остается, в основном, в высокоомной фазе CoSi. Интенсивность образования CoSi2 определяется общей площадью границ зерен поликремния. Это подтверждается зависимостью ps силицида после второго отжига (750°С, 60 с) от температуры первого отжига (рис. 6.58). На рисунке видно, что поверхностное сопротивление силицида 400 450 500 550 600 650 700 750 Температура (°С) Рис. 6.58. Зависимость поверхностного сопротивления CoSi2 после второго отжига (750°С, 60 с) от температуры первого отжига для поликремния с различным размером зерен
486 Глава 6. Формирование затвора субмикронных МОПТ повышается по мере уменьшения размера зерен поликремния. Учитывая, что температура второго отжига (750°С) достаточна для полного фазового превращения CoSi => CoSi2, различие в поверхностном сопротивлении силицида при заданной температуре первого отжига может объясняться разницей либо толщины слоя CoSi2, либо амплитуды микрорельефа границы раздела CoSi2-mwni-Si. Электронно-микроскопический анализ показал, что поверхностное сопротивление силицида зависит от степени шероховатости границы раздела. Структура CoSi2, сформированная на аморфном или мелкозернистом поликремнии, характеризуется сильно развитым микрорельефом границы раздела Со812-поли-81, что объясняется множественностью путей ускоренной диффузии атомов Со по границам зерен. Благодаря рассеянию носителей на неоднородностях границы раздела подобные слои силицида имеют высокое поверхностное сопротивление. А силицид на крупнозернистом поли-Si имеет относительно гладкую границу раздела и, соответственно, низкое поверхностное сопротивление. В отличие от TiSi2, образование низкоомной фазы CoSi2 не связяно с полиморфным превращением силицида и не определяется (как в случае TiSi2) плотностью центров зарождения («тройных точек»). Поэтому формирование CoSi2 не зависит от латеральных размеров поли-Бьзатвора и контактных окон к сток- истоковым областям. Благодаря этому низкое ps слоя CoSi2 может быть получено даже при минимальной ширине силицидизируемой области 0,06 мкм [89]. Из-за образования более толстого слоя CoSi2 по периметру области наблюдается даже снижение ps силицида при уменьшении ширины области. Однако в сток- истоковых областях этот эффект может приводить к увеличению тока утечки /?-я-переходов. Образование «мостиковых» закороток при формировании CoSi2 исключается благодаря применению двухэтапного отжига с промежуточным удалением непрореагировавшего металла. После первого отжига образуется обогащенный кобальтом силицид Co2Si. Образование высокоомного Co2Si происходит в основном вследствие диффузии атомов Со в кремний, так что условия появления «мостиковых» закороток отсутствуют. После стравливания непрореагировавшего металла образование низкоомного CoSi2 происходит только на поверхности кремния. Отсутствию закороток способствует также то, что Со не реагирует с Si02 и Si3N4 с образованием проводящего слоя. Образование низкоомной фазы CoSi2 происходит следующим образом: Co/Si—Co2Si—CoSi— CoSi2. При формировании CoSi2 на слое a-Si эти превращения начинаются при 350°С и более высокой температуре, которая зависит от размера зерен поликремния, что объясняется влиянием границ зерен на протекание промежуточных реакций: 1) 2CoSi — CoSi2 + Co); 2) атомы Со , диффундируя по границам зерен поли-Si, взаимодействуют с кремнием Co+2Si—CoSi2. Поэтому скорость образования CoSi2 определяется общей площадью границ зерен поликремния. В отличие от TiSi2 при формировании слоя CoSi2 не происходит восстановление естественного окисла на поверхности кремния, поэтому ps силицида и ток утечки ^-«-переходов сильнее зависят от предшествующих технологических операций, влияющих на толщину и дефектность естественного окисла. Использование ионной очистки поверхности кремния в одном вакуумном цикле с процессом нанесения Со повышает воспроизводимость не только ps, но и тока
6.3. Салицидная технология формирования затворов субмикронных МОПТ 487jm утечки /?-я-переходов. Для снижения зависимости процесса силицидообра- зования от характеристик естественного окисла используют свойство Ti восстанавливать Si02, и с этой целью перед распылением Со на поверхность кремния наносят тонкий слой Ti [96] или используют мишень, содержащую 10-20% титана [97]. Окисление силицида кобальта может привести к изменению температуры образования низкоомной фазы CoSi2 и к увеличению ps слоя. Эффективным методом получения воспроизводимых характеристик CoSi2 является нанесение защитного слоя Ti или TiN в -1 И -2 И я * б -5 й -в § ~7 ей <2f ~Ю - -11 80 N. .CCoSi2 Без CoSi2 100 120 140 160 180 X. (нм) Рис. 6.59. Увеличение тока утечки р-п- переходов (VR = 4 В) при уменьшении толщины диффузионного слоя. Ток утечки без силицидного контакта к диффузион- одном вакуумном цикле с нанесением ному слою не зависит от ХГ Для исключе- Со [98, 99]. В этом случае ps слоя CoSi2 ния имплантационных дефектов на ток не изменяется при уменьшении шири- утечки диффузию примеси в кремний ны области до 0,1 мкм. Подобный результат достигается также в процессе нанесения Со при повышенной температуре подложки, когда начальная стадия взаимодействия Со и Si происходит уже во время нанесения слоя (см. рис. 6.57, 6.58). Возрастание тока утечки /?-я-переходов сток-истоковых областей с контактами на основе CoSi2 является критичной проблемой при изготовлении глубокосубмикрон- ных МОПТ. Этому есть две причины. Во-первых, проникновение атомов Со в область /ья-перехода, что приводит к образованию там центров генерации- рекомбинации, уровни которых расположены в центре запрещенной зоны Si. Величина тока утечки при этом увеличивается с уменьшением глубины залегания /ья-перехода (рис. 6.59) и характеризуется высокой воспроизводимостью. Увеличение плотности этих центров связано с диссоциацией Co2Si при образовании CoSi2, по- производили из твердого источника (арсе- носиликаткого стекла) Силицидный выступ 1 f рш\ / М n+ g£ У т /?-карман 4 : п+ о о0 о0 шш ******* р- карман ° А ° ° т Преципитация атомов Со Рис. 6.60. Два механизма роста тока утечки /?-я-перехода, основанные на образовании силицидных острых выступов («шипов») и на диффузии атомов Со в кремний с последующей преципитацией или образованием кластеров в области /?-я-перехода следующей диффузией атомов Со и образованием кластеров или преципитатов, содержащих Со, Si и атомы легирующей примеси [100]. Во-вторых, значительная неоднородность границы раздела Со5^/поли-8^ имеющая вид острых выступов (spikes) (рис. 6.60), которые образуются во время отжига при температуре >500°С. После отжига при температуре >550°С они
488 Глава 6. Формирование затвора субмикронных МОПТ уменьшаются и округляются, а примерно при 800°С исчезают (растворяются) [101]. Эти дефекты образуются во время первого отжига: атомы Со локально диффундируют через естественный окисел на поверхности Si и взаимодействуют с ним, в результате чего образуется обогащенный кремнием силицид CoSi2 вместо обычно образующихся Co2Si или CoSi. Методом электронной микродиффрак- ции подтверждено, что это действительно — CoSi2. При формировании CoSi2 на поликремниевом затворе возможно ухудшение качества подзатворного окисла. Вследствие большого коэффициента диффузии Со в поликремнии при неоптимальном термическом бюджете атомы Со проникают в подзатворный окисел, вызывая снижение его надежности. Снижение надежности подзатворного окисла МОПТ с силицидным затвором CoSi2 наблюдается после термических операций при температуре >950°С [101,102]. В процессе агломерации силицида в указанном диапазоне температуры в результате диссоциации молекул CoSi2 атомы Со диффундируют через поли-Si в подзатворный Si02, вызывая деградацию его характеристик. Так, при отжиге (1000°С) это приводит к уменьшению плотности заряда, ижектированного в окисел до пробоя (QBD) почти на порядок [103]. Предотвратить деградацию подзатворного окисла возможно, лишь ограничивая длительность и температуру последующих термических операций. 6.3.5. Термическая стабильность слоев CoSi2 Деградация силицида при воздействии дополнительной термообработки (после формирования CoSi2) в значительной степени зависит от толщины силицида и микроструктуры подложки. Сформированные на моно-Si силициды характеризуются лучшей термической стабильностью, чем — на поли-Si. Термическая деградация силицида вызывается утонением слоя на границах зерен силицида, благодаря переносу атомов Со от границ зерен, обладающих высокой поверхностной свободной энергией. С атомистической точки зрения агломерация развивается по следующим стадиям: 1) диссоциация молекул CoSi2 вблизи границ зерен; 2) диффузия атомов кобальта в объем зерен и атомов кремния по границам зерен; 3) взаимодействие кобальта и кремния на границе с подложкой; 4) эпитаксиальное наращивание кремния на пересечении границ зерен с границей раздела кремний-силицид. Рис. 6.61. Увеличение средней ампли- Когда формируется на поли-Si, скорость туды микрорельефа поверхности CoSi2 протекания третьей стадии увеличивает- с ростом длительности отжига (1050 °С) ся, так как границы зерен поликремния при формировании сплошных слоев си- представляют собой пути ускоренной лицида Нив узких областях (а) диффузии атомов. Развитие процесса 20, 1 I { "I I > I | t Г" I Г © Широкая область А Узкая область (1 мкм) 500 1000 1500 Длительность отжига (с)
6.3. Салицидная технология формирования затворов субмикронных МОПТ 48^ агломерации сопровождается увеличением ps. Измерением ps при разной температуре установлено, что агломерация является термически активированным процессом с энергией активации в диапазоне 4,3-5,0 эВ [104]. Значение энергии активации коррелирует с энергией диссоциации молекулы CoSi2 либо с энергией активации реакции взаимодействия атомов Со и кремния. Наличие примесей в подложке (As и BF2) может изменить энергию активации агломерации. Следствием агломерации является увеличение амплитуды микрорельефа поверхности силицида и границы кремний-силицид, что сопровождается увеличением ps слоя из-за снижения подвижности носителей. Установлено, что ps сплошных слоев CoSi2 при дополнительной термообработке возрастает только из-за развития микрорельефа поверхности силицида и границы CoSi/Si [104]. Поверхностное сопротивление силицида, сформированного на узких поликремниевых областях, зависит также от их ширины. Действительно, наблюдается увеличение дисперсии площади поперечного сечения слоя силицида, а в некоторых случаях — нарушение его сплошности. На рис. 6.61 приведена зависимость сред- Рис 662. Гистограммы распре- ней амплитуды микрорельефа поверхности деления размеров зерен CoSi2 в силицида от длительности дополнительного сплошных слоях и в областях ши- отжига. Толщина слоя силицида, нанесен- риной 1,3 и 0,6 мкм до и после до- ного на моно-Si со слаболегированным диф- полнительного отжига (1000 °С, фузионным слоем, равна 150 нм. Средняя 160с) амплитуда микрорельефа сплошного слоя силицида после второго отжига (800°С, 40 с) равна 7±1,5 нм. Скорость изменения амплитуды микрорельефа во время дополнительного отжига не изменяется и равна 5,4 • 103 нм/с. Соответствующие значения для областей силицида шириной 1 мкм выше, чем для сплошных слоев и равны 10,5 нм/с и 10 • Ю-3 нм/с. Разброс значений средней амплитуды микрорельефа поверхности силицида в этом случае растет с увеличением длительности дополнительного отжига. Таким образом, уменьшение ширины силицидизируемых областей оказывает значительное влияние на морфологию поверхности. На рис. 6.62 [104] приведены гистограммы распределений размеров зерен CoSi2 в широких и узких областях (1,3 и 0,6 мкм) до и после дополнительного отжига (1000°С, 160 с). Средние значения размеров зерен CoSi2в областях шириной 0,6 и 1,3 мкм сравнимы и равны «300 нм. В обоих случаях после формирования CoSi2 размер зерен изменяется в широких пределах от 50 до 600 нм. В сплошных слоях CoSi2 средний размер зерен равен 250 нм, и после дополнительного отжи- 0.5 0.4 0.3 0.2 0.1 0.0 0.25 g 0.20 У 0.15 1 0.10 0.05 0.00 0.25 0.20 0.15 ^ 0.10 0.05 F 0.00 """"> > ' ■ I ' ' ■ \ ' ' . Широкая: до отжига • Широкая: 1000°С, 160 с Л X 1,3 мкм: до отжига • 1-1,3 мкм: 1000°С, 160 с 1 - 0,6 мкм: до отжига -0,6 мкм: 1000°С, 160 с „On Ш=г а) б) в) 200 400 600 600 Диаметр зерна (нм)
Глава 6. Формирование затвора субмикронных МОПТ CL О. 2.0 1.8 1.6 1.4 1.2 1.0 0.8 2.0 1.8 1.6 1.4 1.2 1.0 0.8 1" " Ч ""' '1 ''"' \ 950°С г } • \ *; ) I s J- Г. ... 1 ..... - 1....... -рт-п /. . i ■~ЧТ' » » Ч ' ' " 1 А Широкая обл. j •1,2 мкм J *1мкм j • 0,5 мкм J / / 1 *' / J t S 1 1/ 1 ,.,, ..,,.. .1 я) 0 100 200 300 400 500 600 Длительность отжига (с) ▲ Широкая обл. \ У 1000°С б) 0 50 100 150 200 250 300 Длительность отжига (с) rj ) (Ом/ §100 к Поверхностное сопро о —А— 65 нм / / л -®- > 150 нм / / ' -в- > 200 нм / / 7// 750 800 850 875 900 950 1000 Температура (°С) Рис. 6.64. Зависимость ps слоев CoSi2, сформированных на поли-Si с различным размером зерен, от температуры дополнительного отжига; уменьшение размера зерен поли-Si приводит к значительному снижению термической стабильности CoSi, го сопротивления слоя CoSi2 в сплошных слоях и в областях шириной 0,5, 1 и 1,2 мкм от длительности дополнительного отжига (ps нормировано к значению поверхностного сопротивления pso до отжига) га увеличивается: в областях шириной 1,3 мкм с 325 до 350 нм, а в областях шириной 0,6 мкм - с 300 до 350 нм. Таким образом рост зерен во время дополнительного отжига происходит за счет поглощения зерен Рис. 6.63. Зависимости поверхностно- малых размеров. Рост зерен продолжается до тех пор, пока их размер не сравнится с шириной области, после чего рост осуществляется вдоль края области, при этом скорость роста уменьшается вследствие его одномерного характера. В широких областях увеличение среднего размера зерен значительнее — от 250 до 400 нм. Несмотря на увеличение размеров зерен, проводимость слоя CoSi2 после дополнительного отжига становится ниже. От своего исходного значения, равного 13 мкОм • см после формирования низкоомной фазы CoSi2, удельное сопротивление после отжига (1000°С, 160 с) увеличивается на 40% для областей CoSi2 шириной 1,2 мкм и на 80 % для областей шириной 0,6 мкм. Повышение pv объясняется изменением морфологии поверхности слоев. На рис. 6.63 [105] приведены зависимости поверхностного сопротивления силицида (pv нормировано к значению psr до отжига) в широких и в узких областях (0,5-1,2 мкм) от длительности дополнительного отжига (950 и 1000°С). При отжиге (950°С) сопротивление CoSi2 в широких областях остается неизменным в течение 500 с, тогда как у резисторов шириной 1,2, 1,0 и 0,5 мкм ps растет с уменьшением ширины резистора. При ширине резистора 1,0 и 1,2 мкм сопротивление начинает увеличиваться после термообработки в течение 200 с, а сопротивление резистора шириной 0,5 мкм - в течение 100 с, причем скорость
6.3. Салицидная технология формирования затворов субмикронных МОПТ 491 его возрастания значительно выше, чем для резисторов шириной 1,0 и 1,2 мкм. Аналогичная тенденция изменения сопротивления слоев CoSi2 наблюдается при температуре 1000°С, за исключением того, что для элементов различной ширины отсутствует задержка изменения сопротивления. Поверхностное сопротивление слоев CoSi2 изменяется вследствие морфологических изменений и агломерации. После дополнительного отжига (950°С) сопротивление сплошных слоев CoSi2 не изменяется. Следовательно, увеличение сопротивления узких элементов при такой температуре происходит только благодаря морфологическим изменениям. После отжига при температуре 1000°С сопротивление сплошных слоев возрастает и, следовательно, увеличение сопротивления узких элементов обусловлено как морфологическими изменениями слоев, так и агломерацией. Отмеченные закономерности характерны для формирования CoSi2 на моно-Si, а на поли-Si эти процессы протекают более интенсивно, так как границы зерен поликремния создают дополнительные условия для морфологических изменений. Установлено, что структура Со812-поли-81 становится морфологически нестабильной вследствие роста зерен поликремния в последующих термических операциях [105]. Различие в амплитуде микрорельефа границы раздела CoSi2-mxrm-Si влияет на термическую стабильность силицида, которая коррелирует с его поверхностным сопротивлением после дополнительной термообработки. На рис. 6.64 [94] приведены зависимости ps слоев CoSi2, сформированных на поликремнии с различным размером зерен, от температуры дополнительного отжига. Как видно, ps силицида на аморфном и мелкозернистом поли-Si начинает возрастать после отжига при температуре 800°С и быстро увеличивается при температуре выше 875°С. С возрастанием размера зерен поликремния увеличение поверхностного сопротивления начинается при более высокой температуре. Различие в термической стабильности слоев силицида, сформированных на мелкозернистом и крупнозернистом поли-Si, составляет почти 150°С. 6.3.6. Методы повышения термической стабильности слоев CoSi2 Совершенствование технологии формирования слоев CoSi2 проводится с целью получения гладкой границы раздела CoSi2-Si и термической стойкости ее микрорельефа, а значит для предотвращения роста тока утечки /?-я-переходов, обеспечения низкого ps слоя CoSi2 и повышения надежности подзатворного окисла. Определились два направления работ в этой области. Во-первых, используются методы повышения термической стабильности формируемого на поли-Si-затворе силицида CoSi2 до уровня его стабильности на моно-Si. Среди этих методов следует выделить ионную имплантацию азота в поли-Si перед нанесением слоя Со [102, 106] и осаждение защитного слоя Si02 на поликремний перед фотолитографией областей затвора с целью исключить влияние реактивно-ионного травления при формировании спейсеров на характеристики поверхности поликремния [90]. Это позволяет уменьшить амплитуду микрорельефа границы раздела CoSi2-mwiH-Si, снизить интенсивность диссоциации CoSi2 и глубину диффузии атомов Со в слое поли-Si, что предотвращает проникновение атомов Со в подзатворный окисел. Кроме того, повышение тер-
492 Глава 6. Формирование затвора субмикронных МОПТ о 25 о 3 20 До отжига 85C>fc 875fc 90Cfc 92& Температура отжига (°С) а) 154 10+ 5+ -Имплантация 2«10,5см" N^ ••Имплантация 4*10 см" N^"n B+ - Имплантация г-юЧм^и В+ - Имплантация б-Ю^м"2!^!! В+ ДозаВ+ = 5-1015см"2 'До отжига85& 8?& 9006 925fc 950t 97& W00t Температура отжига (°С) б) мической стабильности CoSi2 на поликремнии допускает использование легирования сток-истоковых областей имплантацией примесей в силицид с последующим их перераспределением в кремний. Во-вторых, повышение термической стабильности слоев силицида как на затворах, так и на сток-истоковых областях обеспечивается такими методами, как нанесение защитного слоя TiN или Ti на поверхность слоя Со [98, 99, 103], а также — нанесение тонкого слоя Ti перед распылением Со или со- распыление Co-Ti [97]. Повышение термической стабильности CoSi2 ионной имплантацией азота в поликремний. Ионная имплантация азота проводилась с энергией ионов Е= 30 КэВ и дозой (2-6) • 1015см2 [102]. Термостабильность силицида оценивалась по изменению поверхностного сопротивления CoSi2 в результате Рис. 6.65. Зависимость поверхностного со- термообработки, выполняемой после противления слоев CoSi2 от температуры стаНдартного двухэтапного процесса дополнительного отжига (30 с) для образ- * / v ' A F формирования силицида (толщина слоя цов с и без ионной имплантации азота в .Z. _ чтт , ,_. поликремний: первый отжиг (550°С, 30 с); Tl ?авна 5 нм>' На ?ис' 665 приведены второй отжиг (750°С, 30 с); толщина слоя зависимости поверхностного сопротив- CoSi — 40 нм ления слоев CoSi от температуры дополнительного быстрого отжига (30 с) для образцов с ионной имплантацией азота в поли-Si и без имплантации. Как видно, при использовании ионной имплантации азота поверхностное сопротивление силицида остается неизменным при повышении температуры отжига до 950°С. Термо- Табл. 6.8. Поверхностное сопротивление слоев CoSi2, сформированных на поли-Si с ионной имплантацией бора и азота Р5(Ом/П) Температура, °С После формирования CoSi2 950°С 1000°С Минимальное значение rs Без имплантации 4,67 9,45 167 4,52 (850°С) Бор 5- 10,5см-2 4,72 6,95 61,4 4,72 (после формирования CoSi2) Азот 2- 1015см-2 4,88 4,80 7,03 4,42 (925 °С) Азот 4- 10,5см-2 Бор 5- 10,5см-2 5,24 5,10 22,6 5,18 (875 °С) Азот 2 • 10|5м-2 Бор 5- 10,5см-2 5,41 5,29 8.34 4,91 (925 °С) Азот 6 • 10,5м-2 Бор 5- 10,5см-2 6,17 5,38 5,32 5,32 (1000 °С)
6.3. Салицидная технология формирования затворов субмикронных МОПТ 493 - Без ионной имплантации - Имплантация N2, 2«10,5см" 0.00 0.05 0.10 0.15 0.20 0.25 0.30 0.35 Глубина (мкм) 200- 180- 160' К | 140 5 я 120' н & 100 с Я 8о4- о X н о О X X Си CQ О С ^ "В" Без ион. имплантации*] •O-N£,2\1015/cm2 J .Q -y-Nj,6-W15/cM2 -Л- В+,5-юХ5/см2 1 Время отжига = 30с Н ' 1 Ь 500 550 600 1-Й ОТЖИГ (°С) ■н— 650 Рис. 6.66. Распределение концентрации Со в поликремнии (в относит, ед. с и без ионной имплантации азота (/)= 2 • 1015 см2)) и без имплантации после дополнительного отжига при температуре 900-1000°С (1-й RTA - 550°С (30 с); 2-й RTA - 750° (30 с); толщина Рис. 6.67. Изменение ps слоя Со на поли-Si с увеличением температуры первого отжига: — после ионной имплантации: о — азот, D = 2 • Ю'5 см2; а - азот, D = 6 • Ю15 см2; V - бор, D = 5 • Ю15см2; — после имплантанин азота: ■ — без иплан- тации; о—только азот (D = 2 • Ю15 см2): т — только азот, бор, (D = 5 • Ю15 с D = 6 • Ю15 см2; V — только слоя CoSi2 40 нм стабильность слоя возрастает при увеличении дозы имплантации азота до 6 • 1015 см2, при этом допустимая температура дополнительного отжига повышается до 1000°С. В табл. 6.8 приведены значения поверхностного сопротивления для образцов с различными условиями имплантации бора и азота в поликремний после дополнительной термообработки при температуре 950 и 1000°С. Видно, что поверхностное сопротивление слоев CoSi2 в образцах с ионной имплантацией азота выше, чем для образцов без имплантации, и тем выше, чем больше доза имплантации. Кроме того, ps слоев CoSi2 в образцах с ионной имплантацией азота снижается после дополнительной термообработки, и его минимальное значение близко к ps CoSi2 без ионной имплантации азота. Из этой закономерности следует, что во время дополнительной термообработки продолжается рост зерен CoSi2 до тех пор, пока не начинается увеличение ps из-за агломерации. Предельная напряженность электрического поля окисла (EED) непосредственно после формирования приблизительно одинакова в образцах с ионной имплантацией азота и без имплантации. Однако величина EBD у образцов без ионной имплантации азота после дополнительной термообработки ниже, чем у образцов с ионной имплантацией азота в поликремний. Это снижение качества подзатворного окисла обусловлено проникновением в подзатворный окисел атомов Со, образующихся при диссоциации CoSi2 в процессе агломерации.
Глава 6. Формирование затвора субмикронных МОПТ 20<Н 1*180' = 160+- м л л* I о,140+ |120+ «100+ 80+ 60+ —Q—Без ион. имплантации -A- Nb 6 -10,5/ см2 95<5С 100CfC Условия отжига Рис. 6.68. Изменение среднего раз- На рис. 6.66 представлены распределения концентрации атомов Со (в относительных единицах) в поли-Si для образцов с ионной имплантацией азота и без имплантации, после дополнительной термообработки при температуре 900-1000°С. Видно, что подавление агломерации в результате ионной имплантации азота приводит к значительному уменьшению глубины проникновения атомов Со в поли-Si и к улучшению качества подзатворного окисла. Выполненный в [102] электронно-микроскопический анализ образцов показал, что граница размера зерен слоя CoSi2, сформиро- дела CoSi2-mwm-Si имеет малую амплитуду ванного на поликремнии, после дополнительного отжига (950°С, 30 с) и (1000°С, 30 с) с ионной имплантацией азота в поли-Si и без имплантации микрорельефа, характерную для силицидов с повышенной термической стабильностью. Влияние азота, имплантированного в поликремний, на процесс формирования силицида Со может быть иллюстрировано зависимостью ps слоя силицида от температуры первого отжига (рис. 6.67). Увеличение ps силицида при повышении температуры объясняется превращением первичной фазы Co2Si в высо- коомную промежуточную фазу CoSi. При температуре 500—650°С содержание фазы CoSi в образцах с ионной имплантацией азота значительно выше, чем в образцах без имплантации. При дальнейшем увеличении температуры отжига выше 600°С происходит превращение фазы CoSi в низкоомную фазу CoSi2. При увеличении дозы ионной имплантации азота в поликремний начало образования фазы CoSi2 смещается в сторону более высокой температуры отжига. Значит, ионная имплантация азота в поли-Si замедляет процесс фазового превращения CoSi-CoSi2. Этот вывод подтверждается рентгеноструктурным анализом, а также электронно-микроскопическими наблюдениями, по результатам которых обнаружено, что размер зерен CoSi2 после второго отжига уменьшается при использовании ионной имплантации азота в поли-Si (рис. 6.68) [102]. Поэтому во время дополнительной термообработки в образцах с ионной имплантацией азота продолжается рост зерен CoSi2 вследствие чего ps слоя CoSi2 при увеличении температуры снижается (рис. 6.65). При повышении температуры дополнительного отжига (>900°С) увеличение размера зерен происходит одновременно с утонением слоя CoSi2 в области межзеренных границ (grooving), что ^ивление (Ом/п) О 5 1 )хностное со И I ёю01 0. Г ^i02 si-Y \ i Si* LJ** 50 нм Si02 L^* Без Si02 100hmS1O2 | 1 1.0 К ) Ширина области (мкм) Рис. 6.69. Зависимость ps слоев CoSi2 от ширины области силици- дизации для образцов с различной толщиной защитного слоя Si02
6.3. Салицидная технология формирования затворов субмикронных МОПТ 495" S>»2 S О <D S X <D 5 s н lio1 о О <D О X н о о ж X о. с ю° ; 100 нм Si02 . " А Без отжига • а 900 °с ' О 1000°С , - -*<V—t J&* "Ч^^ rXysL.r-iitimri sx^JbSQfcSch^^^^ rrGSfixPf**^^ ОЁяЭВрз^ ЖЯЭЕг . **сР*' / d b) > 1 0.1 1.0 10 Ширина области (мкм) в свою очередь противодействует движению границ зерен. Поэтому агломерация слоя CoSi2 во время дополнительной термообработки является разультатом действия двух конкурирующих процессов [106]: утонения слоя CoSi2 в области межзеренных границ, приводящего к повышению поверхностного сопротивления, и латерального роста зерен CoSi2, приводящего к снижению ps. Однако для слоя CoSi2, сформированного на поликремнии без ионной имплантации азота, имеющего большой размер зерен после второго отжига (100—180 нм), латеральный рост зерен ограничивается размерами топологического элемента. Вследствие этого во время дополнительной термообработки доминирует процесс утонения слоя CoSi2 в области границ зерен. В этом случае развивается процесс агломерации, а поверхностное сопротивление слоя CoSi2 начинает увеличиваться при более низкой температуре, чем в образцах с ионной имплантацией азота. При формировании CoSi2 с использованием ионной имплантации азота вследствие малого размера зерен (60—80 нм) во время дополнительного отжига превалирует процесс латерального роста зерен. Благодаря этому увеличивается время перехода от начала утонения в области границ зерен к нарушению сплошности слоя CoSi2 (islanding). Использование защитного слоя Si02 на поли-Si для предотвращения влияния реактивно-ионного травления при формировании спейсеров [90]. В этом случае защитный слой Si02 осаждается на поликремний перед нанесением слоя нитрида кремния и последующего реактивно-ионного травления для формирования спейсеров. После формирования спейсеров защитный слой с поверхности по- ликремния удаляется в жидкостном травителе. Использование защитного слоя Si02 предотвращает осаждение продуктов реакции плазменного травления на поверхность поликремния, присутствие которых снижает термическую стабиль- Рис. 6.70. Зависимость ps слоев CoSi2 с защитным слоем Si02 (100 нм) от ширины области силицидизации при различных условиях дополнительного отжига в течение 30 с Без силицида 50 s30 х О H>f- О 10 P"7N |7| N+/P 10*"10~4V10 10 Ток утечки (А) После 2-го отжига 50] i » i I i P+/N N"7P После дополн. отжига 1000 °С, 20 с 50 "10~1и10 10 Ток утечки (А) Ток утечки (А) Рис. 6.71. Гистограммы распределения токов утечки />-я-переходов сток-истоковых областей с CoSi2-KOHTaKTaMH. Площадь/?-я-перехода 100 х 220 мкм2, |KR| =5B
|rf 96 Глава 6. Формирование затвора субмикронных МОПТ ^60i о £40 "20 d) После 1-го RTA 400 500 600 700 Температура 1-го RTA (°С) ^ 8 б) После 2-го RTA ■=©■ 400 500 600 700 Температура 1-го RTA (°С) ^ ч □ лени PQ К рот g4 о ностн X ове С шУ^-^У~" J^\ v^ Y \^ \^3^;^^ ,„ »,.jQ0L ^^*^sZ) —ф~~ TiN —01— Ti 10 15 20 Толщина Со (нм) 50 _ 40 й" о и 30 | з 1 20 h Рис. 6.73. Зависимости поверхностного сопротивления и толщины слоя CoSi2 после второго отжига от исходной толщины слоя Со с защитным слоем TiN (25 нм) и Ti (15 нм) (750 °С, 30 с) ность слоя CoSi2. На рис. 6.69 приведены зависимости поверхностного сопротивления CoSi2 от ширины поликремниевых областей для образцов с различной толщиной Рис. 6.72. Изменение ps силицида ко- защитного слоя Si02 [90]. Видно, что отсут- бальта с защитным слоем Ti и TiN ствие резкого возрастания поверхностного при увеличении температуры перво- сопротивления при снижении ширины по- го отжига: (а) -после первого отжи- ликремниевых областей наблюдается при толщине защитного слоя Si02 100 нм. Благодаря использованию защитного слоя Si02 поверхностное сопротивление силицида при ширине поликремниевых областей 0,15 мкм остается неизменным после дополнительного отжига при температуре 1000°С (20 с) (рис. 6.70). В результате повышения термической стабильности силицида дополнительный отжиг не приводит к увеличению тока утечки р-п- переходов (рис. 6.71). Отмечается также неизменность плотности заряда QBD, инжектированного в подзатворный окисел до пробоя. Нанесение защитных слоев TiN и Ti для повышения термической стабильности CoSi2. Силицид Со легко окисляется в атмосфере камеры быстрого отжига даже в том случае, когда точка росы инертного газа снижается до ~ 100°С. Для предотвращения окисления и повышения термической стабильности слоя CoSi2 на поверхность Со наносят защитные слои TiN [107, 108] илиТ1 [98, 99, 103, 109]. Формирование CoSi2 с использованием защитных слоев Ti или TiN проводится с применением стандартной технологии с двухэтапным отжигом в среде азота с промежуточным удалением непрореагировавшего металла. Исследования показали, что при формировании GoSi2 с защитным слоем Ti поверхностное сопротивление (после второго отжига) на 40% выше, чем с защитным слоем TiN (рис. 6.72) [98]. Разница в величине ps объясняется различием толщины слоев силицида: при использовании защитного слоя TiN толщина слоя CoSi2 равна 40 нм, в то время
6.3. Сшшцидная технология формирования затворов субмикронных МОПТ 49Тт Ti = 13,5нм TiN = Юнм как при использовании слоя Ti — 30 нм. Методом рентгеновской дифракции установлено, что причиной снижения скорости формирования CoSi2 является образование (при температуре 400°С) бинарной фазы CoTi [109]. При повышении температуры отжига до 700°С (60 с) в результате взаимодействия между слоем CoTi и кремниевой подложкой образуется аморфный слой трехкомпонентного состава Co-Ti-Si, расположенный между TiN и силицидом Со. Обнаружено, что возникновение слоя CoTixSiy совпадает с началом гетероэпи- таксиального роста CoSi2 [96, ПО]. Экспериментально установлено, что для появления фазы CoTixSiy достаточно слоя Ti толщиной 15 нм. На рис. 6.73 приведены зависимости ps и толщины слоя CoSi2 после второго отжига от толщины Со. Поверхностное сопротивление слоя CoSi2 линейно уменьшается с увеличением толщины слоя Со вследствие увеличения толщины слоя силицида. При использовании защитного слоя TiN поверхностное сопротивление CoSi2 ниже, чем в случае применения защитного слоя Ti. Исследования показали, что среднеквадратичное значение амплитуды микрорельефа границы раздела CoSi2-Si в первом случае равно 5—6 нм, во втором — 3 нм. 0.1 Глубина (мкм) Рис. 6.74. Различие в концентрации Ti в слоях CoSi2 с защитным слоем Ti и TiN после второго отжига Защитный слой TiN Si-подложка а) Защитный слой Ti Ti нзииЩ Si-подложка г) L-Л^Ц б) в) О Атом Ti "CoTr t t CoSi, д) е) Рис. 6.75. Модель агломерации CoSi2: - с защитным слоем TiN — происходит утонение силицида вблизи границ зерен {б), вследствие увеличения размеров одних зерен за счет других (в); - с защитным слоем Ti — атомы Ti быстро диффундируют во время первого отжига в слой Со (г); после селективного травления во время второго отжига атомы Ti сегрегируют на границах зерен (в), подавляя возможность движения границ и, таким образом, замедляя агломерацию (д)
|f498 Глава 6. Формирование затвора субмикронных МОПТ О iioo 10 Ti/Co= 15/12 нм на Si( 100) НЗ- Первый RTA 500°С -О- Первый RTA 550°С -А- Первый RTA 600°С О- 700 адо 900 о После формирования Дополнительный отжиг (°С) Рис. 6.76. Влияние температуры первого отжига при формировании CoSi2 с защитным слоем Ti на зависимость поверхностного сопротивления силицида от температуры дополнительного отжига (30 мин) Более развитый микрорельеф границы раздела CoSi2-Si является причиной снижения термической стабильности CoSi2 с защитным слоем TiN в сравнении со слоем Ti. Превосходство в термической стабильности CoSi2, сформированного с применением защитного слоя Ti, проявляется несмотря на меньшую толщину слоя силицида. В работе [98] проведен анализ распределения концентрации Со и Ti по толщине слоя силицида. Обнаружено, что концентрация Ti в силициде на два порядка выше в случае применения защитного слоя Ti (рис. 6.74). Взаимосвязь между концентрацией атомов Ti и термической стабильностью силицида объясняется моделью сегрегации титана на границах зерен CoSi2 (рис. 6.75). В слоях CoSi2, полученных с использованием защитного слоя TiN, агломерация развивается вследствие движения границ зерен и утонения слоя силицида вблизи этих границ. В том случае, когда используется защитный слой Ti, его атомы во время первого отжига быстро диффундируют из защитного слоя в силицид. Наоборот, в случае применения защитного слоя TiN атомы Ti связаны сильной связью с азотом. Во время второго отжига атомы Ti сегрегируют на границах зерен CoSi2. Благодаря этому энергия границ зерен снижается и подавляется их способность к миграции, вследствие чего замедляется процесс агломерации. Таким образом, высокая концентрация атомов Ti в силициде CoSi2, способствует повышению его термической стабильности. Значит концентрация атомов Ti в силициде, а следовательно и уровень его термической стабильности, могут быть повышены посредством увеличения температуры первого отжига. Изменение поверхностного сопротивления CoSi2 после второго и дополнительного отжига иллюстрируется рис. 6.76. Как видно, с увеличением температуры первого отжига повышение поверхностного сопротивления после дополнительного отжига становится меньше, т.е. термическая стабильность CoSi2 с защитным слоем Ti улучшается с повышением температуры первого отжига. Следует отметить, что распределение концентрации атомов Ti в силициде не зависит от толщины слоя Ti в диапазоне 15—25 нм. Поэтому термическая стабильность CoSi2 определяется температурой первого отжига, а не толщиной защитного слоя Ti. Благодаря повышению термической стабильности CoSi2 при использовании защитного слоя Ti ток утечки обратносмещенных /?-я-переходов и плотность заряда до пробоя подзатворного окисла КМОП-приборов остаются неизменными после дополнительной термообработки (850°С, 90 мин). Для приборов с защитным слоем TiN ток утечки /?-я-переходов возрастает при термообработке (850°С, 30 мин). Причиной роста тока утечки является проникновение атомов Со в область /?-я-перехода.
63. Салицидная технология формирования затворов субмикронных МОПТ S3 Эпитаксиальные слои CoSi2. Дисилицид кобальта, сформированный при термическом взаимодействии Со и Si, имеет, как и кремний, гранецентрированную кубическую решетку, параметр которой отличается от параметра решетки кремния всего на 1,2% [111]). Это обстоятельство делает возможным формирование эпитаксиальных слоев CoSi2 на кремнии. Преимущества использования эпитак- сиальных слоев CoSi2 заключаются в низком поверхностном сопротивлении, отсутствии быстрой диффузии атомов по границам зерен, гладкой границе раздела CoSi2-Si, однородности толщины слоя CoSi2 и высокой термической стабильности. Необходимым условием образования слоя CoSi2 в результате твердофазной эпитаксии является наличие тонкого промежуточного слоя между слоем Со и кремнием — диффузионного барьера, с помощью которого регулируется поток атомов Со к поверхности эпитаксиального слоя. В качестве диффузионного барьера может использоваться тонкий (~0,5 нм) слой окисла, выращенный при обработке пластин в перекиси водорода [113], а также слой трехкомпонентно- го состава, состоящий из атомов тугоплавкого металла, как правило, Ti, атомов Со и Si. В первом случае толщина эпитаксиального слоя CoSi2 не превышает 3 нм, во втором достигает 45 нм [113]. Помимо Ti соответствующие свойства диффузионного барьера могут обеспечиваться также Zr. Для введения атомов Ti в трехкомпонентный диффузионный барьерный слой используют различные методы: нанесение на поверхность Со защитного слоя Ti или TiN (-15—20 нм) [112], предварительное (перед распылением Со) нанесение Ti (~5 нм) либо со- распыление Co-Ti (15-20%) [97]. Формирование эпитаксиальных слоев CoSi2 с использованием диффузионного барьера на основе химического окисла основано на том, что реакция взаимодействия между Со и Si задерживается до тех пор, пока температура отжига не превысит 500°С, тем самым исключая вероятность образования низкотемпературных фаз Co2Si и CoSi [114]. Однако при указанной температуре действие диффузионного барьера продолжается ограниченное время, вследствие чего толщина эпитаксиальных слоев не превышает 3 нм. При нанесении дополнительного защитного слоя Ti максимальная толщина эпитаксиального CoSi2 может быть значительно увеличена [115]. Особенности процесса формирования эпитаксиальных слоев CoSi2 с диффузионным барьером на основе химического окисла описаны в [116]. В работе [97] предложен процесс формирования эпитаксиального слоя CoSi2 с использованием распыления сплава Со—Ti( 10—20% ат.). Присутствие атомов Ti обеспечивает восстановление естественного химического слоя Si02 и образование трехкомпонентного диффузионного барьера, способствующего образованию эпитаксиального слоя CoSi2. В сравнении с обычным процессом формирования силицида при нанесении чистого Со, температура завершения фазового перехода CoSi/CoSi2 (достижения минимального ps) при использовании сплава Со—Ti выше на 100°С и равна 800°С. Методом рентгеновской дифракции показано, что следы промежуточной фазы в обычном процессе исчезают после отжига при температуре 750°С, а при нанесении Со—Ti - при температуре 850°С. Поэтому первый и второй отжиг в предлагаемом методе формирования CoSi2 проводят при температуре 600 и 850°С, соответственно. Благодаря эпитаксиальному механизму роста слоя CoSi2
us Глава 6. Формирование затвора субмикронных МОПТ обеспечивается высокая однородность толщины слоя и малая амплитуда микрорельефа границы раздела CoSi2-Si (1,46 нм) в сравнении с обычной технологией (4,66 нм). Применение сплавных мишеней Со—Ti (10-20%) обеспечивает также возможность получения слоев CoSi2 с низким поверхностным сопротивлением (менее 4,5 Ом/п) и толщиной до 50 нм на поли-Si как я+-, так и/?+-типа в областях шириной до 0,08 мкм. 6.3.7. Силицидные затворы на основе NiSi В отличие от силицида TiSi2 поверхностное сопротивление NiSi, так же как и CoSi2, не увеличивается с уменьшением длины канала. Однако в сравнении с NiSi при формировании CoSi2 потребляется слой кремния, толщина которого на 30% больше при одинаковых значениях поверхностного сопротивления [ 117 j. Снижение же толщины CoSi2 с целью исключить деградацию /?-я-переходов, как правило, недопустимо из-за уменьшения термической стабильности. Поэтому NiSi считается перспективным материалом для салицидной технологии изготовления затворов глубокосубмикронных МОПТ. Преимущество NiSi перед CoSi2 заключается также в возможности формирования низкоомной фазы (pv= 12—18-Ю6 Ом-см) с использованием одного отжига при низкой температуре (> 450°С) [117, 119]. Кроме того, из-за малой высоты барьера контакта NiSi к п+- и /?+-кремнию контактное сопротивление в структуре салицидного затвора NiSi ниже, чем в случае TiSi2иCoSi2 ((5-8) • ЮЮм • см2). Однако низкоомная фаза NiSi является термически нестабильной. При температуре > 750°С она превращается в высокоомную фазу NiSi2, pv = (35—50) • 106 Ом-см, усложняя или делая невозможной интеграцию салицида NiSi в технологию. Кроме того, при отжиге NiSi при температуре > 650°С амплитуда микрорельефа границы раздела NiSbrmrm-Si резко возрастает, вследствие чего повышается поверхностное сопротивление силицида и вероятность деградации /?-я-переходов. Следует отметить, что на уровень температуры взаимодействия Ni с Si значительное влияние оказывает наличие естественного окисла на поверхности кремния. Таким образом, основной задачей при формировании слоев NiSi является повышение их термической стабильности. Нанесение Ni на поверхность кремния осуществляют магнетронным распылением. При этом толщина слоя Ni, как правило, составляет 20 нм, а слоя NiSi — 35—40 нм. Удаляют непрореагировавший металл в смеси H2S04—Н202. На рис. 6.77 представлена зависимость поверхностного сопротивления слоя NiSi от температуры отжига [119]. С увеличением температуры Рис. 6.77. Зависимость поверхностного отжига выше 650°С (30 с) величина ps по- сопротивления слоев NiSi от темпера- степенно повышается. При температуре туры отжига (30 с) 750°С происходит фазовое превращение гр12НР □ О к ■* После нанесения О | \ NiSb О * г NiSi (2 0 "300 500 700 900 Температура отжига (°С)
6.4. Полицидные затворы КМ О П-приборов 501 NiSi -» NiSi2. Электронно-микроскопическим анализом с использованием метода рентгеновской дифракции показано, что возрастание ps слоя NiSi сопровождается не только увеличением амплитуды микрорельефа границы раздела NiSi- поли-Si и агломерацией, но также фазовым превращением в отдельных зернах. Вследствие высокой чувствительности реакции взаимодействия Ni с кремнием к наличию естественного окисла на поверхности кремния температура образования NiSi повышается. При этом в слое силицида одновременно с низкоомной фазой образуется и высокоомная фаза NiSi2, относительная доля которой растет с увеличением толщины естественного окисла. Поэтому на характеристики силицида оказывают сильное влияние такие факторы, как влажность воздуха в чистой комнате и время межоперационного хранения пластин перед нанесением Ni [120]. Для повышения термической стабильности NiSi используют следующие приемы. • Нанесение защитного слоя SiOz также замедляет процесс агломерации слоя NiSi и уменьшает амплитуду микрорельефа границы раздела NiSi-mMH-Si [122, 125]. Помимо снижения поверхностного сопротивления слоя NiSi в образцах с защитным слоем Si02 приблизительно на порядок снижается ток утечки /?-я-переходов. Как видно, поверхностное сопротивление силицида без защитного слоя начинает быстро возрастать при температуре дополнительного отжига > 650°С (30 мин), а силицид с защитным слоем допускает термообработку при температуре 700°С. • Нанесение защитного слоя Ti позволяет (как и при формировании других силицидов) геттерировать кислород из объема слоя Ni, а также обеспечивает восстановление естественного окисла. Благодаря этому при использовании защитного слоя Ti повышается однородность толщины и термическая стабильность слоя NiSi [121]. Отмечается снижение тока утечки /?-я-переходов. Используется также защитный слой TiN [123] и ионная имплантация азота в поликремний [124]. 6.4. Полицидные затворы КМОП-приборов 6.4.1. Особенности технологии формирования полицидных затворов Основным ограничением применения салицидной самосовмещенной технологии формирования силицидов на затворе и сток-истоковых областях является недостаточная термическая стабильность и невозможность снижения поверхностного сопротивления при уменьшении топологических размеров. Вследствие особенностей термического взаимодействия тугоплавких металлов с поликремнием при использовании салицидной технологии возможно снижение надежности подзатворного окисла и увеличение тока утечки ^-«-переходов. Ситуация осложняется при масштабировании МОПТ, когда наряду с уменьшением топологических размеров элементов снижается толщина поликремния и глубина залегания/^-«-переходов. Это не позволяет увеличивать толщину слоя силицида, необходимую для снижения относительной доли задержки сигнала
(jf502 Глава 6. Формирование затвора субмикронных МОПТ 1000 $50 <*00 «850 °800 *50<2 1000 &50 S00 '«SO °800 °fSQt 5 10"" s S « 10я н х S S •в1 5 _ 1 1 J 1 1 U-, 1 —♦- 8 BWSI~ t —* -Аз bWSI8; Г * - ♦ - As bTSI2 J л »v - - •- - 8 bCoSIj, T \ ^\f As eCoS^ [ w* E \* г '• \ r *" J , 1 h j ? 1 1 i 1 1 b-j —*- P BWSi2 j —* - P BTiS!2 j # - • . p BCoSi2 j - "^ 1 \ i. • \ : \ * 1 j ^^—t^^—l 0.85 0.90 0.95 0.80 0.65 0.90 0.95 1000/Температура (К) Рис. 6.78. Зависимости коэффицентов диффузии As, В и Р в силицидах WSi2, TiSi2 и CoSi2 от температуры 50 40 30 20 I 10 , , - *-Диффузия As в WSi x, легированный В (900 °С) -♦-Диффузия В в WSi x, легированный As (900 °С) -л-Диффузия As в WSix, легированный В (800 °С) Область, силыголегнрованпая ■ примесью противоположного т 31 МОП lv 30 60 90 120 Длительность отжига (мин) 150 Рис. 6.79. Минимальное расстояние между затвором тестового транзистора и противоположно легированным элементов большой площади Lmin, соответствующее сдвигу порогового напряжения на 100 мВ, в зависимости от длительности отжига уровень механических напряже- в печи при различных температурах ний, вводимых в активную область транзистора. по цепи затвора в общем времени задержки КМОП-вентиля (см. раздел 6.1). Дальнейшее масштабирование МОПТ возможно при раздельном формировании силицида на поликремниевом затворе (по- лициде) и на контактах к сток- истоковым областям [126—129]. При увеличении толщины слоя силицида в среднем до 1000 А поверхностное сопротивление полицидного затвора снижается до 10 и 1,9 Ом/п при использовании WSix и TiSi2, соответственно. Применение полицидной структуры затвора усложняет технологию изготовления КМОП-приборов, так как вводятся дополнительные операции: легирование поли-Si, нанесение барьерного слоя перед осаждением или нанесением силицида, анизотропное травление трехслойной полицидной структуры, осаждение и РИТ спейсеров, защищающих боковые поверхности полицида от воздействия окисляющей среды при последующих термических операциях. Нанесение слоя силицида осуществляют химическим осаждением из парогазовой смеси или магнетронным распылением. При использовании фторсодержащих реагентов (WF6) следует учитывать влияние фтора на процессы диффузии примесей в подзатворном окисле и в кремнии и на надежность окисла. При использовании магнетронного распыления необходимо минимизировать
6.4. Полицидные затворы КМОП-приборов 503" Применение полицида в КМОП-приборах с затворами двух типов проводимости может быть ограничено при определенной топологической конфигурации транзисторов, вследствие исключительно больших коэффициентов диффузии примеси в силициде [130—134]. На рис. 6.78 приведены зависимости коэффициента диффузии примесей в силицидах WSi2, TiSi2, CoSi2 от температуры. Значения коэффициента диффузии примесей в силицидах на несколько порядков выше, чем в поли-Si [135]. Интенсивное перераспределение примеси в локальных полицидных межсоединениях может приводить к изменению работы выхода затворов МОПТ и к обеднению затвора носителями в режиме инверсии [133]. Для оценки влияния латеральной диффузии примесей в полициде на параметры МОПТ используют тестовые транзисторы, затворы которых соединены с противоположно легированными полицидными элементами большой площади. При проведении термических операций после формирования полицидного затвора, например, планаризации рельефа или диффузионого перераспределения примесей в сток-истоковых областях, когда атомы примеси диффундируют в слое силицида из элемента большой площади в затвор тестового транзистора. Вследствие частичной компенсации примеси концентрация носителей в затворе тестового транзистора снижается, что вызывает изменение порогового напряжения. На рис. 6.79 приведены зависимости минимального расстояния (Lmin) между тестовым транзистором и противоположно легированным элементом большой площади (соответствующего сдвигу порогового напряжения на 100 мВ) от длительности отжига тестовых структур в печи при разной температуре. Зависимости получены по результатам приборно-технологического моделирования [135]. При этом рассматривались случаи диффузии As из элемента большей площади в затвор /?-МОПТ, легированный бором, и диффузия бора в затвор «-МОПТ, легированный мышьяком. Толщина слоев в полициде: WSi2- 250 A, TiSi^— 1500 А, поликремний — 2500 А; концентрация примеси в поликремнии 1 • 1020см3. Длина затвора МОПТ 0,5 мкм, номинальное значение порогового напряжения Vt = ±0,7 В. Из приведенных на рис. 6.79 зависимостей следует, что для предотвращения изменения параметров МОПТ после отжига при температуре 800—900°С транзисторы должны располагаться на расстоянии нескольких десятков 10 -*-CoSi2(90dfc) * CoSi2{80dfc) -*- WSi2 (90<fc) WSi2(80dfc) < TiSi2(90Cfc) / -TiSL(80db) / rrrr^- ^ 20 40 60 80 100 120 140 Длительность отжига (мин) Рис. 6.80. Зависимость минимального расстояния Lm-m между /?-МОПТ и я-МОПТ с соотношением площадей затворов 2:1 от длительности отжига в печи
504 Глава 6. Формирование затвора субмикронных МОПТ микрон от элемента большой площади. В [136, 137] экспериментально показано, что снижение проектных норм КМОП-приборов невозможно, если после формирования полицидных затворов применяется высокотемпературный отжиг в печи. Перенос примеси из поли-Si в силицид определяется величиной коэффициента сегрегации примеси на границе между ними. Большая величина коэффициента сегрегации бора на границе поли-8ь\¥812 [138] приводит к тому, что латеральная диффузия бора в силициде ограничена из-за низкой концентрации (символы «+» на рис. 6.79). Результаты расчета минимального расстояния Lmm, приведенные на рис. 6.79, показывают наихудший вариант топологии КМОПТ. В большинстве же случаев в топологии СБИС используют комплементарные транзисторы с приблизительно одинаковой площадью полицидных затворов. Результаты расчета Lmin, для соотношения площадей затворов р-МОПТ и и-МОПТ, равного 2:1, приведены на рис. 6.80. Как видно, минимальное расстояние между приборами, соответствующее величине сдвига порогового напряжения 100 мВ, снизилось до нескольких микрон. В случае полицидов WSi2 и CoSi2 при диффузии As в затвор /ьМОПТ минимальное расстояние между приборами (Z,min) остается относительно большим, если отжиг осуществляется в печи при температуре более 900°С. При температуре 800°С расстояние Lmin снижается до 2 мкм при длительности отжига 60 мин для CoSi2 и 45 мин для WSi2. Зависимости Lmin от длительности отжига для полицида TiSi2 имеют асимтотический характер из-за незначительной диффузии бора из затвора /ьМОПТ. Поэтому сдвиг Vt я-МОПТ происходит только из-за диффузии As из затвора я-МОПТ и обусловленного этим обеднения затвора; сдвиг Vtp-MOYYT обусловлен частичной компенсацией бора мышьяком. При увеличении длительности отжига концентрация мышьяка в затворе я-МОПТ снижается, вследствие этого зависимость Lmin от длительности отжига быстро насыщается. С увеличением отношения площадей затворов /7-МОПТ и я-МОПТ до 4:1 минимальное расстояние между транзисторами (по критерию A Vx =100 мВ) увеличивается на 50 %. При соотношении площадей затворов 8:1 зависимости Lmin от длительности отжига близки к приведенным на рис. 6.79. При соотношении площадей затворов р- и я-МОПТ как 2:1 минимальное расстояние между транзисторами обеспечивается использованием полицидов на основе TiSi2 и CoSi2. В случае TiSi2 — из-за высокого коэффициента сегрегации бора на границе поликремний-силицид, в случае CoSi2 — из-за меньшего значения коэффициента диффузии бора и мышьяка в сравнении с WSi2 и TiSi2. В связи с относительно низкой энергией активации диффузии примесей в силициде применение быстрого отжига после формирования полицида может обеспечить снижение протяженности латеральной диффузии [159]. Показано, что при использовании быстрого отжига при температуре 1000°С (< 30 с) минимальное расстояние между транзисторами не превышает 1 мкм. Такое же значение Lmin обеспечивается при отжиге при температуре 900°С (несколько минут) и 800°С (20 мин). Ограничения термического бюджета, обусловленные латеральной диффузией примесей в полициде, необходимо учитывать при выборе режимов планаризации рельефа методом оплавления БФСС и легирования сток-
6.4. Полицидные затворы КМОП-приборов 5 0 5" истоковых областей примесями, предварительно имплантированными в слой силицида. 6.4.2. Нанесение слоев силицида вольфрама при формировании полицидных затворов Нанесение силицида вольфрама WSix на поликремний при формировании полицидных затворов КМОП-приборов, как правило, осуществляется осаждением из парогазовой смеси (GVD) и магнетронным распылением (MP). При осаждении WSix CVD-методом с использованием WF6 и SiH4 в результате диссоциации WF6 образующиеся атомы фтора диффундируют через слой поли-Si в Si02. При последующих термических операциях фтор взаимодействует с Si02 в объеме или на границе раздела Si-Si02, вследствие чего возникают ловушки и поверхностные состояния. В результате происходит, с одной стороны, улучшение характеристик р- и я-канальных МОПТ [140], что объясняется увеличением подвижности носителей и уменьшением эффективной длины канала [141]. Возрастает стойкость я-МОПТ к воздействию горячих носителей. С другой стороны, отмечаются негативные последствия CVD-метода осаждения WSix, такие как снижение надежности МОПТ [142—144], уменьшение напряжения пробоя подзатворного окисла [145], сдвиг порогового напряжения из-за ускорения проникновения бора из затвора в область канала (см. раздел 3.4) и увеличение толщины подзатворного окисла в присутствии фтора [146, 147]. Взаимодействие фтора с двуокисью кремния обусловлено его сильными восстановительными свойствами. При постимплантационном отжиге сток-истоковых слоев происходит разрыв связей Si-О в объеме Si02 и связей Si-О и Si-H на границе раздела Si-Si02 с образованием более прочных связей Si—F. Высвободившиеся атомы кислорода диффундируют к границам раздела поликремний-8Ю2 где в результате реакции окисления происходит наращивание подзатворного окисла. Снижение напряжения пробоя при повышении концентрации фтора в подзатворном окисле связывают с образованием дефектов типа Si-F в объеме окисла. Так как деградация надежности я-МОПТ обусловлена генерацией поверхностных состояний под воздействием горячих носителей, то замена слабых связей Si—H на границе раздела Si-Si02 прочными связями Si—F объясняет улучшение надежности я-МОПТ с полицидным 0.9 0.6 0.5 0.4 ОЛ Метод нанесения WSix: «CVD-осаждение <Магнетронное распыление ■CVD-осаждение ВДагнетронное распыление OJ0 0JS 0.40 0.45 030 035 0.60 0.65 0.70 0.75 0.80 0.85 0.90 Длина затвора (мкм) WSi2-3aTBOPOM, сформированным Рис' 68L Зависимость эффективной длины с использованием CVD-метода. В то же время деградация надежности /?-МОПТ связана с повышенной концентрацией ловушек в объеме канала Leff от длины затвора р- и «-МОПТ с полицидными затворами; нанесение слоя WSix: —О—О CVD-осаждение, —О—О— маг- нетронное распыление.
GS Глава 6. Формирование затвора субмикронных МОПТ окисла, возникающих при образовании связей Si—F. Исследование влияния фтора, образующегося в полицидном WSix-npouecce, на стойкость /ьМОПТ-приборов (Lg = 0,6 мкм) к воздействию горячих носителей показали, что время жизни этих приборов снижается на четыре порядка в сравнении с я-МОПТ [144] (время жизни определяется как длительность электрического стресса при максимальном токе затвора ^-МОПТ, приводящего к сдвигу порогового напряжения на 50 мВ). Поэтому применение CVD-метода для осаждения WSix при изготовлении суб-0,5-микронных /?-МОПТ допустимо лишь при использовании конструктивно-технологических мер, предотвращающих или снижающих проникновение фтора в подзатворный окисел. Влияние фтора на характеристики КМОП-приборов, обусловленное применением CVD-метода осаждения WSix, можно исключить, заменив CVD при нанесении WSix магнетронным распылением (MP). Однако исследование характеристик МОПТ с полицидным WS^-затвором, сформированным с применением Мр-метода, показало, что магнетронное распыление вызывает аномальное уменьшение эффективной длины канала, которое приводит к ухудшению характеристик МОПТ с длиной затвора менее 0,35 мкм. На рис. 6.81 приведены зависимости эффективной длины канала Z,eff от длины затвора п- и /?-канальных МОПТ с полицидными WS^-затворами, сформированными с применением CVD- и Мр-методов. Параметры структуры транзисторов: Тох=10 нм (CVD- WSix), 11,5 нм (Mp-WSix), конечная толщина окисла одинаковая; толщина поликремния 0,15 мкм; толщина WSix 0,125 мкм. Режим отжига WSix - 900°C (45 мин), постимплантационного отжига 1015°С (30 с) [148]. Как видно, в приборах с Мр- WS^-полицидом величина £cft при одинаковой длине затвора меньше, чем в приборах с CVD-WS^-полицидом. Протяженность латеральной диффузии примеси в область канала, определяемая как разность (Lg - Z,f), при использовании магне- тронного распыления равна 0,098 мкм для я-МОПТ и 0,051 мкм для/?-МОПТ, в то время как при использовании CVD-метода соответствующие значения равны 0,063 и 0,017 мкм. Наблюдаемое различие в величине (Lg—Leff) объясняется при CVD-методе нанесения WSix уменьшением коэффициентов диффузии примесей в присутствии фтора. При использовании же магнетронного распыления WSix диффузия примесей ускоряется из-за высокого уровня механических напряжений растягивающего характера, возникающих при быстром Рис. 6.82. Зависимости тока стока /dsat (Vg = Vd = 5 В, отжиге, и соответствующе - Vs = 0) и /off (Vg =0, Vd = 5,5 В) от эффективной длины го увеличения концентра- канала Leff для «-МОПТ с полицидными затворами: на- ции точечных дефектов, несение слоя WSix: —•--и-- CVD-осаждение, -о-П- Такое объяснение ре- магнетронное распыление зультатов (рис. 6.81) спра- 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 Эффективная длина канала (мкм)
6.4. Полицидные затворы КМОП-приборов 50 ведливо для приборов с относительно малыми толщинами слоев поли-Si подза- творного окисла и LDD сток-истоковых областей. В этом случае концентрация атомов фтора в диффузионных слоях достаточно велика, чтобы влиять на коэффициент диффузии примесей. К тому же уровень механических напряжений, создаваемых силицидом, нанесенным магнетронным распылением, относительно слабо снижается в структуре с тонкими слоями. По-видимому, этим обстоятельством можно объяснить отличие результатов рис. 6.81 от результатов, полученных при аналогичном исследовании приборов с более толстыми слоями поликремния (0,3 мкм) и подзатворного окисла (18 нм) [149]. На рис. 6.82 приведены зависимости тока стока МОПТ в выключенном состоянии /off и в режиме насыщения /d sat от эффективной длины канала. Использование магнетронного распыления WSix приводит к значительному росту /off при уменьшении длины канала ниже 0,4 мкм. Кроме того, при увеличении Leff выше 0,4 мкм ток стока (/off) МОПТ с Мр-полицидом больше, чем у МОПТ с CVD-полицидом, причем разница в токах не зависит от Le{f. Характер зависимостей /ofT(£en) указывает на более высокую плотность рекомбинационно-генерационных центров на границе Si-Si02 или вблизи нее у приборов с Мр-полицидным затвором. Это может быть следствием более высокого уровня механических растягивающих напряжений, создаваемых Мр-силицидом во время быстрого отжига и/или следствием дезактивации дефектов при их взаимодействии с атомами фтора в приборах с CVD-полицидом [146]. При LcW >0,4 мкм ток утечки (7off). Определяется генерационным током ^-«-перехода, и поэтому, благодаря меньшей концентрации рекомбинационно-генерационных центров, ток утечки МОПТ с CVD-WSix-3aTBopoM имеет меньший уровень и не зависит от величины Z,eft, Когда Leff < 0,4 мкм, ток стока /off возрастает из-за снижения потенциального барьера истока при проникновении электрического поля стока (DLBL-эффект). В результате ин- жекция носителей из истока в канал увеличивается, а ток /off возрастает. При одинаковой длине затвора (Lg = 0,45 мкм) ток /off приборов с Mp-WSix-3aTBopoM выше, чем у приборов с CVD-WS^-затвором, что указывает на более сильное влияние DLBL- Лморфизированная область, созданная реактивно-ионным распылением :> Диффузии избыточных атомов кремния и образование | Si-преципитатов на дефектной поверхности WSix | Р I Окисление Si-преципнтатов с образованием SiO-, Окисел; р WSix Поли I Диффузия атомов кислорода ■ во время отжига в слой WSix (Si + Oz(r) Si02) В ( W + 0?{газ или SiO^ WOx) Рис. 6.83. Образование с Si-преципитатов и соединений W—Si—О на боковой поверхности полицидного затвора при отжиге в окислительной среде
(Р>08 Глава 6. Формирование затвора субмикронных МОПТ о. D.780t, « 780t. о. С 600t_ | L D .! L с L в , !< А >• | / 1 j SiH4 / Вакуум ; NjOj + / ■: 1 N*° N2OJ \ я) с D' J t A' ,г~ /Вакуум Отжиг } BN2 j N2° SiH4 + N20 N2() j * 6) Рис. 6.84. Температурно-временная диаграмма процессов осаждения окис- ного спенсера на полицидный затвор: (а) с возникновением деформации боковой поверхности полицида, (б) с предотвращением деформации. Введение отжига перед осаждением окисла (этап А') предотвращает деформацию боковой поверхности полицида эффекта вследствие меньшей величины Zeff. Следует также (рис. 6.82), что ток /dsat приборов с CVD-WS^-затвором незначительно выше, чем у приборов с MP-WS^-затвором. Это объясняется уменьшением плотности поверхностных состояний благодаря атомам фтора, насыщающим оборванные связи на границе Si-Si02 [141]. Таким образом, использование маг- нетронного распыления WSix при изготовлении МОПТ с полицидным затвором приводит кухудшению его короткоканаль- ных характеристик. В то же время МОПТ, при изготовлении которых применяли осаждение WSix из парогазовой смеси, характеризуются более высоким током стока /dsat и более низким /off в широком диапазоне значений длины канала. Несмотря на то, что применение магнетронного распыления WSix устраняет проблему ускоренного проникновения бора из затвора в область канала, полученные результаты указывают, что этот метод формирования силицида неприемлем для изготовления глубокосубмикронных МОПТ. 6.4.3. Влияние низкотемпературного отжига на деформацию боковой поверхности WS^-полицидного затвора Одной из проблем технологии формирования WS^-полицидного затвора является деформация боковой поверхности затвора, обусловленная изменением его состава и структуры при последующих операциях изготовления МОПТ (рис.6.83) [150]. Деформация боковой стенки затвора может привести к изменению распределения концентрации примеси в LDD-областях, размеров контактных окон и к возникновению электрических закороток между затвором и контактами к сток- истоковым областям. Деформация возникает на операции осаждения тонкого слоя окисла (спейсера) для защиты WSix боковой поверхности затвора от воздействия окислителей. Эта операция проводится в соответствии с температурно- временной диаграммой, приведенной на рис. 6.84я. Изменение формы боковой поверхности полицидного затвора наблюдается после отжига в азоте при низком давлении (период А) и после отжига в окисляющей среде (периоды В, С, D). Причиной деформации боковой стенки полицидного затвора является аморфи- зация слоя WSix, создаваемая при реактивно-ионном травлении затворных областей. Вследствие пересыщения WSix атомами кремния (х = 2,3) во время отжига избыточные атомы Si диффундируют к дефектной боковой поверхности затвора. В случае отжига в инертной среде избыточные атомы Si преципитируют на боковой поверхности затвора с образованием кристаллитов Si.
6.4. Полицидные затворы КМОП-приборов 509j| fe_s,o2-*K-si—А 0.4 0.6 0.8 1.0 Глубина (мкм) а) |»~ Si02 -*}«*Si I В случае отжига в окисляющей среде на боковой поверхности затвора образуются кристаллиты трехкомпонентной фазы W-Si-O. Определяющую роль в этих процессах играет диффузия избыточных атомов Si, коэффициент диффузии которого в WSix при температуре 600°С на шесть порядков выше, чему вольфрама [151, 152]. На рис. 6.83 схематически представлена последовательность образования деформированной области на боковой поверхности затвора. Для предотвращения деформации боковой поверхности затвора необходимо проводить отжиг дефектов, создаваемых реактивно-ионным травлением полицида. Такой отжиг после травления полицида — первый этап процесса осаждения тонкого окисного спейсера (рис. 6.845). 6.4.4. Методы подавления латеральной диффузии примесей в полицидных межсоединениях затворного уровня Латеральная диффузия примеси в полицидных межсоединениях может быть ограничена либо применением диффузионно-барьерных слоев для уменьшения сегрегации примеси из поликремния в силицид, либо созданием условий для снижения коэффициента диффузии примеси в поли-Si или в силициде. Создание барьерных слоев в WSix- полицидных затворах, как правило, осуществляется нитридизацией поверхности поликремния [153] или введением промежуточного слоя поликремния с большим размером зерен [154]. Снижение скорости диффузии примесей в поли-Si обеспечивается, главным образом, рекристаллизацией поли- Si или ионной имплантацией азота [128], а в силициде — увеличением содержания кремния в WSix (x >2,2),что, однако, увеличивает удельное сопротивление силицида [154]. Использование барьерного слоя SiN [153]. Формирование барьерного слоя SiN осуществляется путем нитридизации поверхности поликремния в плазменном потоке с применением ЭЦР-источника [155]. Толщина нитридизиро- 0.4 0.6 08 1.0 Глубина (мкм) б) Рис. 6.85. (а) ВИМС-профиль распределения концентрации фосфора: наблюдается быстрая диффузия фосфора из поли-Si в слой WSix. (б) ВИМС-профиль распределения концентрации фосфора в полицидной структуре с SiN-слоем. Диффузия фосфора из поли-Si в слой WSix подавляется благодаря наличию SiNy- слоя
(uS 10 Глава 6. Формирование затвора субмикронных МОПТ 1023 1022 5 10*» 6 10*° н к <L) о 10»: ю» j 10» 0. L Si02 V- 1 j t i li j П i Ы 0 ( J WSix I Si* 1, Sin, lit, Cws<x(B)!j д К A f 1VV m vi y 1 i . . . i . . .li . ta i , , лл: >.2 0.4 0.6 0.8 1.( Глубина (мкм) ) Рис. 6.86. ВИМС-профиль распределения концентрации бора в полицидной структуре затвора, содержащей SiNy-слой. Видно, что диффузия бора из поликремния к WSix подавляется благодаря наличию SiN -слоя Подзатворный окисел 1-й слой Si* WU ДпП/Ш П К I П/ * 1.1S3 _j U te ■ п- карман ШТЯ /?-карман 1"м1 Легирование затвора (р+) Подложка a-Si Резист Ь i i I PS . .,„,. » .1 ,.,, . . n.b^ffiiUAfotfl N- -Ф- n+-Si* a-Si - рекристаллизованный \*t у н11 wwVj i ly \t i/i)t ijVp be Силицид(W) Рис. 6.87. Последовательность основных этапов изготовления КМОП-структур с полицидными затворами с использованием рекристаллизации a-Si для формирования слоя крупнозернистого поли-Si ванного слоя поликремния составляла 2,5—3 нм. Распределение примеси в структуре полицида представлено на рис. 6.85 для фосфора и на рис. 6.86 — для бора. Видно, что при отсутствии нитридизированного слоя концентрация фосфора в силициде вольфрама после отжига при температуре 900°С (1 ч) достигает уровня, имеющегося в слое поликремния (см. рис. 6.85(2). С другой стороны, при наличии нитридизированного слоя концентрация фосфора в силициде вольфрама очень низка (см. рис. 6.856), что свидетельствует о подавлении диффузии фосфора из поли-Si в слой WSi2. Для поликремния, легированного бором, характерно накопление последнего в нитридизированном слое и резкий спад концентрации бора в силициде вольфрама (рис. 6.87). Таким образом, введение тонкого нитридизированного слоя поли-Si позволяет эффективно подавить диффузию бора и фосфора из поликремния в слой WSix во время высокотемпературного отжига (до 900°С, 1 ч). Однако решение о применении нитридизации поли-Si можно принять, если она не создает проблем с сопротивлением контакта между поли-Si и силицидом. Анализ [153] показал, что величина сопротивления контакта между указанными слоями после нитридизации возрастает приблизительно в два раза, однако это не является определяющим для увеличения времени переключения вентиля.
6.4. Полицидные затворы КМОП-приборов 51 I j| т—»—г~гтт—■—г""г-п—•—г~ггт г Режим рекристализацин:ОФ800°С, ЗОмин ДА600°С,2часа N-МОПТ П ■ 600°С, 8 часов р'-полнцнд 0.1 1 10 100 Расстояние от дифф. источника (мкм) (а) w-0.1 Г ! ' :"-> г ? г--' т V , U Режим рекристализацш h Р-МОПТ -^1 L А а Г - JEf Г WSi2/pgSESSI 1* А-Дпв 1 п гаа» ^""a-Si / Si .8u :О#800°С,30мин - ДАб00°С,2часа ав600°С,8часов" WSi2/Si*/Si* ^ "4,^feteii»__i. J iff. #, ft J 0.1 1 10 100 Расстояние от дифф. источника (мкм) Введение в структуру полици- да слоя крупнозернистого поли-Si [154]. Эффективность поглощения примеси силицидом из поли-Si в основном определяется большим коэффициентом диффузии примеси по границам зерен в поликремнии. Поэтому увеличение размера зерен поли-Si приводит к снижению скорости переноса примесей в силицид. Одним из методов получения крупнозернистого поли-Si является рекристаллизация аморфного кремния при температуре 600-800°С[156]. На рис. 6.87 представлена последовательность операций создания полицидных затворов п+- и /?+-типов. После формирования изолирующих областей выращивается подзатворный диэлектрик (9 нм), осаждается первый слой поли-Si (100 нм), а затем слой а-кремния (100 нм). Первый слой поликремния необходим для исключения восстановления подзатворного окисла в случае высокотемпературной рекристаллизации а-кремния, осажденного непосредственно на окисел. Легирование затворов осуществляется имплантацией ионов бора (is = 15 кэВ) и фосфора (Е = 30 кэВ) с дозой 5-1015 см 2. Рекристаллизация а-кремния производится при температуре 600—800°С (30 мин), затем магнетронным распылением наносится слой силицида вольфрама (100 нм) с атомным отношением Si/W, равным 2,6, которое в результате последующих термообработок снизилось до 2,5. После фотолитографии затворных электродов и легирования сток-истоковых областей формируется изолирующий диэлектрик и проводится термообработка при 850°С (1 ч) для активации примеси и сглаживания рельефа борофосфорносиликатным стеклом. Высокотемпературная (800°С) рекристаллизация а-кремния приводит к росту зерен до 0,5—1,0 мкм, что на порядок выше размера зерна поли-Si в затворе типового МОПТ. Первый слой поли-Si и рекристаллизованный слой разделены слоем естественного окисла толщиной 1 нм. Снижение коэффициента диффузии примесей в крупнозернистом рекри- сталлизованном слое существенно подавляет адсорбцию примесей силицидом и, соответственно, снижает эффективность латерального переноса. На рис. 6.88 представлена зависимость сдвига порогового напряжения я-МОПТ и /?-МОПТ от расстояния до источника примеси противоположного типа проводимости площадью 10000 мкм2. Как видно, использование барьерного крупнозернистого поли-Si позволяет исключить влияние латеральной диффузии примесей на сдвиг Рис. 6.88. Сдвиг порогового напряжения (AV) в п- и /?-МОПТ в зависимости от расстояния до источника примеси противоположного типа проводимости (/)), имеющего площадь 1000 мкм2
|f512 Глава 6. Формирование затвора субмикронных МОПТ порогового напряжения даже для расстояния между затвором и источником диффузии, равным 0,1 мкм. Как показано в [154], использование данной технологии обеспечивает значительное снижение влияния эффекта обеднения затвора носителями на крутизну транзисторов. Благодаря этому ток стока приборов увеличен на 5% для л-МОПТ и на 7% для /?-МОПТ. Кроме того, это позволяет исключить проникновение бора через подзатворный диэлектрик, вследствие подавления эффекта каналирования по границам зерен при ионной имплантации в слой а-кремния. Формирование подзатворного окисла Формирование полицидных затворов Технология NICE •Осаждение: WSix(80iiM)ArierH- рованный Si*(130nM); температура осаждения WSix= 510 °С • RTA при 900 °С в среде NH3 GCVD-осаждение Si02 при 700°С 9 Отжиг в печи 900°С -с 3L Обычная технология • Осаждение легированного Si*, очистка в растворе HF • Осаждение WSix (100 или 150нм) О CVD-осаждение Si02 при 700°С О Отжиг в печи 900°С Фотолитография и травление затворных областей Рис. 6.89. Последовательность основных этапов формирования WS^-полицидных затворов по технологии NICE 6.4.5. Формирование полицидного затвора последовательным осаждением WSix и Si в едином вакуумном цикле (технология NICE) Силицид вольфрама, полученный методом осаждения с использованием реакции WF6 с SiH4 [157, 158] или с Si2H2Cl2 [159, 160], имеет относительно высокое удельное сопротивление pv = (100—110) • Ю60м • см (после отжига при температуре 900°С) [161], Такая величина не отвечает требованиям к полицидному затвору МОПТ для СБИС. Для сложных быстродействующих СБИС используют поли- цидные затворы на основе TiSix (pv = 15 • 10~6 Ом • см) или полиметаллические затворы (pv =10 • Ю-6 Ом • см). В работах [162, 163] предложена усовершенствованная технология изготовления WS^-полицидного затвора я+-типа с pv = 36 • 10~6 Ом • см. В этой технологии — технологии NICE (Novel integrated clastered electrode) [164]— осаждение поли-Si и WSix проводится в едином вакуумном цикле, вследствие чего промежуточный слой естественного окисла в структуре полицида отсутствует (рис. 6.89). Благодаря отсутствию естественного окисла, взаимодействие WF6, SiH2Cl2 и фосфора (легирующая примесь в поликремнии) приводит к образованию слоя WSix со значительным избытком кремния. Это подтверждается термодинамическим расчетом возможных реакций, происходящих вблизи поверхности поликремния (рис. 6.90). Реакция взаимодействия WF6 с 0 -2000 |-4000] ^-6000 -8000 SiH2CI2 >Si + 2HCl 2WF6 + 3Si—> 2W + 3SiF4 5\VF6+6P~»5W + 6PF5 200 400 600 800 100012001400 Температура (К) Рис. 6.90. Изменение свободной энергии (AG) для возможных реакций вблизи поверхности Si при осаждении WSix при температуре 300—1300К
6.4. Полицидные затворы КМОП-приборов 51 3jh 20 15 * 5 0 -5 1 1 *"»'■■»! | ■ ■ 1 1 . | ■ . » 1 »| в) \ Р Х 1 L .IV: Li»iIi«ii»I . . ■ 1 . 1 1 . I « In,»,,,»,»,,.,,,!,,»,,!, У/ WSijJ wsi,;, 5 10 15 20 25 Время распыления (мин) 30 Рис. 6.91. Изменение отношения концентрации атомов Si/W в WSix- полициде: (а) после осаждения; (б) после отжига в NH3; (в) после дополнительного отжига в печи. Отношение концентраций S/W определяли методом оже-электронной спектроскопии фосфором происходит с образованием газообразного PF5, вследствие чего концентрация WF6 у поверхности осаждаемого слоя уменьшается, а концентрация вольфрама на поверхности поли-Si увеличивается. Образование WSix происходит с избытком вольфрама (х = 1,1), вследствие чего осаждение слоя WSix осуществляется в аморфной фазе. В обычной технологии взаимодействие WF6 и SiH2Cl2 приводит к образованию поликристаллического слоя WSix с избытком кремния (х = 2,6), причем силицид вольфрама в осажденном слое имеет гексагональную структуру WSi2 с большим удельным сопротивлением (900 • 10~6 Ом • см). Во время отжига в печи 900°С (30 мин) осажденный слой рекристаллизуется с полиморфным превращением гексагональной фазы в низкоомную тетрагональную фазу WSi2 (pv =110 • 10"6 Ом • см) с размером зерен < 100 нм. При этом избыточные атомы кремния диффундируют к границе раздела WSix-mwra-Si, вследствие чего в результате твердофазной эпитаксии происходит увеличение толщины слоя поликремния, а также изменяется состав WSix за счет уменьшения содержания кремния (х -> 2,2). При формировании полицида по технологии NICE во время быстрого отжига (30 с) в NH3 (RTn-отжиг) происходит кристаллизация аморфного слоя WS^ (x = 1,1) с образованием низкоомной тетрагональной фазы WSi2 (pv = 36 • Ю-6 Ом • см2). При этом состав слоя WSix изменяется в сторону увеличения содержания кремния (х > 2,2) вследствие диффузии атомов Si из поли-Si в силицид. Указанный механизм изменения состава силицида и образования фазы WSi2 возможен лишь при отсутствии барьерного слоя (естественного окисла) на границе раздела WSix-mwiH-Si. Благодаря диффузионному переносу атомов Si из слоя поли-Si толщина слоя силицида увеличивается с 80 нм (непосредственно после осаждения) до ПО нм (после RTn-отжига) (рис. 6.91). При этом толщина слоя поли-Si уменьшается. Вследствие высокого уровня чистоты границы раздела \¥81х-поли-81, обусловленного осаждением слоев в едином вакуумном цикле, размер зерен WSix после отжига значительно (в среднем в три раза) превосходит размер зерен WSix в полициде, полученного обычной технологией: 80 нм при температуре 800°С RTn-отжига и 100—400 нм при температуре >900°С. Кроме того, полицид, сформированный по технологии NICE, характеризуется слабовыраженным микрорельефом границы раздела ДУ51х/поли-81. Поэтому отжига 600 700 800 90010001100 Температура (°С) Рис. 6.92. Изменение поверхностного сопротивления WS^-полицида в зависимости от температуры быстрого отжига в NH.
uTS 14 Глава 6. Формирование затвора субмикронных МОПТ 101 Si - подложка Глубина (отн. единицы) удельное сопротивление силицида снижается с 234 • Ю-6 Ом • см (непосредственно после осаждения) до 36 • 10~6 Ом • см (после RTn- отжига). Изменение поверхностного сопротивления слоя WS^-полицида в зависимости от температуры RTn-отжига представлено на рис. 6.92. При увеличении температуры RTn- отжига повышается содержание кремния в слое WSix и полнота кристаллизации слоя с образованием низкоомной фазы WSi2, вследствие чего снижается удельное сопротивление силицида. При достижении состава силицида, соответствующего атомному содержанию кремния, равного 2,2, скорость Рис. 6.93. Распределение концентра- диффуЗИи атомов Si из слоя поли-Si в слой цииатомовфосфоРав\¥81х-полициде WSi уменьшается. При этом увеличение по глубине: после осаждения в WSi л™. , ч г. XIlJx толщины слоя WSix прекращается даже при (я), после быстрого отжига в NH, x /™^o^ ™ ч (б), после быстрого отжига и отжига последующем отжиге в печи (900 С, 30 мин) в печи (в), в полицидной структуре (Рис- 6^1)- Это обусловлено тем, что ста- сформированной по обычной техно- бильный состав WSix (x = 2,2) достигается логии (г) уже на этапе быстрого RTn-отжига. Отжиг в среде NH3 изменяет химические свойства поверхности силицида. Образующийся тонкий слой Si3N4 эффективно защищает силицид от воздействия растворов NH4OH-H202 и НЕ. В связи с тем, что при формировании силицида NICE-методом происходит частичное потребление поли-Si, необходимо оценить распределение концентрации фосфора в полицидной структуре. На рис. 6.93 приведены распределения концентрации атомов фосфора в полициде непосредственно после осаждения слоя WSix, после RTn- отжига (б) и после RTn-отжига с последующим отжигом в печи (в). Во время RTn и последующего отжига в печи потери легирующей примеси в поли-Si составляют 33 и 70%, соответственно. При обычной технологии формирования полицида 90% легирующей примеси сегрегирует в слой WSix. Диффузионный перенос атомов из поликремния в силицид приводит к снижению концентрации примеси на границе раздела mwm-Si-Si02. При значительном снижении концентрации это может привести к ухудшению характеристик МОПТ из-за эффекта обе- Рис. 6.94. Зависимость поверхностного днения поли-Si-затвора носителями в сопротивления затвора от длины затвора режиме инверсии. Отношение емкости при осаждении WSix методом NICE и по МОП-конденсатора в режиме инверсии Cinv к емкости в режиме аккумуляции Сох 20 5 151 10 5 0L -О-Обычный WSix(100HM) -^—Обычный WSix (150нм) -#-NICE WSix 0.1 1 10 Длина затвора (мкм) обычной технологии
6.4. Полицидные затворы КМОП-приборов 51 Sjm является мерой эффекта обеднения затвора. Для полицида, полученного методом NICE, величина CmJC0X значительно выше (0,997), чем для полицида, полученного обычным методом (0,981). При увеличении толщины силицида от 100 до 150 нм обеднение поли-Si легирующей примесью в обычном полициде еще значительнее и приводит не только к уменьшению отношения Cinv/Cox, но и к сдвигу порогового напряжения вследствие изменения работы выхода поликремния. Использование метода NICE позволяет в значительной степени снизить влияние фтора, образующегося при диссоциации WF6, на надежность под- затворного окисла. Это объясняется уменьшением концентрации фтора в поликремнии вследствие образования газообразного соединения PF5, удаляемого из реактора вместе с продуктами других реакций [164]. В результате снижения концентрации фтора в поли-Si и в подзатворном Si02 плотность заряда QBD, инжектированного в Si02 до пробоя, возрастает в сравнении с обычной технологией формирования полицида. Преимущество метода NICE проявляется также в величине поверхностного сопротивления ps полицидного затвора и в зависимости ps от длины затвора Lg (рис. 6.94). При длине затвора 0,25 мкм (0,18 мкм) поверхностное сопротивление не превышает 5 Ом/П (8 Ом/п), в то время как для обычного полицидного затвора соответствующие значения ps равны 15 Ом/а, (30 Ом/п). 6.4.6. Особенности формирования полицидных затворов TiSix-n<wiM-Si В сравнении с силицидом вольфрама силицид титана имеет более низкое удельное сопротивление (pv = 1/5 pvWSix), он более устойчив к воздействию окисляющей среды и термически стабилен. Поэтому TiS^-полицидные затворы более перспективны для использования в глубокосубмикронных СБИС, чем WSix. Рис. 6.95. Зависимость плотности привносимых частиц размером = 0,1 мкм на поверхности слоя силицида от молярного отношения компонентов в мишени х = Si/Ti; толщина стоя TiSix 800 А, температура подложек при распылении Т = 200 °С 20 700 750 800 850 900 950 1000 1050 Температура RTA (°С) Рис. 6.96. Среднеквадратичная амплитуда микрорельефа поверхности слоев TiSix, наносимых с использованием мишеней с различным молярным отношением компонентов х = Si/Ti, после быстрого отжига (750-1000°С,20с)
5I6 Глава 6. Формирование затвора субмикронных МОПТ ^ 15 □ о S s н о си с о о X н о о X у L i п г рг-тт'1 | i |-гг-1 «ill г»'"* « « 1 ' " '! » « « « J i ' « » "*"^\.С49:80~100мкОм.см ^ ~*-х = 2,1 , X у -Ох = 2,2 ^ \ 1 —&~х = 2,3 - Q\\/ -X~x = 2,4 J W ХЯ9 + С54 I) ^^-J^sA"" v C54:-'18мкОм.см 1 L , , ( 1 | , »,, , |„l.,.,*„U X.i,^J^.^X..i...,U....L..»...i.,i ., I....J О 650 700 750 800 850 900 950 1000 1050 Температура RTA ГС) Силицид титана наносят магне- тронным распылением с использованием композитных мишеней с молярным отношением Si/Tix < 2,2. После нанесения слой TiSix отжигают в среде азота при температуре 750-900°С (20 с) для образования стабильной фазы С54 TiSi2 [165]. В отличие от распыления чистого титана при использовании композитных мишеней следует учитывать влияние состава мишени на характеристики слоев TiSi^. Так как в композитной мишени имеются крупные зерна и микрополости (количество которых опре- Рис. 6.97. Влияние молярного отношения х = Si/Ti компонентов в мишени на поверхностное сопротивление силицида (полноту фазового превращения С49-С54 TiSi2) в диапазоне тем- Деляется ее молярным составом), то пературы отжига 700-800°С (20 с): TiSix (800 А) ПРИ распылении может возникнуть наносили магнетронным распылением микропробой, в результате чего резко возрастает плотность привносимых частиц на поверхности слоя силицида. На рис. 6.95 приведена зависимость плотности частиц на поверхности слоя TiS^ от молярного отношения компонентов в мишени х = 2,2—2,4. При увеличении мольного отношения выше 2,3 наблюдается значительное возрастание плотности привносимых частиц. Предполагается, что рост плотности частиц обусловлен увеличением концентрации преципитатов избыточных атомов кремния в мишени, которые действуют подобно конденсаторам малых размеров, нарушающих однородность распределения зарядов у поверхности мишени. С повышением молярного отношения резко возрастает среднеквадратичная амплитуда микрорельефа поверхности слоя TiSix после отжига (>950°С, 20 с) (рис. 6.96), что объясняется диффузией избыточных атомов из объема слоя TiSix с образованием кремниевых агломератов (nodules) на поверхности [165]. При повышении температуры отжига ускоряется диффузия избыточных атомов Si из объема TiSix к поверхности слоя, что приводит к более высокой плотности агломератов и к увеличению амплитуды микрорельефа поверхности TiSix. При уменьшении температуры отжига значительная часть избыточных атомов кремния остается в объеме слоя TiSix в виде преципитатов, присутствие которых замедляет фазовое превращение С49—С50 TiSi2 в узких затворах [166]. Неполное фазовое превращение С49—С50 TiSi2 наблюдается также и в сплошных слоях TiSix при уменьшении температуры отжига. Поэтому при использовании мишени с высоким молярным отношением (х = 2,4) поверхностное сопротивление слоя TiSix начинает возрастать при уменьшении температуры ниже 800°С, при использовании мишеней с меньшим значением х поверхностное сопротивление возрастает при более низкой температуре (рис. 6.97). Методом рентгеновской дифракции показано, что в слоях TiSix, полученных с использованием мишени с молярным отношением х = 2,4, после отжига при температуре 700°С (20 с) отсутствует фаза С54 TiSi2. При этом при уменьшении величины х до 2,1
6.4. Полицидныезатворы КМОП-приборов 51 силицид титана в слоях TiSix находится в фазе С54 и частично в фазе С49. Значит, для снижения количества привносимых частиц на поверхности слоев TiSix и недопущения роста поверхностного сопротивления TiSix при уменьшении длины затвора следует применять мишени с молярным отношением х < 2,2. Таким образом, при х < 2,2 полное фазовое превращение в сплошных слоях TiSix обеспечивается при температуре выше 750°С, а при величине х > 2,2 — выше 800°С. Учитывая повышение энергии активации фазового превращения С49— С54 TiSi2 в узких топологических элементах, для полного фазового превращения отжиг слоев TiSix необходимо производить при более высокой температуре. Однако повышение температуры отжига приводит к образованию кремниевых агломератов на поверхности слоя TiSix (рис. 6.96). Оптимальными условиями отжига слоев TiSix в [165] считается отжиг (< 850°С, 20 с) в среде N2. При этих условиях образования агломератов на поверхности слоя TiSix не происходит и полнота фазового превращения С49— С54 TiSi2 в узких топологических элементах не ограничивается. Для уменьшения поверхностного сопротивления полицидного затвора желательно увеличить толщину слоя TiSix. Однако в результате фазовых превращений во время отжига (смесь Ti/ Si ->• C49 —> С54) и результирующего уплотнения в слое TiSix всегда возникают внутренние напряжения растягивающего характера. С увеличением толщины слоя TiSix до 1500 А уровень внутренних напряжений возрастает до S л н о X н о о, <!> « ная CQ 5 н « в 99.99 99.9 99 93 90 80 70 50 30 20 10 5 I Г*""г'"»"г Г ''"1 h Г I ш Г 111 г Ш W& г Ш [ iffl* 1 » 1 | Г"Т'"Г"1 } т* i ' » ' ' М ' ' » \ « ' i И О Imkm J —О— 0,5мкм 1 О ' 0,4мкм J -~л—0,25мкм J —♦— 0,2мкм J -1 = 200°С J J -j J 3 33 ps ( Ом/П ) б) Рис. 6.98. Распределения кумулятивной вероятности значений ps полицидных затворов длиной 0,2—1,0 мкм без использования (а) и с использованием (б) быстрого отжита (800°С, 20 с) перед травлением областей затворов, режим дополнительного отжига в печи (800°С, 60 мин); толщина слоя TiSix =800 А температура подложки при нанесении TiSix Ts =200 °С 3.5 ^ 3 □ 2 о CL 2S 2 0. Ts = 1 500°С -^-«ОТГ.ЗОминОу j -о-воог.з^ин^^ j —С—вООГ.бОминО^) j -^-ЗЗОГ.ЗОмин^^ \ ••#-~750Г,30мин(Аг) j к "•*"800Г.ЗОлшн(Аг) j Цк •-•♦— 800 Г. бОм„„ (Ar) j ^^. "*~850Г,30мин{Аг) J 1 10 100 L (мкм) 8 V 7 Рис. 6.99. Зависимость поверхностного сопротивления от длины Lg полицидных затворов для различных условий дополнительного отжига в печи
иП* 18 Глава 6. Формирование затвора субмикронных МОПТ Легированный Si* -Н .Область ускоренной агломерации TiSi x JrdL JbL -J а) б) 1 • 1010 дн/см2, а свыше 1500 А в слое силицида под воздействием внутренних напряжений возникают микротрещины, при этом поверхностное сопротивление возрастает от 2,5-3,5 Ом/П до 25- 45 Ом/п. Несмотря на то, что после травления затворных областей слои TiSix подвергаются термическому отжигу при оплавлении БФСС и уплотнении изолирующего диэлектрика для обеспечения термостабильности и низкого поверхностного сопротивления слоев TiSix, Рис. 6.100. Последовательность этапов изготовления полицидных затворов по обычной технологии (а) и с использованием химико-механической пла- наризации рельефа для исключения ускоренной очень важно завершить фазовое агломерации силицида на границе изопланарного превращение С49—С54 TiSi2 до окисла и активной области транзистора (б) травления затворных областей отжигом (800°С, 20 с). На рис. 6.98а приведены распределения кумулятивной вероятности значений поверхностного сопротивления полицидных затворов TiSixAKWiH-Si (Lg = 0,2-1,0 мкм) с отжигом после травления затворных областей. Как видно, при длине затвора менее 0,25 мкм разброс значений ps значительно выше, чем в случае, когда фазовые превращения в слое TiSix завершаются до травления затворных областей (рис. 6.986). Поэтому предварительный отжиг после нанесения TiSix является обязательным для уменьшения зависимости поверхностного сопротивления от длины затвора и от последующих термических процессов. Термическая стабильность полицидного затвора Т181х-поли-81 иллюстрируется зависимостью ps(£g) для различных условий дополнительного отжига в печи (рис. 6.99). Поверхностное сопротивление затворов с Lg= 0,2 мкм после дополнительного отжига 850°С (30 мин), не превышает 3,2 Ом/п. Однако указанный уровень термической стабильности может оказаться недостаточным для стандартной технологии формирования межсоединений с оплавлением БФСС и уплотнением изолирующего диэлектрика. Поэтому с целью уменьшения термического бюджета в процессе планаризации рельефа рекомендуется использовать химико-механическую полировку (СМР). На рис. 6.100 приведена последовательность изготовления Рис. 6.101. Тестовая структура для анализа полицидных затворов с примене- влияния перераспределения примеси из по- нием и без применения СМР. При- ликремния в силицид на концентрацию при- менение операций СМР, помимо меси на границе поли-Si-SiO, снижения термического бюджета, Поликремний 2 (Р2) кхх^чхх\\\\\х\\\: TiS^ 80 им / \ Поликремн! ш 2 (Р2) ЛЧЧЧЧЧЧЧЧЧЧЧЧЧ ШШ№ Поликремний 1 (Р1) \ 1 ~ ^ '.v'fj .. ; \у:^Щ i ^"" • .,*' * л Окисел <■ .Л ' '
6.4. Полицидные затворы КМОП-приборов 51SF позволяет исключить ускоренную агломерацию силицида, обусловленную локальными внутренними напряжениями в слое TiSix вблизи границы между изо- планарным окислом и активной областью. 100 ■S- 80 s к & 60 н о 40 <L> 5 £ 20 9 с о 7С -t г » « | rr i « г i » » » | » i i » j , rrrjrt",11 Г" ' ' Ч ' ' » ' ; ♦ Бор ^ О Фосфор А Мышьяк А А Ш Д Г А ♦ Д + О * о а д >0 750 800 850 900 950 10001050 1100 Температура (°С) Рис. 6.102. Зависимости относительного снижения концентрации активированных атомов В, Р и As в поликремнии и (AN/N) из-за перераспределения в силицид от температуры отжига в печи (15 мин); AN/N = = (^2sp-N2)/N2sp 6.4.7. Перераспределение примесей в полицидной структуре TiSi2 -поли-Si Итак, характеристики МОПТ с поли- цидным затвором зависят от распределения концентрации легирующей примеси в поликремнии, т.е. от перераспределения (сегрегации и диффузии) атомов между поли-Si и силицидом. Характеристики МОПТ зависят также от степени активации примесей, которая является функцией как концентрации примеси, так и термической истории образца. Кроме того, даже при одинаковых условиях термообработки степень активации примеси в значительной степени зависит от микрокристаллической структуры поли-Si из-за сегрегации примеси и захвата носителей на границах зерен. Комплексным параметром, характеризующим влияние технологических операций на характеристики МОПТ, является относительная величина изменения концентрации активированных атомов примеси в поликремнии на границе mxjiH-Si-Si02. Для определения этого параметра используется тестовая структура из двух конденсаторов с электродами поли-81-8Ю2/ поли-Si, причем, на электрод одного из конденсаторов нанесен слой силицида TiSi2 (рис. 6.101) [168]. Измеряя CV-методом концентрацию носителей на границах раздела поли-Si (P2)-Si02 в конденсаторах со слоем и без слоя силицида N2sp и N2s, соответственно, определяют относительное снижение концентрации активированных атомов примеси из-за перераспределения примеси из поликремния в силицид: AN = (N2sp - N2s) • 100/7V2sp. Следует отметить, что в связи с высоким уров- С о ». ,v... г ^—г-. Т г °/ г* / • С-л » « i SS Г"у-~г-г-г~ 850°С , г- о о о а 1 „л i in, I L.a о а _}Бор | Мышьяк 11! t * 1.4, 1 1 1 о о 50 100 150 200 Температура (°С) 250 Рис. 6.103. Зависимость относительного снижения концентрации активированных атомов бора и мышьяка (AN/N) на границе mxjiH-Si-Si02 полицидной структуры от длительности отжига (850°С): при длительности / > 15 мин отжиг выполнялся в печи (при t < 15 мин, использовали быстрый отжиг). Эмпирическая зависимость AN/N = С[1 - exp(-kt)] представлена для бора сплошной линией, для мышьяка — пунктирной
|f520 Глава 6. Формирование затвора субмикронных МОПТ нем легирования поликремния данный метод позволяет оценить концентрацию примеси на расстоянии 5—20 нм от границы поли-81-8Ю2, в зависимости от толщины окисла, концентрации примеси и напряжения смещения. Изменение степени активации примеси в поликремнии после термообработки определяется CV-методом в сочетании с методом масс-спектрометрии вторичных ионов. С этой целью сначала измеряют концентрацию примеси CV- и ВИМС-методом (N2sp и NBMMC, соответственно), а затем после термической операции измеряют N2sp/NBMMC и оценивают изменение степени активации, обусловленное выпадением примеси из твердого раствора и сегрегацией атомов на границе зерен. Данные о перераспределении As, В и Р в полицидной структуре TiSi2-mwm-Si [168] получены для условий легирования поликремния, обеспечивающих концентрацию примеси > 1 • 1020, толщину окисла 25 и 30 нм и TiSi2 — 80 нм. Активация примеси в поликремнии проводилась при температуре 1050°С (20 с). В [168] формирование слоев силицида в полицидной структуре осуществлялось термическим взаимодействием Ti с поли-Si (730°С, 20 с). На рис. 6.102 приведена зависимость относительного снижения концентрации бора, фосфора и мышьяка в поликремнии от температуры отжига в печи (750—950°С, 15 мин). Как видно, снижение концентрации активированных атомов указанных примесей в поли-Si достигает 40% при типовых термических процессах, выполняемых после формирования полицида. Перераспределение фосфора в полицидной структуре Т1812/поли-81 происходит интенсивнее, чем ожидалось по результатам оценки с использованием диффузионного механизма переноса примеси из поликремния в силицид. Это объясняется образованием в силициде соединений фосфора с титаном, аналогичным соединениям TiB2 и TiAs [52]. Перераспределение бора в полицидной структуре количественно идентично перераспределению фосфора. Особенность перераспределения бора связана с очень низким коэффициентом диффузии атомов в TiSi2 [199, 170]. Значительное снижение концентрации бора в поли-Si обусловлено, главным образом, образованием соединений TiB2 в силициде. Поэтому кинетика перераспределения бора в полицидной структуре характеризуется этапом быстрого снижения концентрации атомов в поликремнии (до 40% при температуре 850°С, 30 мин) с последующим насыщением: AN/N0 = = С[\ — ехр(—kt)], где Си к — эмпирические подгоночные параметры (рис. 6.103). При быстром отжиге снижение концентрации атомов бора в поликремнии от температуры имеет вид, представленный на рис. 6.104. Отличие от рис. 6.103 — незначительное сниже- Рис. 6.104. Зависимость относительного ние концентрации бора в поли-Si по- снижения концентрации активирован- еле отжига при температуре до 900°С и ных атомов бора и мышьяка в поликрем- резкое увеличение скорости снижения нии (AN/N) от температуры быстрого от- концентрации при более высокой тем- жига(20с) пературе (> 1000°С, 20 с). Таким обра- 50 & 30 X I20 х ю f с о F [ t L Р к. » м ' • а JUX&X » « i i i i %As %В ...9.. • . .0. . • а . . , VJ • а ..!.... 800 850 900 950 1000 1050 1100 Температура (°С)
6.4. Полицидные затворы КМОП-приборов 5 21 1.5 Ь > и « 0.5 К X -т. * j. |_ г h 1 t ■ 1 1—1 Т 1 1—\ 1 1 "1 1 [III 'f" j "Г Ч' "1 ""1 1 Фосфор в поликремнии-2 о О у* /в >г О о/^ m = 0.39 < < i j * i ,i ,i i, i i 1» i i » i i i . i i i 12 3 4 5 Концентрация [ВИМС] (1020см-3) Рис. 6.105. Зависимость концентрации активированных атомов фосфора на границе imriH-Si-Si02 полицидной структуры от концентрации атомов, определенной ВИМС- методом зом, использование быстрого отжига предпочтительнее отжига в печи. Перераспределение мышьяка в полицидной структуре показано на рис. 6.102—6.104. До температуры отжига 850°С зависимости относительного снижения концентрации от температуры для As, В и Р количественно совпадают. Однако в отличие от бора и фосфора перераспределение мышьяка при повышении температуры насыщается на уровне -40% (рис. 6.102). Кинетика относительного снижения концентрации мышьяка в поликремнии, как и в случае бора, характеризуется насыщением при длительности отжига более 20 мин (AN/N0 = Ql - exp(-to)], что обусловлено диффузионным механизмом перераспределения примеси между поликремнием и TiSi2 (рис. 6.103). Коэффициент диффузии (Z>( 7) = D0 Qxp(—Ea/kT)) мышьяка в поликремнии значительно меньше коэффициента диффузии в силициде: Z)0 = 4,8 см2/с, Е = 2,13 эВ — для TiSi2 и D0= 8,6 • 104 см2/с, Е= 3,9 эВ — для поли-Si [171]. Поэтому при использовании быстрого отжига снижение концентрации As менее интенсивно, чем при отжиге в печи (рис. 6.104). Так, при отжиге в печи при 900°С - AN/N0= 35%, а при быстром отжиге такое снижение концентрации достигается при температуре выше 1000°С. Особенности активации As, В, и Р в поликремнии. Итак, концентрация активированных атомов легирующей примеси в поликремнии зависит от общей концентрации атомов и от термической истории образца. На рис. 6.105 представлена зависимость между концентрацией активированных атомов фосфора, определенной с использованием CV-метода, и полной концентрацией атомов фосфора, измеренной ВИМС-методом. Образцы полицидных структур с различной концентрацией атомов фосфора в поли-Si получены ионной имплантацией, активация примеси осуществлялась при температуре 1050°С (20 с). После чего образцы подвергали отжигу в печи при температуре 750°С (15 мин). Во время низкотемпературного отжига в печи происходит переход части атомов легирующей примеси из твердого раствора замещения в твердый раствор внедрения и соответствующее снижение концентрации свободных носителей. Независимо от уровня легирования поликремния только -40% атомов фосфора находятся в активированном (ионизованном) состоянии (рис. 6.105). В зависимости от термической истории образцов относительная доля активированных атомов примесей может изменяться. В [168] исследовано влияние термического отжига после активационного отжига (1050°С, 20 с) и формирования силицида (730°С, 15 мин) на степень активации атомов As, В и Р в поли-Si полицидной структуры. Последующие (после создания транзисторной структуры) операции, такие как сглаживание рельефа и уплотнение изолирующего
522 Глава 6. Формирование затвора субмикронных МОПТ Табл. 6.9. Степень активации примесей в поликремнии в зависимости от термической истории образцов Процесс Быстрый отжиг 1050°С Отжиг в печи 750°С 800°С 850°С 900°С 950°С 1000°С 1050°С Быстрый отжиг 1050°С Быстрый отжиг 850°С RTP 900°С RTP 950°С КЕЗ 1000°С КЕЗ 1050°СКЕЗ Быстрый отжиг 1050°С Отжиг в вертикальной печи 850°С LAM 750°С 750°С 750°С Р(%) 71 38 38 38 37 39 71 71 69 38 В(%) 78 53 51 51 49 49 48 48 78 78 78 76 83 86 78 53 57 59 As(%) 45 22 21 21 21 21 22 26 45 45 44 44 46 46 45 Примечание Активационный отжиг (1050° С, 20 с), Формирование TiSi2 (730°C, 15 мин). Отжиг в горизонтальной печи (15 мин). Перед отжигом на поверхность пластин осаждали защитный слой Si02. Активационный отжиг (1050°С,20 с), формирование TiSi2 (730°С,15 мин). Длительность отжига 20 с. Перед отжигом на пластины осаждали защитный слой Si02. Активационный отжиг (1050°С,20 с), формирование TiSi2 (730°C,15 мин). Длительность отжига 15 с. диэлектрика, могут выполняться с использованием термического оборудования различного типа. В табл. 6.9 приведены результаты исследования зависимости степени активации As, В и Р в поликремнии от термической истории образцов. После активационного отжига и формирования силицида начальная степень активации примесей равна 71% — для фосфора, 78% — для бора, 45% - для мышьяка. После отжига в горизонтальной печи в диапазоне температуры 750—1050 °С соответствующие значения степени активации составляют 37—39%,
6.5. Полиметаллические затворы 5 23 j| 48—53%, 21—26%, что свидетельствует о значительной дезактивации примесей в поликремнии. Термообработка образцов в реакторе быстрого отжига в том же диапазоне температуры не приводит к снижению уровня активации примесей. Дезактивация примеси происходит во время медленного охлаждения (3°С/мин) и выгрузки образцов из печи (10 см/мин), что подтверждается результатами отжига в вертикальной печи, в которой скорость охлаждения образцов сравнима со скоростью охлаждения в реакторе быстрого отжига. 6.5. Полиметаллические затворы 6.5.1. Основные свойства полиметаллических затворов Чтобы уменьшить RC-задержку сигнала по цепи затвора субмикронных КМОП- приборов, используют низкоомные полиметаллические затворы. Более всего требованиям по электрофизическим параметрам, термической стабильности и совместимости со стандартными технологическими процессами отвечают полиметаллические затворы со структурой W-барьерный слой-поли-Si [172—177] (рис. 6.106л). Для формирования полиметаллических затворов предлагается использовать также структуры TiN-mwm-Si и TiN-TiSi2-ramH-Si (рис. 6.1066) [178]. Барьерный слой полиметаллического затвора должен исключать взаимодействие W и поли-S, результатом которого является образование WSi2, имеющего высокое удельное сопротивление (рис. 6.107) [174]. Кроме того, к материалу барьерного слоя предъявляются требования по термостабильности, устойчивости к воздействию окисляющей среды и низкому контактному сопротивлению. Полиметаллические затворы ТШ-поли-81 и TiN-TiSi2-mwH-Si уступают затворам со структурой W-барьерный слой-поли-Si по основным параметрам — величине удельного и контактного сопротивления. Удельное сопротивление TiN (45 ■ 10~6 Ом • см) значительно выше, чем у W. Контактное сопротивление в структуре TiN-mxnH-Si на 2—3 порядка Спейсер SiN sio2 Спейсер > поли-Si Исток Сток О 10 5 * я о | I IT I | l"l "П ] If Г Г'| "I 1 W(40nM)/Si W(100HM)/TiN(40nM)/Si* [ \ 1 1 I I I I I I I I \ i I I I t i 700 800 900 RTA (°C) 1000 Рис. 6.106. Структура затворов W/WN/ поли-Si (а) и TiN-TiSi2-nonH-Si (б) Рис. 6.107. Увеличение поверхностного сопротивления полиметаллического затвора W-mxnH-Si при повышении температуры быстрого отжига (10 с)
524 Глава 6. Формирование затвора субмикронных MOIJT выше, чем в структурах с использованием W [178]. Для уменьшения контактного сопротивления в структуре TiN-mwm-Si вводят промежуточный слой TiSi2 толщиной 5—10 нм, в результате чего рс снижается до 1,3 • Ю-6 Ом • см2, в то время как у затворов \¥-\¥!ч[-поли-81 контактное сопротивление равно 1 • 107 Ом • см2. К недостаткам слоев W следует отнести низкую температуру взаимодействия с кислородом (~350°С)], что не позволяет отжигать дефекты подзатворного окисла, возникающие при плазменном травлении затворных областей. Данная операция должна проводиться в окисляющей среде. Применение полиметаллических затворов W- барьерный слой-поли-Si стало возможным благодаря методу селективного окисления кремния во влажном водороде, который обеспечивает как отжиг дефектов подзатворного окисла, так и исключение окисления вольфрама. 6.5.2. Селективное окисление кремния при формировании полиметаллических затворов с использованием вольфрама ю1 Для восстановления структуры подзатворного окисла, нарушенной при травлении затворных областей, необходимо использовать отжиг в окисляющей среде при температуре 800— 1000°С. Однако вольфрам устойчив к воздействию кислорода лишь при температуре до 200°С [179] - 350°С [180]. Ключевой операцией, позволяющей использовать вольфрам в структуре полиметаллических затворов, является операция селективного окисления кремния (WH-окисления — water H2) после травления затворных областей, назначение которой — восстановление характеристик подзатворного окисла отжигом дефектов, созданных воздействием плазмы. Селективное окисление осуществляют в среде влажного водорода [181,182[. Восстановление характеристик подзатворного окисла происходит при отношении парциальных давлений Рщо/Рщ менее 0,3. На рис. 6.108 [182] приведены диаграммы равновесия реакций окисления-восстановления W, Ti и Si во влажном водороде при изменении температуры и отношения парциальных давлений Рн 0/Рн . Как видно, в выделенной серым цветом области диаграмм кремний окисляется селективно без окисления вольфрама. В случае титана селективное окисление кремния невозможно без окисления кремния. Поэтому при использовании в структуре полиметаллического затвора барьерного слоя TiN применение селективного окисления для восстановления характеристик подзатворного окисла недопустимо. На рис. 6.109 [180] представлена зависимость толщины слоя окисла от температуры селективного окисле- Рис. 6.108. Диаграммы равновесия реакций ния Si для значения Рно/Рн = 0,286 и окисления-восстановления W, Ti и Si во длительности 120 с. При таких усло- влажномН2, в диапазоне температуры и от- виях окисление во влажном водороде ношения парциальных давлений РЩ0/РН2 обеспечивает улучшение надежности 10° ю1 10": 10" io-5h 10 10 Г W + 3H2O^W03+3H2 Si +2H70<£si07+ 2Н Ti4-2H20-#ri02+2H2 о 400 800 1200 1600 Температура (°С)
6.5. Полиметаллические затворы К 5 |4 О 03 3 I Среда окисления: рн2о/ ¥нГ °'286 Время окисления: 120 с 850 900 950 1000 1050 Температура окисления (°С) 99,99 ^ ©^ 0,01 ■»-■ 1 | f » i | i м | I | . , , | , , | щ | t ifi! W/WNX/Si* Толщина подзатворного окисла = 4,7 нм Без WH-окисления 2 4 6 8 10 12 14 Электрическое поле (МВ/см) Рис. 6.109. Толщина окисла на кремнии после селективного окислении во влажном водороде (WH-окисления — water H2) Рис. 6.110. Кумулятивное распределение предельной напряженности электрического поля EBD подзатворного окисла с полиметаллическим затвором >У,Л¥Тч[х/поли-81 с использованием селективного WH-окисления подзатворного окисла. На рис. 6.110 и без окисления приведены кумулятивные распределения предельной напряженности электрического поля (EBD) подзатворного окисла полиметаллического затвора \У/\УМ/поли-81 с селективным окислением во влажном водороде и без окисления. Оказывается, после реактивно-ионного травления затвора обеспечивается хорошая однородность значений EBD. При окислении во влажном водороде необходимо учитывать, что в присутствии водорода и паров воды коэффициент диффузии бора в окисле значительно возрастает. Поэтому выбор режима окисления необходимо осуществлять также и с условием минимизации содержания паров воды в водороде с целью предотвращения проникновения бора в область канала. На рис. 6.111 приведены зависимости порогового напряжения Vt от длительно сти окисления во влажном водороде при температуре 700, 750, 800°С. При одинаковой толщине окисла, вырастающего на кремнии при селективном окислении, пороговое напряжение уменьшается при снижении температуры окисления, несмотря на увеличение длительности. Так, при выращивании окисла толщиной 3 нм величина AVt минимальна при температуре окисления 700°С, что обусловлено меньшей величиной энергии активации диффузии бора в окисле. Важно отметить, что окисление Подзатворный окисел = 3,5 нм 0,2h ОДН •■-г г,ип т"| I" ' I "?" WH-окисел | 5нм | р-МОП Без WH-окисления t ». i « « « « »1 » « . ..« . 0.2 0.1 PQ 10 100 Длительность WH-окисления (мин) Рис. 6.111. Зависимость порогового напряжения (V) /?-МОПТ, обусловленного проникновением бора в область канала, от длительности во влажном водороде не приводит селективного И^(АК/)-окисления (во влажном к увеличению толщины слоя окси- водороде). Сдвиг порогового напряжения нитрида на границе между слоями при изготовлении приборов без селективного WNX и кремния. Поэтому этот про- окисления равен нулю
!>26 Глава 6. Формирование затвора субмикронных МОПТ цесс не влияет на величину контактного сопротивления в структуре полиметаллического затвора W/WNx/nonH-Si. 6.5.3. Полиметаллические затворы W/барьерный слой/поли-Si Несмотря на хорошие диффузионно-барьерные свойства, слой TiN не рекомендуется использовать в качестве барьерного в структуре W-полиметаллического затвора, так как мелкозернистая структура TiN приводит к уменьшению размера зерен слоя W и, соответственно, к увеличению его удельного сопротивления. Различные варианты полиметаллических затворов со структурой W/ барьерный слой/поли-Si, нашедшие практическое применение, различаются материалом барьерного слоя. Он должен удовлетворять требованиям высокой термостабильности, низкого контактного сопротивления, подавления латеральной диффузии и вертикального перераспределения легирующей примеси в затворе, исключения деградации надежности подзатворного окисла. Оптимальным сочетанием основных характеристик диффузионных барьеров обладают слои SiTiN, WSiN, WN, Полиметаллические затворы W/SiTiN/mwiH-Si. В [172] установлена взаимосвязь между удельным сопротивлением и размером зерен слоя W (рис. 6.112). При маг- нетронном распылении размер зерен W зависит от кристаллической структуры и фазового состояния слоя, на который его наносят. Так, при нанесении на мелкозернистый TiN размер зерен W равен 30 нм, а максимальный размер наблюдается при нанесении его на слой аморфного Si02. Предложено использовать TiN с нанесенным поверх него тонким (15 нм) слоем аморфного кремния. Слой TiN, как известно, обладает высокими диффузионно-барьерными свойствами, а аморфный слой кремния обеспечивает формирование крупнозернистого W непосредственно после магнетронного распыления. Благодаря введению слоя a-Si размер зерен W увеличивается с 30 до 170 нм, а удельное сопротивление снижается с 40 • 10~6 Ом • см f45 ё 40 |35 |25 §20 &15 8 10 S 5 5 0 :"['4""' г i W/T1N/Si * : W/St/V. W/Si02 ^ , ?W- в объеме W/Si^N/Si ) 50 100 150 200 250 Э 30 Размер зерна W (нм) Рис. 6.112. Взаимосвязь между удельным сопротивлением и размером зерен в слое вольфрама f 40 J 35 г зо §25 §20 s о 15 о Ю 5 0 wrm/si w/slP • О- " : - W/Si/TiN/Si : W- в объёме 0/Х700 800 9001000 RTA(°C) Рис. 6.113. Зависимость удельного сопротивления W в полиметаллических затворах W/ TiN/nonH-Si и W/Si/TiNAKmn-Si от температуры быстрого отжига (10 с)
6.5. Полиметаллические затворы 5 2Txjj до 12 • Ю-6 Ом • см. Использование модифицированного барьерного слоя обеспечивает также высокую термическую стабильность полиметаллического затвора W/a- Si/TiN/nonH-Si. На рис. 6.113 приведены зависимости удельного сопротивления W в полиметаллических затворах W/TiN/nonn-Si, W/mwm-Si и \¥/а-81/ПН/поли-81 от температуры быстрого отжига (10 с). Видно, что pv затвора с модифицированным барьерным слоем не изменяется после отжига вплоть до температуры 1050°С. Благодаря малой толщине слоя a-Si во время отжига образуется тонкий слой силицида WSix, обогащенного металлом (х < 2), не изменяющий контактное сопротивление затвора. Кроме того, электронно-микроскопическим анализом показано, что размер зерен W после быстрого отжига не изменяется. Таким образом, исходная структура затвора W/a-Si/TiN/mxnH-Si в результате отжига превращается в термически стабильную структуру W/WSix/TiN/пoли-Si. Результаты исследования показали, что латеральная диффузия примесей не влияет на пороговое напряжение п- и /ьМОПТ при расстоянии между затвором и источником компенсирующей примеси, равным 0,3 мкм. Кроме того, ВИМС- методом установлено, что слой TiN полностью предотвращает проникновение W в поликремний. Благодаря использованию слоя a-Si в структуре полиметаллического затвора W (100 HM)/a-Si (15 HM)/TiN (10 нм)/поли-81 (100) его поверхностное сопротивление снизилось до 1 Ом/п и остается неизменным при уменьшении длины затвора до 0,08 мкм. Полиметаллические затворы W/ WNx/n<wiH-Si [183]. Полиметаллический затвор W/WNX (15 нм у поли- Si более технологичен, чем W/a-Si/ ТОч[/поли-81, так как оба слоя WNX и W наносят на поликремний маг- нетронным распылением с использованием одной мишени. Удельное сопротивление слоя W в структуре затвора после отжига при температуре 800°С (30 мин) равно 15 • 106 Ом • см. Термическая стабильность затвора W/WNx/пoли-Si по результатам анализа методом спектроскопии обратного рассеяния ограничивается температурой 800°С (30 мин). Благодаря диффузионно-барьерному слою WNX при отжиге (800°С, 30 мин) образования силицида W не наблюдается. В то же время обнаружено, что при указанных условиях отжига происходит диссоциация WNX. На рис. 6.114 приведены распределения концентрации азота (в отн. ед.) по глубине структуры W/WNx/imnii-Si, полученные методом спектроскопии Оже-электронов до и после отжига 100 80 чо SO 40 20 °С WNX Si* Подложка ЮОнм ЮОнм р S J До отжига W \ / Sl\ ' Y Y" ; А а L *_ Лч cK :r^ .ir?S^> > 10 20 30 40 50 ( ю Длительность распыления (мин) а) 80 s£ 80 40 20 °С После отжига W\ S*~" " 800'С \ f » / V / ° ) 10 20 30 40 50 ( JO Длительность распыления (мин) б) Рис. 6.114. Распределение концентрации азота (в относительных ед.) по глубине структуры W/WNx/mxnM-Si (а) до и (б) после отжига (800°С, 30 мин)
(([528 Глава 6. Формирование затвора субмикронных МОПТ при температуре 800°С. До отжига содержание азота в слое WNX составляет -10%, после отжига концентрация азота в слое WNX ниже порога чувствительности метода. Анализ структуры W/WNX/ поли-Si с использованием просвечивающей электронной микроскопии показал, что после отжига на границе W/nonn-Si обнаружен ультратонкий слой толщиной 1 нм. Методом электронно-дифракционной спектроскопии определен состав этого слоя: азот — 10%, вольфрам — 10%, кремний — 50—60%, кислород — 20—30%. Несмотря на малую толщину модифицированного барьерного слоя, полиметаллический затвор W/WNX/ поликремний термически стабилен при температуре 800°С (30 мин), образование WSix и возрастание поверхностного сопротивления не наблюдается. Величина рсо металлического затвора к п+- поли-Si составляет 5 • 10~7 Ом-см2, к /^-поли-Si — 2 • 10~6 Ом • см2. Расчеты показали, что для МОПТ с Lg = 0,25 мкм и Гох= 7 нм подобная величина рс при удельном сопротивлении вольфрама 15 • Ю-6 Ом • см не приводит к увеличению задержки сигнала, обусловленной перезарядкой емкости затвора. Формирование диффузионного барьера полиметаллического затвора W/барьер/ поли-Si с использованием перераспределения азота в структуре WNx/iKwni-Si. Термическая стабильность затвора со структурой \\у>\ПЧ[х/поли-81 ограничена температурой 800°С (30 мин) [183 ]. Это обусловлено термической нестабильностью (диссоциацией) WNX при температуре выше 800°С [184]. В [180] показано, что при быстром отжиге в структуре WNxAmnn-Si происходит перераспределение азота с образованием низкоомного слоя W и барьерного слоя на границе с поликремнием. В указанной работе аморфный слой WNX(100 нм) наносили на поликремний методом реактивного магнетронного распыления (N2/(N2+A2) = 0,4). Быстрый отжиг для перераспределения азота проводился при температуре до 1000°С (60 с). Поверхностное сопротивление слоя WNX(100 нм) снижается при повышении температуры быстрого отжига от 17,5 Ом/п после нанесения слоя до менее чем 1,5 Ом/п после отжига при температуре 1000°С (рис. 6.115). Значительное снижение поверхностного сопротивления объясняется превращением при температуре 600°С аморфного слоя WNX в поликристаллический W2N. При температуре 900°С установлено образование а-фазы W. Отмечается, что даже при температуре 1000°С образование силицида вольфрама не наблюдается. Перераспределение азота в слое WNX происходит вследствие того, что равновесная концентрация азота при высокой температуре (10"3% (ат.) при Т= 1000°С [185]) на несколько порядков ниже начальной концентрации после нанесения слоя WNX. Избыточные атомы азота во время отжига частично перераспределяются к внешней границе слоя и десорбируются, а частично сегрегируются на границе раздела \\ПЧх/поли-81. Методом просвечивающей электронной микроскопии на границе раздела установ- Рис. 6.115. Влияние температуры бы- лено образование тонкого аморфного слоя строго отжига на поверхностное со- толщиной ~2 нм. Идентификация хими- противление затвора WN (100 нм)/ с. х ческого состава этого слоя, выполненная с поли-Si „ , использованием рентгеновской фотоэлек-
6.5. Полиметаллические затворы 52^ 0,84 (а> 0,2 П-МОП1 —Ш— Обнаженный WNX "1 —£>-. Обычный W/WNx 0,1 1 10 Длина затвора (мкм) р-МОП -0,6 к~ -0,4 тронной спектроскопии, выявила наличие связей Si—N. Полученные результаты позволили сделать вывод, что образующийся в результате сегрегации и взаимодействия избыточных атомов азота с кремнием слой нитрида кремния выполняет функцию диффузионного барьера между вольфрамом и поликремнием. Этот барьерный слой не только предотвращает образование высокоомного силицида вольфрама, но также исключает диффузию атомов металла в подзатворный окисел. Результаты сравнительного анализа термической стабильности затворов WNX/ поли-Si (с перераспределением азота) и W/ >¥ТЧх/поли-8± после отжига при температуре 900°С (30 мин), показали, что в первом случае поверхностное сопротивление возрастает на 20%, во втором — почти в два раза. Исследование надежности подзатворного окисла после такого отжига также показало значительное преимущество барьерного слоя, образующегося при перераспределении азота в структуре WNx/nonn-Si. Тем не менее в работе [180] отмечаются и отрицательные последствия использования быстрого отжига (1000°С, 60 с) для формирования барьерного слоя. На рис. 6.116 приведены зависимости Vt(Lg) для /?-МОПТ со скрытым каналом с затворами \У/\¥Их/поли-81 и WNx/nonH-Si (с перераспределением азота). Возрастание Vt при уменьшении Lg /?-МОПТ с затвором WNx/пoли-Si объясняется ~-р—. Обнаженный WN х —©_ Обычный WAVNX 1 10 Длина затвора (мкм) Рис. 6.116. Влияние перераспределения азота в структуре затвора WNX/ поли-Si при быстром отжиге (1000 °С, 30 с) на зависимость порогового напряжения от длины затвора со скрытым каналом ю23 •? ю22 о cd 1021 Он *> ю20 К 11018 X £ 10" м16 -0 WNX ь— w-f— Si* +— Si f* A SiON Накопление jr*- ,^r\ бора / \ Расчет 1 ,1 -0,05 0 Глубина (мкм) ■ подл. 0, 05 1,2-1015 g 1,4 -1015 ^■^ оЗ ^ О 1,0-1015 Л н О 8,0-1014 X о j5 6,0-1014 40-1014 * i ( Накопление бора ^ на границе WN /jiV •• V :—4 -4"-«ч--'--|.— Ol ГЧ Лрт J x,. * . i .—^—>s^X_| ^ l 0** >v | | ** iEl ! _ф! l^Ss™ • ; >^V ' ! Bopefci*^ ^^^Jfc i 1 r ! i 1 * i i Осаждение SiN Селективное Активационный ПЯЛ «Г 2ППимЪ окисление отжиг (780ЧС,200ям) (80()ОС 60мин) (950Ос 10 с) Рис. 6.117. Распределение концентрации бора (ВИМС-метод) в структуре W/WNX/ поли-Si Рис. 6.118. Плотность атомов бора на границе раздела WNx/ircmH-Si и плотность атомов, оставшиеся в слое поликремния на различных этапах изготовления МОПТ
es Глава 6. Формирование затвора субмикронных МОПТ диффузией бора в скрытом слое во время дополнительной операции быстрого отжига. Поэтому в случае применения структуры затвора \\ПЧх/поли-81 необходимо уменьшать термический бюджет формирования диффузионного барьера либо использовать двухзатворную технологию изготовления КМОП-приборов. Полиметаллический затвор W/WNx/a-Si/n^n-Si. Структура полиметаллического затвора \У/\УНх/поли-81 характеризуется более низкой емкостью затвора /ьМОПТ в режиме инверсии в сравнении с я-МОПТ. Это объясняется перераспределением бора из поликремния в слой W. На рис. 6.117 приведено распределение концентрации бора в структуре VJfWNJnojm-Si, полученное ВИМС- методом. В результате перераспределения бора наблюдается накопление бора у границы WNymxjm-Si, а таюке значительное снижение концентрации бора в слое поли-Si. При этом концентрация бора на границе с подзатворным окислом составляет лишь 16% от средней концентрации бора в поликремнии. Перераспределение бора в поли-Si зависит от степени сегрегации атомов примеси на границе \¥Мх/поли-51. На рис. 6.118 приведены результаты измерения плотности атомов бора на границе \¥Ых/поли-81 и плотности атомов, оставшихся в слое поликремния на различных технологических этапах изготовления МОПТ. Как видно, с ростом температуры термических операций плотность атомов бора на границе \У1Чх/поли-81 увеличивается, а в слое поликремния снижается. В соответствии с результатами термодинамического расчета наиболее вероятной причиной сегрегации бора является образование стабильного соединения BN. Действительно, методом рентгеновской фотоэлектронной спектроскопии показано, что спектральная линия с наивысшей интенсивностью соответствует связи B-N. Для предотвращения сегрегации бора в [175] предложено ввести тонкий барьерный слой аморфного кремния между WNX и поликремнием с целью уменьшения диффузионного потока атомов бора в слой WNX. Буферный слой a-Si осаждается на поли-Si после активирующего отжига имплантированной примеси. В результате введения слоя a-Si концентрация бора на границе ^У1Чх/поли-81 снизилась, а концентрация бора в поликремнии увеличилась. Повышение концентрации бора на границе mxim-Si-Si02 привело к увеличению емкости затвора в режиме инверсии (к снижению эффекта обеднения затвора носителями на 4%). 6.6. Поликристаллические SixGe,_x- затворы 6.6.1. Использование поликристаллических SiGe-слоев Использование поликремниевого затвора /?+-типа для МОПТ с индуцированным каналом при масштабировании ограничивается эффектом обеднения затвора носителями в режиме сильной инверсии и проникновением бора в область канала. Применение для глубокосубмикронных КМОП-приборов поликремниевых затворов только одного я+-типа приводит к необходимости формирования относительно сильнолегированного встроенного канала/ьМОПТ малой толщины (10—30 нм), что значительно усложняет технологический процесс. При параметрах встроенного канала, практически достижимых в стандартной технологии изготовления КМОП-приборов, крутизна, короткоканальные и подпороговые
6.6. Поликристаллические Sifie^-затворы 5 3 м| характеристики р-МОПТ с я+-затвором значительно уступают соответствующим характеристикам /ьМОПТ с /?+-затвором. Альтернативное направление в технологии формирования затворов КМОП- приборов основано на использовании слоев поли-S^Ge^, работа выхода которого регулируется изменением молярного содержания германия. Исследования показали, что с ростом молярной доли германия уменьшается ширина запрещенной зоны SixGe,_x за счет, главным образом, изменения положения края валентной зоны. Поэтому при за- 0.6 С? Z 0.4 Ё 9- < 0.2 о* 0.0 О 188 ' В 191 • X 227 ^ - А 228 X О""" ^ 0.2 0.4 0.6 Молярная доля Ge Рис. 6.119. Зависимость разницы работ выхода SiGe-затвора^-типа и кремния я-типа (q • Acpms) молярной доли Ge; ве~ мене поли-Si затвора /?-МОПТ затвором из личина Acpms определялась экстраполя- поли-S^Ge^ изменение разности работ вы- цией кгь(ГоХ) к значению при Тох = 0 хода затвора и кремния для данного значения х определяется разностью значений ширины запрещенной зоны сильнолегированных поли-Si и SixGelx: q Acpms = Eg (поли-Si) - Eg (поли-SiGe) (сродство к электрону Si и SiGe практически одинаково). Экспериментальные зависимости АфП15 от молярной доли германия в SiGe, полученные в [191] для затворов п+- и /?+-типа, представлены на рис. 6.119. Увеличение работы выхода приводит к возрастанию порогового напряжения /?-МОПТ с затвором /?+-поли-SiGe (при однородном легировании подложки). О, с: К=ут-^+2Ъ + КрЪ+\У(\ где: Ф*=—In Nn Л pqNDzSiE0 (6.12) (6.13) Qox — плотность заряда в окисле; К = <Pms - QJCm- Возрастание порогового напряжения при неизменной концентрации примеси ND в области канала приводит к уменьшению тока стока /off без изменения подпорогового ^-фактора. Таким образом, использование SiGe-затворов позволяет, в определенной степени, независимо управлять величинами порогового напряжения и подпорогового 5-фактора/ьМОПТ за счет изменения Nd. Однако практически увеличение порогового напряжения нежелательно, если величина тока /off не превышает допустимого значения. Поэтому при использовании SiGe-затвора возрастание порогового напряжения целесообразно компенсировать снижением концентрации примеси в области канала. Такой подход обеспечивает следующие преимущества: • вследствие снижения емкости слоя обеднения уменьшается величина подпорогового ^-фактора, что обеспечивает повышение величины отношения Al,sat/A>ff'
532 Глава 6. Формирование затвора субмикронных МОПТ • Id sat увеличивается вследствие повышения напряжения насыщения Vdsat при снижении концентрации примеси в подложке (для предотвращения ухудшения короткоканальных характеристик р-МОПТ используют ретроградное распределение примеси); • из-за уменьшения напряженности поперечного поля на границе Si-Si02 и концентрации центров рассеяния увеличивается подвижность носителей в канале р-МОПТ. Наряду с улучшением характеристик /ьМОПТ с /?+-затвором при содержании германия в SiGe в диапазоне 20—30% электрические характеристики я-МОПТ с я+-затвором изменяются незначительно. Положительным свойством SiGe-затвора /?+-типа является также значительное снижение степени проникновения бора в область канала и повышение надежности подзатворного окисла. Таким образом, использование поли-SiGe для формирования затворов с проводимостью п+- и /?+-типа улучшает подпороговые и короткоканальные характеристики глубокосубмикронных МОПТ. 6.6.2. Технологические особенности формирования SiGe-затворов Осаждение поли-SiGe слоев осуществляется при температуре от 440 до 600°С методом LPCVD с использованием газов-реагентов SiH4 и GeH4 и азота в качестве газа-носителя [187, 189]. Для повышения эффективности образования зародышей на поверхности подзатворного окисла предварительно осаждается тонкий (5 А) слой Si, для чего подложки выдерживают в среде SiH4 в течение 30 мин [190]. Использование указанной операции обеспечивает возможность формирования гладкой поверхности слоя SiGe (RMS микрорельефа менее 10 нм) с колончатой поликристаллической структурой со средним размером зерен 50- 100 нм. Стабильность среднего размера зерен поликристаллического слоя важна для обеспечения постоянства дисперсии порогового напряжения Vt и поверхностного сопротивления затворов. С увеличением молярной доли германия в SiGe средний размер зерен растет [186]. Методом рентгеновской дифракции и просвечивающей электронной микроскопии показано, что германий в SiGe находится в виде твердого раствора, а не атомных кластеров [188]. Интеграция SiGe-затворов в стандартный технологический процесс изготовления КМОП-приборов. При формировании салицидных слоев на затворах и сток-истоковых областях необходимо Рис. 6.120. Перераспределение атомов Ge Учитывать, что взаимодействие тита- из нижнего слоя поли-SiGe (50 нм, 27% на с поли-SiGe существенным образом Ge - после осаждения) в верхний слой (90 отличается от его взаимодействия с нм) во время отжига в режиме (950 °С, 40 поли-Si [192]. Температура образовало с), ния низкоомного соединения Ti(SiGe) ы ЗысР [трация £ 5x10й Кон] 0 ( После осаждения -»_^_____^ / После отжига 950°С, 40 с ■—-jL^/""*" После отжига 950°С, 60 с ~~у-/&~~* ) 20 40 60 Ю 100 Ш 140 Глубина (нм)
6.6. Поликристаллические SixGe^-затворы 533^ значительно ниже, чем температура фазового превращения С49-С54 TiSi2 на сток-истоковых областях. В то же время повышение температуры отжига при формировании низкоомной фазы на SiGe-затворе невозможно из-за низкой термической стабильности германосилицида титана. (Аналогичное явление обнаружено и при использовании кобальта [193].) Во время такого отжига происходит сегрегация атомов Ge, приводящая к агломерации (нарушению сплошности) низкоомного слоя и повышению его ps. Кроме того, при селективном травлении слоя титана на изолирующем окисле, используемом в салициднои технологии, одновременно удаляется фаза Ti(SiGe). По указанным причинам одновременное формирование низкоомного слоя на сток-истоковых областях и на SiGe-затворе является проблематичным. В этой связи в работе [194] предложено использовать двухслойную структуру затвора: нижний слой SixGe,.x обеспечивает необходимую величину работы выхода затвора, а верхний слой поли- Si используется для создания низкоомного самосовмещенного слоя силицида TiSi2. После формирования окисных спейсеров слой SixGe,.x оказывается защищенным сверху и по периметру от взаимодействия с титаном, в результате чего становится возможным применение стандартной салициднои технологии. Однако при использовании двухслойной структуры затвора необходимо учитывать влияние перераспределения атомов германия в верхний слой поликремния в последующих термических процессах (рис. 6.120) [194]. Результирующая величина молярной доли германия в нижнем слое определяет работу выхода затвора. Поэтому для обеспечения воспроизводимости порогового напряжения необходимо стабилизировать факторы, влияющие на перераспределение германия, главным образом, термический бюджет и кристаллическую структуру поли-Si. На рис. 6.121 для двухслойной структуры (50 нм Si07Ge03/100 нм поли- Si) приводится изменение величины результирующей молярной доли Ge при увеличении термического бюджета (в относительных единицах). В данном случае перераспределение германия приводит к повышению его концентрации на поверхности поликремния до уровня (1—3) 10*21 см3, что не отражается отрицательным образом на процессе силицидообразования. Поверхностное сопротивление силицида на затворе и сток-истоковых областях (Lg = 0,3 мкм): 15,4 Ом/ □ (6,6 Ом/п) и 14,6 Ом/п (4,5 Ом/п) для я-МОПТ и /?-МОПТ), соответственно, и не отличается от значений для приборов с поли-Бьзатвором. При использовании двухслойной структуры SiGe-затвора необходимо также учитывать особенности анизотропного травления затвора в плазме НВг-С12-02 [195, 196]. В случае поликремниевого затвора анизотропия травления обеспечивается благодаря образованию на боковых стенках затвора окисно-подобного слоя, насыщенного хлором. Толщина Рис. 6.121. Уменьшение молярной доли этого пассивирующего слоя составляет Ge в поли-SiGe в нижнем слое двух- ~30 А, тогда как при травлении слоя SiGe слойной структуры затвора SiGe-Si при - в 2-3 раза меньше. При такой толщине Увеличении термического бюджета 30 64 « О § о « (Л X Оц « ч о 25 20 15 10 !S >~о<^ '^о 0 12 3 Термический бюджет (отн. ед.)
Глава 6. Формирование затвора субмикронных МОПТ е Формирование подзатвор- ного окисла # Осаждение поли - Si и поли - SiGe ©Ионная имплантация мышьяка «Отжиг ( перераспределение Ge ) ©Фотолитография и травление затвора ©Окисление затвора «Формирование спейсера Ионная имплантация As и отжиг для перераспределения атомов Ge ИНН ,^, м ,,«„ „ ^ „.t ., .,_ ,, ^^р|^р11ЩЩ^|1-11||^^Щ# Поли-Si Р-МОП (>20%Ge) Рис. 6.122. Этапы изготовления КМОП-приборов с различным содержанием Ge в затворах поли-SiGe пассивирующего слоя не предотвращается взаимодействие германия и брома, в результате чего нарушается анизотропия травления затвора. Уменьшение толщины пассивирующего слоя при травлении слоя SiGe обусловлено отсутствием нелетучих окислов германия. Поэтому с увеличением молярной доли Ge в SiGe толщина пассивирующего слоя и, соответственно, анизотропия травления уменьшаются. В работе [195] для обеспечения анизотропии травления слоя поли-Si-SiGe предложено исключить НВг из состава газовой смеси, а на конечной стадии травления снизить мощность источника напряжения смещения. Эти меры приводят к уменьшению скорости бокового травления слоя SiGe и повышению селективности травления SiGe по отношению к подзатворному окислу Подобная технология позволяет обеспечить анизотропию травления двухслойной структуры затвора при молярной доле Ge в SiGe не более 50%. Впервые результаты исследования процессов создания низкоомных германо- силицидов на SiGe-слоях с содержанием германия 20% опубликованы в работе [197]. Оптимальная величина молярной доли Ge в SiGe затворе я+-типа должна быть меньше 5%, а в затворе /?+-типа больше 20%. В [197] предложена технология изготовления приборов с оптимальным содержанием Ge, основанная на явлении ускоренного переноса атомов Ge из слоя SiGe в слой SiGe, подвергнутого ионной имплантации фосфора или мышьяка и последующему отжигу (рис. 6.122). В отличие от германосилицида Ti германосилицид Ni имеет более высокую термическую стабильность и обеспечивает возможность реализации самосовмещенной технологии формирования низкоомных слоев на сток-истоковых областях и затворе без использования буферного слоя поли-Si [193]. Для образования низкоомной фазы Ni(SiGe) необходим одноэтапный отжиг при температуре 400- 500°С. Термическая стойкость германосилицида никеля при ширине проводника 0,15 мкм достигает 800°С (30 с). Как и в случае титана и кобальта, ограничение термической стабильности германосилицида никеля обусловлено сегрегацией германия. Использование технологии формирования низкоомных слоев Ni(SiGe) продемонстрировано при создании высококачественных /ьМОПТ с однослойным Si0 8Ge0 2-затвором длиной 0,15 мкм (без буферного слоя поли-Si) [193].
6.6. Поликристаллические SixGe^-затворы Зю1 О 50 100 150 200 Глубина(нм) 10 10 10 10 \ ^ч 700*^ Sij 900°С Л 8Й*ек| 50 100 150 200 Глубин а( им) Рис. 6.123. Распределение концетрации бора (ВИМС-метод) в слоях поли-51072Се028 и поли-Si после ионной имплантации BF2 и после отжига при различной температуре (30 с) 2 Гю20 1ю19 ее 8 Я 17 «3 10 * < ^^\ш>ч:у 7oo^\-.../N\ Ge02eSio.?2 3 50 100 150 2< Глубина(нм) 1022 1021 ю20 ю18 1018 ю17 зо с У^^4-. юоо°0, \ 900°С 70О^С \800оС Si ^Vv/j' 50 100 150 200 Глубина(нм) Рис. 6.124. Распределение концентации мышьяка (ВИМС-метод) в слоях поли-Sio 72Ge0 28 и поли-Si после ионной имплантации BF2 и после отжига при различной температуре (30 с) 6.6.3. Закономерности диффузии примесей в слоях поли-SiGe. Электрофизические характеристики затворов Поверхностное сопротивление слоев поли-SiGe и степень обеднения SiGe- затворов носителями в режиме сильной инверсии (PDE —эффект (Poly-gate Depletion Effect)) определяются, наряду с процессами активации и дезактивации атомов, диффузией и перераспределением примесей. На рис. 6Л23а приведены распределения концентрации бора в слоях Si0 72Ge0 28 непосредственно после ионной имплантации (Е= 20 кэВ, D = 5 • 1015см2) и после отжига в среде азота при температуре 700, 800, 900°С (30 с) [16]. Для сравнения на рис. 6.123^представлены распределения примеси в поли-Si. Как видно, диффузия бора в слоях Si072Ge028 значительно медленнее, чем в поли-Si. В обоих случаях отжиг при температуре 900°С приводит к однородному распределению бора. Аналогичные распределения мышьяка в поли-SiGe и поли-Si после ионной имплантации (Е = 60 кэВ, D = 5 • 1015см2) и после отжига при температуре 800— 1000°С приведены на рис. 6.124. В отличие от бора скорость диффузии мышьяка в поли-SiGe выше, чем в поли-Si. Предполагается, что это связано с более быстрой, чем в случае поликремния, рекристаллизацией аморфизированной приповерхностной области во время постимплантационного отжига. При отжиге (900-1000°С) наблюдается обратная диффузия мышьяка как в поли-Si, так и в поли-SiGe, поэтому необходим защитный слой окисла на поверхности поли-Si, чтобы предотвратить снижение уровня легирования из-за обратной диффузии мышьяка.
536 Глава 6. Формирование затвора субмикронных МОПТ Относительно низкая скорость диффузии бора в поли- SiGe в сравнении с поли- Si не является ограничением для легирования затворов^-МОПТ с использованием режимов отжига стандартной технологии. Однако необходимо контролировать распределение бора в слое поли-SiGe при значительном снижении термического бюджета. Из-за высокой скорости диффузии мышьяка получение однородного концентрационного профиля в SiGe в условиях термического бюджета стандартного КМОП-процесса также не представляет затруднений. Однако следует учитывать, что концентрация носителей в SiGe, легированном мышьяком, снижается в большей степени, чем при легировании бором, из-за различия в степени активации атомов примеси. Электрофизические характеристики слоев поли-Si и поли-SiGe зависят от свойств границы раздела зерен и от типа легирующей примеси. Подвижность и концентрация свободных носителей в поликристаллических слоях определяются, главным образом, способностью атомов примеси сегрегировать на границе зерен и плотностью ловушечных состояний и положения их уровней в запрещенной зоне полупроводника. На рис. 6.125 приведены зависимости поверхностного сопротивления, подвижности и концентрации носителей в слое Si072Ge028 от концентрации бора [16]. Подвижность и концентрацию носителей определяли методом Холла в предположении однородного концентрационного профиля, получаемого в результате постимплантационного отжига (800°С, 60 мин). Сравнение этих зависимостей для Si0 72Ge0 28 и поли-Si показывает, что поверхностное сопротивление слоев SiGe ниже, благодаря более высоким подвижности и степени активации атомов бора. При ионной имплантации BF2 эти закономерности сохраняются в диапазоне концентрации бора 1019 — 1020см3. При увеличении температуры отжига до 950°С (5 мин) поверхностное сопротивление слоев Si0 72Ge0>28 и поли-Si снижается из-за усиления активации бора, при незначительном уменьшении подвижности носителей (рис. 6.126) (степень активации более 100% обусловлена особенностью методики измерения концентрации носителей), использованной в [16]. Характер приведенных на рис. 6.125 и 6.126 зависимостей объясняется с использованием модели переноса носителей в поликристаллических слоях Si и Ge, ю" ю" ю Концентрация (см3) 10" 10" 10 Концентрация (см3) 10" 10" 10 Концентрация (см3) Рис. 6.125. Зависимость поверхностного сопротивлении (а), подвижности (б) и концентрации (в) носителей (метод Холла) от концентрации бора в слоях mMH-Si072-Ge035 и поли-Si после отжига (800 °С, 60 мин); толщина слоя поли-SiGe 500 нм в случае ионной имплантации бора, 300 нм — при имплантации BF2
6.6. Поликристаллические Sifie ^-затворы «л6 10 и о ю3 io1J Кс • Si+B "^L a • GeSi*B ж*к о Si+BF2 о GeSi+BF, а) ю" 10й ю20 жцентрация (см3) О V»0 о ^5 н о о 11 г / Ч ^,л18 19 20 о 10 10 10 Концентрация (см3) §ю'9 сЗ 5ю,в в) у?1 ^ -л18 ..„19 -л20 * 10 10 10 Концентрация (см3) Рис. 6.126. Зависимость поверхностного сопротивления (я), подвижности (5) и концентрации (в) носителей (метод Холла) от концентрации бора в слоях поли-81072Се035 и поли-Si после отжига (950 °С, 5 мин); толщина слоя поли-SiGe 500 нм при ионной имплантации бора, 300 нм — при имплантации BF2 учитывающей захват носителей ловушками на границе зерен [198, 199, 200]. При этом в случае легирования бором принимается во внимание экспериментально установленная незначительная сегрегация атомов примеси на границе зерен. В соответствии с этой моделью на границе зерен происходит захват свободных носителей электрически активными ловушками, вследствие чего возникает потенциальный барьер, ограничивающий перенос свободных носителей между зернами, что приводит к уменьшению подвижности. В диапазоне низких концентраций легирующей примеси повышение концентрации свободных носителей вызывает увеличение потенциального барьера. При достижении концентрации свободных носителей критичного значения N* все ловушечные состояния заполняются, и дальнейший рост концентрации носителей будет приводить к уменьшению, а затем и к исчезновению потенциального барьера. Критичная концентрация легирующей примеси зависит от размера зерен L и от плотности ловушек на границе зерен Nt: N* = NJL. При типичной плотности ловушек 5 • 1012см2 и размере зерен 50 нм критичная концентрация равна 1 • 1018 см3, поэтому с увеличением концентрации примеси выше этого уровня потенциальный барьер на границе зерен снижается. В поли-Si захват носителей ловушками не зависит от типа легирующей примеси. В поли-Ge ловушки на границе зерен имеют акцепторную природу (энергетические уровни ловушек смещены к валентной зоне), вследствие чего потенциальный барьер на границах зерен образуется только в слоях, легированных донорами [200]. Характеристики ловушечных состояний в поли-SiGe, как считают, являются промежуточными между кремнием и германием, поэтому барьер на границе зерен в случае легирования бором ниже, чем при легировании мышьяком или фосфором. Табл. 6.10. Потенциальный барьер на границе зерен (Еь) и плотность ловушек (Nc) в кремнии и поли-Sio 7Ge0 з, легированных бором Тип слоя Si, N= 1 • 1018 см3, 800°С, 60 мин Si07Ge0„W = 1 • 1018см-3, 800°С, 60 мин Si, N= 1 • 1019см3, 800°С, 60мин Si07Ge0„ N = 1 • 1019 см3, 800°С, 60 мин Еь(эВ) 0,161 0,085 0,026 0,016 7Vt(s = eSl) (см2) 2,9-10'2 2,1- 1012 3,7- 1012 2,9- 10'2 ^ (£ = £SiGe) (СМ2) — 2,2-1012 — 3,1-1012
G2 Глава 6. Формирование затвора субмикронных МОПТ На рис. 6.125 и 6.126 видно, что поверхностное сопротивление слаболегированных слоев поли-Si много выше, чем слоев поли-SiGe, главным образом, из-за более низкой подвижности носителей. Из зависимостей рс от температуры для поли-Si и поли-SIq 7Ge0 з в аррениусовских координатах величина потенциального барьера Еъ и плотность ловушек на границе зерен Nt определяется с использованием выражений [16] : Ps~exp(Eb/kT) (6.14) и Eb=qN} /&E-N, (6.15) где N— концентрация атомов бора, 8 — диэлектрическая постоянная. Значения для Еъ и Nt, полученные с использованием данных, приведенных на рис. 6.127, представлены в табл. 6.10. Плотность ловушек вычислена для кремния при sSi = 11,7 и при средневзвешенном значении 8SiGe= 13,3, для поли-8107Се03 с учетом молярной доли германия. Как видно, плотность ловушек в поли-Si выше, чем в поли-Sio 7Ge0 3, a полученные значения Nt соответствуют предположению, что концентрация атомов примеси выше критичного значения N*. Подвижность свободных носителей в поли-81-слоях зависит линейно от размера зерен L и экспоненциально от величины потенциального барьера: Различие в размерах зерен в поли-Si и поли-SiGe приводит к более высокой подвижности носителей в поли-SiGe (приблизительно в 1,5 раза). Однако различие в величине потенциального барьера Еъ при N= \ • 1018 см3 может привести к увеличению подвижности носителей в поли-SiGe более чем на порядок в сравнении с поли-Si. Приведенные данные объясняют значительное различие в поверхностном сопротивлении слоев поли-Si и поли-SiGe при низкой концентрации бора. При увеличении концентрации бора выше 1 • 1019 см3 величина и относительное различие в потенциальных барьерах обоих материалов снижается, в результате чего влияние Еъ на поверхностное сопротивление слоев уменьшается. При больших концентрациях бора (~1 • 1020 см3) различие в поверхностном сопротивлении поли-Si и поли-SiGe обусловлено более высокой степенью актива- Рис. 6.127. Зависимости поверх- Дии атомов бора в поли-SiGe. ностного сопротивления слоев Из рис. 6.125 следует, что после отжи- Si0 65Ge0 35 и поли-Si (нормирован- га (800°С, 60 мин) степень активации атомов ного к ps при температуре 200 °С) бора в поли-Sio 65Ge0 35 при концентрации бора оттемпературы при концентрации 2-Ю19 см3 равна 93%, а в поли-Si - 82%. При бора 1 • 1018см3и 1 • 1019см3 концентрации 1 • 1020 см3 степень активации 4 3 ^ 2 1 у • Si /6 " ^о.зб^'о.бб У о ' 1хю18/ jS* А у 1хУ9 0 - i 20 30 40 50 1/кТСэВ1)
6.6. Поликристаллические SixGe}_х-затворы 53^ атомов бора в обоих материалах снижается, а различие в степени активации в поли- Si0 65Ge0 35 и поли-Si увеличивается (78 и 50%, соответственно). Наличие максимума степени активации в диапазоне концентрации между 2 • 1019 см3 и 1 • 1020 см:3 объясняется тем, что при температуре 800°С концентрация предельной растворимости бора ниже 1 • 1020 см-3. При повышении температуры до 950°С благодаря увеличению концентрации предельной растворимости повышается степень активации атомов бора во всем диапазоне концентраций и меньше снижается степень активации при повышении концентрации бора (рис. 6.126): 123 и 113% при N= 2 • 1019 см3 и 116 и 95% при N= 1 • 1020 см3 для поли-Sio 65Ge0 35 и поли- Si, соответственно. Приведенные результаты указывают на необходимость выбора оптимальной концентрации примеси, соответствующей пределу твердой растворимости бора. Концентрация предельной растворимости бора в mxnH-Si065Ge035 при температуре 800°С равна 6 • 1019 см3, при температуре 950°С — 9 • 1019 см3, в поли-Si — 3 • 1019 см3 и 6 • 1019 см3, соответственно [16, 201]. При легировании слоев поли-SiGe ионной имплантацией BF2, в основном, сохраняются отмеченные выше закономерности. Незначительное повышение ps слоев поли-SiGe в этом случае объясняется уменьшением размера зерен вследствие аморфизации поверхностного слоя поли-SiGe при ионной имплантации BF2. Кроме того, повышение поверхностного сопротивления возможно из-за снижения подвижности носителей и предела твердой растворимости бора в поли-SiGe из-за внедрения атомов фтора. Наряду с рассмотренными причинами снижения концентрации свободных носителей в поликристаллических слоях, следует учитывать дезактивацию атомов примеси во время низкотемпературных термических процессов, например, при формировании сглаживающего диэлектрика перед нанесением металлизации. На рис. 6.128 приведены зависимости относительного уменьшения концентрации свободных носителей в поликристаллических слоях, легированных бором (D = 5 • 1012 см2) и активированных при температуре 950°С (5 мин), от длительности отжига при температуре 750°С. В слоях поли-Si, легированных бором, более высокая степень дезактивации (42%), чем для m^H-Si065Ge035 (23%), что объясняется более высокой концентрацией твердой растворимости бора в слоях поли-SiGe, чем в поли-Si. При этом отмечается увеличение подвижности носителей на 17%. Это означает, что дезактивирующий отжиг не влияет на плотность ловушек и высоту потенциального барьера на границе зерен. Подвижность носителей при этом увеличивается вследствие снижения концентрации заряженных центров рассеяния, благодаря более интенсивному образованию нейтральных кластеров атомов бора в поли-Si, чем в поли-SiGe. Более высокая степень дезактивации атомов бора в поли-Si, чем в поли-SiGe, объясняется Рис. 6.128. Снижение концентрации ионизированных атомов бора в слоях поли-8^652Се0 35 и поли-Si после отжига при температуре 750 °С; режим ак- тивационного отжига (950 °С, 5 мкм)
40 Глава 6. Формирование затвора субмикронных МОПТ и о 10 ю4 ю3 ю2 10 а1 л ■X • Si ■ Ge0.35S,0.65 19 -л20 "'■• -£Г30 W20 Ъ. ю о О о 10" 10" 10*' Концентрация (см3) 10 Ю 10 Концентрация (см3) Ю Эю'' X Ъ4 в) X 10 10 10 Концентрация (см3) Рис. 6.129. Зависимость поверхностного сопротивлении (а), подвижности (б) и концентрации (в) носителей (метод Холла) от концентрации мышьяка в слоях поли-Si, поли-Si толщиной 300 нм после отжига (950°С, 30 мин) Ge ^ 2000 □ *| 1500f 2, 1000 ^ 500 а) ► Si ■ Ge0 35Si0 ад Ь*. 500 600 700 800 900 1000 с Отжиг (°С) 9 25 0Q >20 о У 10 X I 5 со 0 б) S 500 600 700 800 900 1000 Отжиг (°С) 500 600 700 800 900 1000 Отжиг (°С) Рис. 6.130. Зависимость поверхностного сопротивления (а), подвижности (б) и концентрации (в) носителей (метод Холла) в слоях поли-Sio 652Ge0 35 и поли-Si от температуры отжига (60 мин) • Si ■ Gea35SJ0.e5 меньшей скоростью диффузии бора в поли-SiGe (рис. 6.123), и, соответственно, большим временем, необходимым для формирования кластеров. Как следует из сравнения результатов, представленных на рис. 6.125 и 6.128, концентрация дырок в слоях поли-SiGe, после дезактивационного отжига (750°С, 60 мин), не опускается ниже уровня, соответствующего отжигу (800°С, 60 мин), т.е. максимальная степень дезактивации атомов бора не достигается. Отсюда следует, что для снижения степени дезактивации атомов бора в слоях поли-SiGe нужно уменьшать длительность и особенно температуру термических операций формирования изолирующего диэлектрика. Электрофизические характеристики слоев поли-SiGe, легированных мышьяком. На рис. 6.129 приведены результаты измерения cs, концентрации и подвижности носителей в слоях поли-81065Ое035 с различным уровнем легирования мышьяком (имплантация мышьяка Е = 100 кэВ, D = 5 • 1014 - 1 • 1016 1.7X10 19 30 40 1/кТ(эВ -1) 50 Рис. 6.131. Зависимость поверхностного сопротивления слоев поли- Si065Ge035 и поли-Si (нормированные см2; отжиг (950°С, 30 мин) [16]. В отличие от к ps при температура 200°С) от темпе- варианта легирования бором подвижность ратуры при концентрации мышьяка носителей и степень активации атомов мы- 31018см3и 1,71019см3 шьяка в слоях поли-SiGe ниже, a ps выше,
6.6. Поликристаллические Sifieих-затворы sa Табл. 6.11. Потенциальный барьер на границе зерен (Еь) и плотность ловушек (N) в образцах поли-Si и поли-Ge, легированных мышьяком Тип слоя Si, N= 3,3 • 10'8 см3, 950°С, 30 мин Si07Ge0з, N = 3,3 • 1018см3, 950°С, 30мин Si, N = 1,7 • 1019 см3, 950°С, 30 мин Si07Ge0з, N=\J- 1019см3, 950°С, 30мин 4(эВ) 0,289 0,341 0,043 0,077 7Vt(e = eSi) (см2) 7,0- 1012 7,6-1012 6,2 1012 8,2- 1012 (CM2) - 8,1 • 1012 — 8,8-1012 чем в поли-Si. (Аналогичная закономерность наблюдается при легировании поли-SiGe фосфором.) На рис. 6.130 приведены зависимости ps, n и \х для слоев поли-SiGe, легированных мышьяком (Е= 100 КэВ, D = 5 • 1015 см2), от температуры активирующего отжига (средняя концентрация атомов мышьяка в слое поли-SiGe равна 1,7 • 1020 см3). Как видно, снижение поверхностного сопротивления слоя поли-SiGe при увеличении температуры отжига обусловлено линейным ростом подвижности носителей и степени активации атомов мышьяка. Различие между поли-Si и поли-SiGe наиболее сильно проявляется при низкой температуре — ps слоев поли-SiGe монотонно снижается при повышении температуры, в то время как ps поли-Si имеет максимум, соответствующий минимальной концентрации свободных носителей при Т= 700°С. На рис. 6.131 приведена зависимость нормализованного поверхностного сопротивления (по отношению к ps, при Т = 200°С) от обратной температуры ак- тивационного отжига. Как и в случае легирования слоев поли-SiGe бором, при повышении концентрации мышьяка наклон зависимости уменьшается, что свидетельствует о снижении потенциального барьера Еъ. Однако в отличие от легирования бором величина потенциального барьера для слоев поли-SiGe выше, чем для поли-Si. Вычисленные значения плотности ловушек и потенциального барьера на границе зерен для поли-Sij7Ge03 и поли-Si, легированных мышьяком, приведены в табл. 6.11. Как указывалось, дезактивация атомов примеси в поли-81-затворе МОПТ во время термических операций формирования сглаживающего диэлектрика определяет, в конечном счете, степень обеднения затвора носителями в режиме сильной инверсии. Дезактивация атомов мышьяка, в отличие от атомов бора, в поли-81065Се035 протекает значительно интенсивнее. На рис. 6.132 представлены зависимости относительного снижения концентрации носителей и ps поли- Si065 Ge035 и поли-Si от длительности низкотемпературного отжига (750°С). Дезактивация рИс. 6.132. Зависимости поверхностного сопротивления ps атомов мышьяка дости- (а) и снижения концентрации ионизированных атомов мы- гает 40%-ного уровня шьяка (б) от длительности отжига при температуре 750 °С. после отжига в течение Температура активационного отжига 950°С (30 мин) 140т- 120F" 5ioo| | 80{ CL I 60? 40J а) »Si 20 40 60 Время отжига (мин) 80 20 40 60 Время отжига (мин) 80
нП>42 Глава 6. Формирование затвора субмикронных МОПТ 30мин950 С ЗСмин950 С 30мин950 С В G%35Si0.65 бОмин 750°С Ш Si шш ш щ щ m Г' il Коцнентрация As (см3) imjiM-Si065Ge0 35 и поли-Si при различной температуре отжига 20 мин. Другой особенностью низкотемпературного отжига слоев nxxnH-Si065Ge035 является неизменность, а при большой длительности отжига незначительное снижение ps, в то время как ps поли-Si возрастает. Исследования показали, что снижение концентрации свободных носителей во время такого отжига сопровождается увеличением подвижности носителей, Рис. 6.133. Отношение концентрации атомов причем возрастание подвижности мышьяка сегрегированных на границах зерен в слоях поли-SiGe значительно к концентрации[атомов вi объеме з^ выше (от {92 Д() 35?2 см2/Вс), чем в поли-Si (от 18,5 до 24,7 см2/Вс) [16]. Показано также, что процессы активации-дезактивации атомов мышьяка в слоях поли-SiGe, в отличие от атомов бора, зависят от сегрегации атомов на границе зерен [202]. На рис. 6.133 представлены оценки относительного количества атомов мышьяка на границе и в объеме зерен, подвергнутых активирующему и дезактивирующему отжигу. Как видно, указанное отношение для слоев поли-SiGe выше, чем для поли-Si, а его изменение после дезактивирующего отжига незначительно (находится в пределах точности измерения). Из анализа приведенных данных следует, что несмотря на более высокую, чем в случае бора, концентрацию носителей (при равных концентрациях легирующей примеси) (см. рис. 6.125 и 6.129), степень активации атомов мышьяка в слоях поли-SiGe и поли-Si очень низкая: 13 и 22% при N= 1,7 • 1019 см 3 и 35 и 55% при N= 1,7 • 1020 см-3, соответственно. Эта особенность легирования поликристаллических слоев мышьяком объясняется сегрегацией атомов на границе зерен. При увеличении температуры активирующего отжига процесс сегрегации атомов замедляется, благодаря чему концентрация носителей быстро возрастает. Низкая величина поверхностного сопротивления и относительно высокая концентрация носителей в поли-Si, подвергнутому отжигу (600°С, 60 мин), могут быть результатом совместного влияния твердофазной эпитаксиальной рекристаллизации и низкого коэффициента диффузии атомов мышьяка. Вследствие этого только малая доля атомов мышьяка достигает границ зерен или кластеров, что обеспечивает высокую концентрацию носителей. Коэффициент диффузии мышьяка в слоях поли-SiGe выше, чем в поли-Si (см. рис. 6.124), поэтому из- за более интенсивной сегрегации атомов концентрация носителей после отжига при низкой температуре, ниже, чем в поли-Si. Табл. 6.12. Результаты измерения работы выхода молибденовых затворов /?-МОПТ (с имплантацией) и я-МОПТ (без имплантации) Параметр cpms (эВ) л-МОПТ р-МОПТ А(Рт* £ = 15КэВ 4,70 4,94 0,24 £=29КэВ 4,53 4,95 0,42
6.6. Поликристаллические SixGe^-затворы 543" Помимо сегрегации атомов мышьяка, на электрические характеристики поликристаллических слоев влияет захват носителей ловушками на границах зерен. Интенсивность захвата носителей в слоях поли-SiGe, легированных донорами, выше, чем в поликремнии, вследствие сдвига уровней ловушек к валентной зоне. Поэтому в области низких концентраций мышьяка (N< N*) высота потенциального барьера и плотность ловушек на границах зерен в слоях поли-SiGe превышает соответствующие значения для поликремния (табл. 6.11 и 6.12). По этой причине подвижность носителей в поли-SiGe имеет значительно меньшую величину (до четырех раз) в сравнении с поли-Si (см. рис. 6.129). При увеличении концентрации мышьяка (N > N*) величина и различие в величинах потенциальных барьеров на границе зерен в поли-SiGe и поли-Si снижаются, в результате чего подвижность носителей в них становится практически одинаковой. При высоком уровне легирования поликристаллических слоев концентрация свободных носителей ограничивается концентрацией твердой растворимости. Из зависимостей, приведенных на рис. 6.129в, можно сделать вывод, что концентрация предельной растворимости мышьяка в поли-SiGe ниже, чем в поли-Si. Действительно, предел твердой растворимости мышьяка в монокристаллическом германии при высокой температуре на порядок ниже, чем в кремнии [203]. По-видимому предельная растворимость мышьяка в поли-SiGe имеет величину, промежуточную между соответствующими значениями для кремния и германия. На рис. 6.129<? видно, что максимальная концентрация ионизованых атомов мышьяка в поли-SiGe равна 8 • 1019 см3, а перегиб зависимости n(NAi) свидетельствует о достижении предельной растворимости. В поли-Si максимальная концентрация ионизованых атомов мышьяка имеет величину 2 • 1020 см3, которая совпадает с результатами работы [200]. Из приведенных на рис. 6.133 данных следует, что количество атомов мышьяка, сегрегирующих на границах зерен в поли-SiGe, значительно больше, чем в поли-Si. В работе [205] процесс сегрегации на границах зерен считается основной причиной дезактивации атомов мышьяка в поликремнии. При последующем высокотемпературном отжиге должна происходить «десегрегация» атомов в объем зерен поликристалла. Однако в [16] показано, что дезактивирующий низкотемпературный отжиг приводит к незначительному изменению количества атомов мышьяка, сегрегированных на границах зерен (рис. 6.133). Это объясняется относительно большим их размером в слоях поли-SiGe, легированных мышьяком, а также низким коэффициентом диффузии мышьяка при температуре 750°С. Поэтому наблюдаемая значительная дезактивации атомов мышьяка в поли-SiGe (рис. 6.132) может лишь частично объясняться сегрегацией атомов на границе зерен. Более важной в этом случае может быть сегрегация атомов на нейтральных кластерах, образующихся вследствие превышения концентрации мышьяка его предельной растворимости. На появление кластеров указывает увеличение подвижности носителей после дезактивирующего отжига вследствие уменьшения рассеяния носителей на ионизованных атомах примеси. Таким образом, различие в степени активации атомов мышьяка в поли-Si и поли-SiGe объясняется более интенсивной сегрегацией мышьяка на границе зерен и более низкой предельной растворимостью в поли-SiGe. Более низкая подвижность электронов в поли-SiGe обусловлена повышением плотности ловушек и наличием потенциального барьера на границе зерен, влияние которых
flf5>44 Глава 6. Формирование затвора субмикронных МОПТ п-МОП,Т =47 А 5х10т 5х101_, ~^~Доза=3.10,5см-2 -Доза=5«10«5см-2 ~Доза=Ы0,6см-2 10 20 30 40 Молярная доля Ge(%) а) р-МОП,Тох-47А «1 -Доза=3«1015см-2 ~»-Доза=5*10,5см-2 -*~Доза=Ы0,6см-2 ^Х/Ч. 900 °С, 40мин 10 20 30 40 Молярная доля Ge(%) б) о< X частично компенсируется увеличением размера зерен поли-SiGe при высокой концентрации мышьяка. 6.6.4. Характеристики МОПТ с SiGe-затвором Величина молярной доли германия в SiGe с точки зрения обеспечения наилучших электрофизических характеристик затворов должна находиться в диапазоне 0,2—0,3. Когда же она ниже 0,2, изменение разности работ выхода затвора и кремния в области канала недостаточно для /ьМОПТ с поверхностным каналом и симметричным значением порогового напряжения по отношению к я-МОПТ Когда молярная доля германия выше 0,3, электрофизические параметры SiGe-затвора я+-типа ухудшаются [186, 188, 191, 193, 197]. На рис. 6.134 приведены зависимости концентрации ионизированных ато- Рис. 6.134. Расчетные зависимости Мов примеси Л^ро1у на границе SiGe-Si02 и концентрации примесей на грани- ШИрИны области обеднения затвора Xd для це Si02-nonM-Si (А^„ J и толщины МОП-конденсаторов с SiGe-затворами п+- и /?+-типов от величины молярной доли германия. Видно, что для я-МОП-конденсатора концентрация ионизованных атомов на границе SiGe-Si02 достигает максимального значения при молярной доли германия ~20%. Это объясняется влиянием двух факторов, действующих в противоположных направлениях: увеличением размера зерен во время активационного отжига (900°С, 40 мин) и снижением концентрации предельной растворимости фосфора при повышении доли гер- слоя обеднения затвора носителями (Xdep) от молярной доли Ge в слое поли-SiGe для я-МОП (а) и р-МОП (б) конденсаторов Рис. 6.135. Типичные переходные (а) и выходные (б) характеристики /ьМОПТ {Ьеф = 0,18 мкм с поли-Si и mxrra-Si07Ge03-3aTBopaMH. Также представлены зависимости крутизны МОПТ (Gm = dIdJdV&s) от Vgs. При равных пороговых напряжениях (Vt = -0,48 В) ток стока Id t МОПТ с поли-8Юе-затвором выше, чем у МОПТ с поли-Бьзатвором
6.6. Поликристаллические SixGe^-затворы 545j|] мания в SiGe [203]. Для р-МОП конденсаторов iVp0ly быстро возрастает с увеличением содержания германия до 20%, после чего изменение Wpoly становится незначительным. Такая тенденция объясняется повышением степени активации бора, обусловленным увеличением размера зерен SiGe с ростом содержания германия [204]. При повышении дозы имплантации бора и фосфора до 5 • 1015 см2 концентрация Npoly возрастает, а ширина области Рис. 6.136. Подпороговый ^-фактор обеднения затвора Хй снижается, однако /?-МОПТ с поли-8Юе-затвором и с ре- в диапазоне дозы имплантации 5 • 1015 - троградным распределением примеси в области канала в сравнении с МОПТ с поли-8ьзатвором ^90 я~ ^85 PQ 5 75 со *7П ; —Д— поли-Si [ -О- nOJIM-Si0.7Ge0.3 : i5Siaaa~ii--a— г ^UDO-O-O-- 1 . 1 . 1 /и - 0.1 0.3 0.5 ЬеП.(мкм) —и —о ? 0.7 1 • 1016 см-2 эти изменения незначительны из-за превышения концентрации предельной растворимости. Поэтому доза имплантации при легировании затвора не должна превышать 5 • 1015 см2. Из приведенных результатов следует, что оптимальная величина молярной доли германия в поли-SiGe, обеспечивающая наилучшее сочетание концентрации Npoly в затворах как п+- , так и /?+-типа, находится в диапазоне 0,2—0,3. При таком содержании германия в поли-SiGe изменение разности работ выхода SiGe-затвора и подложки (#Acpms) для n+-S\Ge незначительно, в то время как для /?+-SiGe величина #A(pms равна -0,13 эВ и -0,2 эВ при молярной доле германия 20 и 30%, соответственно (рис. 6.119). Это позволяет увеличить пороговое напряжение по абсолютной величине или, сохранив его неизменным, снизить концентрацию в подложке. Таким образом, при использовании поли-БЮе-затворов /?+-типа с указанным содержанием германия обеспечивается не только снижение степени обеднения затвора носителями, но и улучшение характеристикр-МОПТ как в режиме насыщения, так и в подпороговом режиме. На рис. 6.135 приведены типичные переходные и выходные характеристики р-МОПТ с поликремниевым и поли-8107Ое03-затворами с обычной (имплантированной) и ретроградной областями канала, соответственно [191]. Пороговое напряжение обоих транзисторов одинаково (-0,48 В). При этом поверхностная концентрация примеси в области канала МОПТ с поли-Si-затвором равна 5 • 1017 см3, а распределение примеси в области канала МОПТ с SiGe-затвором для обеспечения равного порогового напряжения имеет ретроградный характер. Эффективная длина канала приборов равна 0,18 мкм. Как видно из приведенных характеристик, при одинаковом эффективном напряжении на затворах (Vg— V{) ток стока и крутизна МОПТ с поли-8Юе-затвором на 15—20 % выше, чем у МОПТ с поли- Si-затвором. Улучшение характеристик МОПТ с SiGe-затвором объясняется повышением подвижности носителей из-за снижения концентрации примеси в области канала. Наряду с этим, у МОПТ с SiGe-затвором снижается подпороговый ^-фактор с 81 до 75 мВ/дек (рис. 6.136). Подобное уменьшение подпорогового ^-фактора соответствует трехкратному увеличению отношения Idsat/Iofr Возможность использования SiGe-затворов в КМОП-приборах подтверждена также исследованиями стойкости МОПТ к воздействию горячих носителей [191].
146 Глава 6. Формирование затвора субмикронных МОПТ 0.8 . с!=45нм 1 l_jL_i.XJ.Xii, d=25HM _J.. Ь, 1 JUUt с!=10нм 4. b\\ l WzJTxlWaP " №; = 9.6xm" cm* М = 1.5х|0,Астл 10 ' 10 s* Концентрация (см3) a) 0 10 20 30 40 50 Толщина встроенного канала (нм) б) Рис. 6.137. Расчетные зависимости порогового напряжении МОПТ с металлическим midgap-затвором от концентрации примеси (а) и толщины скрытого канала (б) (Lc = 95 нм) 6.7. Металлические затворы 6.7.1. КМОП-приборы с металлическими затворами is о t V=0,4B При масштабировании МОПТ в суб-0,1 -микронную область потребность в увеличении быстродействия приборов приводит к необходимости формирования затворов с использованием металлических слоев с соответствующей величиной работы выхода. Помимо низкого удельного сопротивления (10—15 мкОмсм), применение металлического затвора обеспечивает исключение обеднения затвора носителями в режиме сильной инверсии (PDE-эффект), которое ограничивает возможность снижения толщины подзатворного окисла при использовании поликремниевых слоев в структуре затвора. Кроме того, в сочетании с металлическим затвором возможно применение подзатворных диэлектриков с повышенным значением диэлектрической проницаемости. Однако в отличие от поли-Бьзатворов, работа выхода которых изменяется ионной имплантацией, управление работой выхода металлических затворов еще не стало широко освоенной технологией. Поэтому при изготовлении КМОП-приборов в качестве затворов используются металлы или нитриды металлов, имеющих работу выхода с уровнем Ферми вблизи середины запрещенной зоны кремния Со (4,45 эВ), Сг (4,5 эВ), W (4,52 эВ), Ru (4,68 эВ), TiN (4,7 эВ), WNX (4,6 эВ) [219-221]. В этом случае обеспечивается приблизительное равенство пороговых напряжений п- и/ьМОПТ, однако абсолютная величина Vx оказывается недопустимо высокой (на -0,55 В) в Рис. 6.138. Распределение концентра- сравнении с МОПТ с поли-Si затворами ции электронов в канале МОПТ при „+_ и р+-Типов. Для снижения порогово- Vg= К для различного распределения го напряжения МОПТ с midgap-затвором необходимо использовать скрытый канал X О 10 «* 10" 10|4 0 10 20 Глубина от поверхности Si (нм) примеси в скрытом канале
6.7. Металлические затворы 547j| с тщательно оптимизированными параметрами структуры для улучшения короткоканальных характеристик. Очевидно, что для заданных величин работы выхода металлического затвора (4,6 эВ) и толщины подзатворного окисла Тох существует такое сочетание толщины и концентрации примеси скрытого канала и соответствующего им порогового напряжения Vv при котором максимум концентрации носителей в проводящем канале открывающегося транзистора располагается на поверхности кремния. В этом случае эффективная толщина подзатворного окисла XIQQ $ 00 1 £ > Л 50 £ 00 + СЛ J, * 0 ;«-<Н> ""~~~ш 0=4ЭНМ 1 0 ё=25нм 50 100 Ц (нм) ■^ V/ - t , , 0.6 0.4 ^-~ч 0Q ^ > 0.2 0.0 150 Toxeff имеет ми- Рис. 6.139. Расчетные короткоканальные характеристики V{(Lg) МОПТ с металли- нимальное значение. При физической чеСким midgap-затвором и скрытым ка- толщине подзатворного окисла 2,4 нм налом толщиной 45 и 25 нм взаимосвязь между пороговым напряжением, толщиной и концентрацией примеси скрытого канала для МОПТ с металлическим midgap-затвором представлена на рис. 6.137 [206]. Для этой структуры МОПТ минимальное пороговое напряжение, при котором максимум концентрации носителей в проводящем канале располагается на поверхности кремния, равно 0,4 В. Ниже этого значения максимум распределения носителей смещается вглубь кремния, в результате чего происходит значительное увеличение Гох eff (рис. 6.138). Как видно из расчетных зависимостей (рис. 6.137), повышение концентрации примеси Nc в скрытом канале при заданной величине порогового напряжения возможно лишь при снижении толщины канала, однако при этом чувствительность порогового напряжения к вариациям концентрации возрастает (4,8 мВ/1% при dc = 10 нм против 0,06 мВ/1% при dc = 45 нм). Чувствительность порогового напряжения к вариациям толщины скрытого канала при увеличении концентрации Nc до 5,7 • 1018 см-3 повышается до 86 мВ/нм. Таким образом, снижение толщины скрытого канала dc с целью улучшения короткоканальных свойств МОПТ приводит к снижению воспроизводимости порогового напряжения. При этом рост концентрации примеси в скрытом канале приводит к повышению подпо- рогового 5-фактора и к снижению подвижности носителей. С другой стороны, при увеличении толщины канала до 45 нм короткоканальный сдвиг порогового напряжения увеличивается (рис. 6.139). А при вариациях длины затвора Lg относительно номинального значения 0,1 мкм в пределах ±8% изменение порогового напряжения МОПТ со скрытым каналом толщиной dc = 45 нм (80 мВ) выше, чем при dc = 25 нм (50 мВ). Другое направление технологии изготовления КМОП-приборов с металлическими затворами основано на использовании для затворов п- к р- МОПТ металлов, имеющих максимально возможную разницу в величине работы выхода, например: для «-МОПТ - Та (4,19 эВ), TaN (4,05 эВ), Ti (4,14 эВ), А1 (4,13 эВ), Hf (3,9 эВ); Nb (4,15 эВ), Мо (4,45 эВ); а для/ьМОПТ - WN ( 5,0 эВ), Mo2N (5,3 эВ), 1г (5,35 эВ), Pt (5,65 эВ) [219-221].
Глава б. Формирование затвора субмикронных МОПТ В идеальном случае уровень Ферми металлических затворов должен располагаться вблизи края зоны проводимости (Е = 4,17 эВ) для я-МОПТ и вблизи края валентной зоны (Ew = 5,25 эВ) для/ьМОПТ. Конечно, желательно и технологически проще использовать в качестве затворов слои чистых металлов и их нитридов. Однако работа выхода нитридов TaN, TIN, W2N отличается от работы выхода чистых металлов менее чем на 0,4 эВ, что не обеспечивает оптимальные характеристики КМОП-приборов. Поэтому для затворов /ьМОПТ рекомендуется использовать благородные металлы (Ir, Pt), которые, правда, трудно поддаются плазменному травлению. В [222] обнаружено, что слой Мо может иметь работу выхода вблизи Ev при определенных условиях нанесения и отжига. Использование различных металлов для затворов МОПТ усложняет технологию из-за увеличения числа процессов нанесения и плазменного травления металлических слоев, воздействующих на характеристики подзатворного окисла и границу Si-Si02. а) б) Окисел ^^mm^u&^^j't Si - подложка ё§С Si - подложка^ J 6.7.2. Особенности интеграции металлических затворов в технологию изготовления КМОП-приборов Выбор материала для металлического затвора, помимо величины работы выхода и удельного сопротивления, осуществляется с учетом следующих требований: термическая стабильность кристаллической структуры металла; отсутствие воздействия условий нанесения металла на характеристики подзатворного слоя; высокая адгезия и термическая стабильность границы раздела металл/диэлектрик; возможность травления металлического слоя с высокой селективностью к диэлектрику и без отрицательного влияния на его характеристики и, наконец, низкая проникающая способность атомов металла в подзатворный диэлектрик. В маршруте, предложенном в [207- 211], формирование подзатворного диэлектрика и металлического затвора осуществляется после высокотемпературных операций окисления и активационного отжига сток-истоковых областей с использованием так называемого удаляемого (жертвенного) затвора. На рис. 6.140 представлен маршрут из- Рис. 6.140. Этапы формирования ме- готовления „_МОПТ, в котором создание таллического затвора с использова- металлического затвора осуществляется нием удаляемого поликремниевого , ^ J затвора: а) осаждение Si02 и химико- после Формирования сток-истоковых об- механическая планаризация; б) уда- ластей (Replacement gate process) [207,208]. ление поли-Бьзатвора в жидкостном Сначала проводится обычная последова- травителе; в) формирование подзатвор- тельность операций изготовления МОПТ, ного окисла, нанесение TiN и W, фото- включая создание LDD- и глубоких сток- литография и травление затвора истоковых областей, самосовмещенных
6.7. Металлические затворы 549^ с удаляемым поли-Si-затвором. После акти- вационного отжига и осаждения слоя Si02 поверхность кремниевой пластины подвергается химико-механической планаризации до вскрытия поверхности Si-затворов. Затем удаляется поли-Si-затвор в жидкостном тра- вителе (исключается возможность нарушений области канала в случае использования плазменного травления). После стравливания предварительного окисла выращивают под- затворноый окисел и наносят слой металла с требуемой работой выхода. Затем осуществляют нанесение слоя алюминия или вольфрама, фотолитографию и травление металлических слоев с образованием Г-образных затворов. Технологический процесс изготовления МОПТ с применением «удаляемого» поли-Si- затвора обеспечивает возможность использования подзатворных диэлектриков, не допускающих высокотемпературную обработку, которая может приводить к деградации их свойств из-за взаимодействия с материалом затвора. Благодаря применению такой технологии достигнута возможность изготовления КМОП-приборов с TiN-затвором и подза- творным нитрированным окислом толщиной 1,5-2,5 нм [208]. В работе [207] в качестве подзатворного диэлектрика использовали Рис- 6.141. Этапы формирования двухслойную структуру «нитрированный оки- металлического затвора методом сел (1,5 нм) - Та205 (5,5 нм)». В обеих работах Damascene gate process отмечается, что технология с удаляемым затвором не вызывает заметного роста туннельного тока затвора. В приведенной на рис. 6.141 последовательности операций формирование затвора заданного размера обеспечивается не реактивно-ионным травлением, а химико-механической планаризациеи слоев металла и подзатворного окисла [209, 210, 211]. Эта технология формирования затворов МОПТ получила название «технологии инкрустации» (Damascene gate process). При ее применении исключается влияние высокотемпературного отжига и плазменного травления, что облегчает использование подзатворного диэлектрика с высокой диэлектрической проницаемостью. Однако при использовании технологии Damascene gate process необходимо учитывать влияние режимов нанесения и взаимодействия металлических слоев с подзатворным диэлектриком [212—215]. В структуре затвора W (50—150 HM)/TiN (5—50 нм) более эффективно используются слои Ti, полученные CVD-методом [208], хотя по характеристикам эти слои уступают слоям Ti (PVD) из-за высокого содержания привносимых примесей. Характеристики МОПТ с затворами W-TiN можно улучшить нитрированием окисла методом «отдаленной плазмы» благодаря созданию барьера, предот- Удаляемый затвор .^ Si* Si02 STI rV^Orn^ CrL^^A CMP ж цЛшшДч.^ рш&а! Диэлектрик ^..л.1...\^" Щ tS Канавка для затвора Ш^^Ш Подзатворный диэлектрик Металл затвора (SI02 илиТа^} у(\Л/или А!) ! Ш Ml ■.'■.■■.'■■.■■.-:-:\ =7-ТС= СМР -JS29U -J^ijPL- ?///) гУ/Z/ .-.шш.- -4Щ!&- - -«чрь- Ш\ 1 щ
SfSSO Глава 6. Формирование затвора субмикронных МОПТ О 5 10 15 2025303540 Физическая толщина окисла (А) Рис. 6.142. Расчетные зависимости ширины области поликремниевого затвора носителями и эффективной шириной окисла от толшины подзатворного окисла. При масштабировании напряжения питания и толщины окисла Тох ширина области обеднения остается неизменной вращающего перенос примесей из слоя TiN в подзатворный окисел. Приемлемые характеристики МОПТ с затвором W(CVD)/ TiN(CVD) и нитрированным подзатворным Si02 можно получить при отсутствии высокотемпературного отжига. Поэтому использование TiN(CVD) дает удовлетворительные результаты в технологии Damascene gate process [208], которая предъявляет высокие требования к конформности осажденных слоев. 45 ^ 40 ^ Z w 36 ^ —» к зо ■ <и 25 X 520 8й 10 5 0 1 Ч — Vdd = 1,5В \ —»— Vdd-масштабируется с Тох \"ч X. • Р-МОП :Ng = 6 • 10,9 см -3 *•.. x*J--. ifv (рассчитано из этих данных) ^4^*W * ^-^^i__ . □ N-МОП : Ng = 1 • 102W см 3 OT^^-Q (рассчитано из этих данных) Э 15 20 25 30 36 41 D Физическая толщина окисла (А) 6.7.3. Сравнение МОПТ с поликремниевыми и металлическими midgap-затворами Как отмечено ранее, при масштабировании МОПТ с металлическим midgap-затвором снижение порогового напряжения достигается благодаря использованию скрытого канала. Емкость /?-я-перехода «скрытый канал- подложка» является принципиально неустранимым фактором, ограничивающим улучшение ко- роткоканальных характеристик МОПТ при масштабировании, го затвора носителями от физической толщины Масштабирование МОПТ с поли- подзатворного окисла Гох Si-затвором и индуцированным каналом также ограничено из-за эффекта обеднения затвора носителями в режиме сильной инверсии. На рис. 6.142 приведены результаты моделирования эффекта обеднения поликремниевого затвора в диапазоне толщины подзатворного окисла 10—40 нм [217]. Концентрация активированных атомов примеси в затворе на границе с окислом 7Vg равна 1 • 1020 см3, т.е. соответствует величине, которая обычно достигается в затворе я+-типа. Как видно, с уменьшением физической толщины подзатворного окисла, ширина области обеднения поликремниевого затвора возрастает от 5—10 до 30—50 А. В том случае, когда напряжение питания VDD при масштабировании снижается пропорционально Тох [218], ширина области обеднения затвора остается неизменной. Однако эффект обеднения, выраженный через отношение емкости поли-Si-затвора к емкости металлического затвора (Cpo]y/Cmetal), будет расти, так как Тох при масштабировании уменьшается. Экс- Рис. 6.143. Экспериментальные и расчетные зависимости степени обеднения поликремниево-
6.7. Металлические затворы 551 периментальные данные, приведенные на рис. 6.143, указывают на то, что эффект обеднения наиболее сильно проявляется в /?-МОПТ. Кроме того, возможность повышения концентрации бора в затворе /ьМОПТ ограничена необходимостью предотвратить его диффузию через окисел в область канала. Для ослабления эффекта обеднения, как известно, используют SiGe- затворы (с целью повышения степени активации бора) или затворы на основе мелкозернистого поликремния с колонча- 90 , 80 70 ^ во °< до 1 40 н зо 20 10 - Тох= 15Х vdd=iB -О- T0x,eff -•--Обеднение о ' ■■ ■■■" — 1ЕИ8 1Е+19 1Е+20 Концентрация (см3) ► 70 g 40 <u S 40 с* 90 £ т о ,ао 10 1Е+21 Jj 7009 i«oo S 400 и soof зоо k о a 100 N-МОП, Nge [MO^l-lO19]] - 10°/«T ♦Экспериментальный Р-МОП Lc,eff ~ 60нм V =1,5B Tox =24& р-МОП, Ng€[6-1019,M0,9| Рис. 6.144. Взаимосвязь между Гох и степенью обеднения затвора носителями в зависимости от концентрации примеси в поликремниевом затворе (по результатам приборно-технологического моделирования с использованием эксперимен- той структурой (чтобы повысить тальных данных рис. 6.143) коэффициент диффузии бора). В результате концентрация активированных атомов бора на границе затвора с окислом достигает 6 • 1019 см3, а эффект обеднения в /ьМОПТ с толщиной подзатвор- ного окисла 2,3 нм снижается до 20%. Взаимосвязь между степенью обеднения, эффективной толщиной подзатворного окисла и концентрацией активированных атомов примеси на границе затвора с окислом, полученная по результатам моделирования МОПТ с длиной затвора 0,1 мкм (Тох = 15 A, VDD = 1 В), приведена на рис. 6.144 [217]. Как видно, степень обеднения затвора для я-МОПТ с граничной концентрацией активированной примеси N =\ • 1020 см3 составляет 20%, а для р-МОТП с Nc = 6 • 1019 см3 достигает 30 %, при этом эффективная толщина подзатворного окисла равна 21А и 23 А, соответственно. На рис. 6.145 представлена зависимость тока стока /dsat для я-МОПТ и р-МОПТ от степени обеднения затвора. Можно видеть, что снижение Id sat из-за эффекта обеднения (относительно идеального случая Ng = 1 • 1021 см3) невелико и составляет 10 и 15%, соответственно. Аналогично и величина сдвига порогового напряжения A Vt(Lg) и DIBL-эффект A Vt( Vd) не превышают 20% для я-МОПТ и 30% для/7-МОПТ (рис. 6.146). Следует отметить, что степень обеднения затвора определялась с использованием МОП-конденсаторов большой площади. Реаль- 10 20 30 40 50 вО Обеднение затвора (%) Рис. 6.145. Зависимость тока стока /d>sat (/off = 1 нА/ мкм) от степени обеднения поликремниевых затворов носителями, полученные по результатам измерений и приборно-технического моделирования
552 Глава 6. Формирование затвора субмикронных МОПТ оз 02 v^bT^^^ ^-s 0-1 Ado--*'■■"- -0.1 4)2 -0.3 -20°А 15% -25% -30% v ^P'*%,^*h^. DIBL1 Р-МОП, Ng€[6-1019,l-1019l see* 10 20 30 40 50 вО Обеднение затвора (%) Рис. 6.146. Расчетные зависимости сдвига порогового напряжения AV{(Lg) (SCE) и DIBL- эффекта от степени обедненияполикремниевых затворов носителями и в режиме инверсии ная величина степени обеднения затвора в транзисторе значительно меньше, так как напряженность поперечного электрического поля в затворе МОПТ уменьшается в направлении к стоку, вследствие чего влияние эффекта обеднения на характеристики МОПТ с затвором поли-Si в действительности слабее, чем представлено на рисунках. Сравнение короткоканальных и подпороговых характеристик МОПТ с поли-Si и midgap-затвором (рис. 6.147, 6.148) показывает, что даже при использовании скрытого S Si* обеднение=0% Si* \ обедне11ис=2^% а) \jrf ^ Метал 1. 20н 2. ЗОн 3.40iiiv 4. 50ш Vd=lB Т0Х=15А Xj=50hiv л,Хг: Л 1 i 1 Lc,>M) 0 0.05 -S.1 -0.15 -02 -0 25 -03 Si* / обсднение=30% Si* / обеднеиие=0% б) R AU Металл, Vd=lB Т0Х=15А К j=50iim Хг: ГО\ ^ 1.20нм Uvb 2. ЗОнм ТОЛ 3.40нм ГОЛА 4.50нм ке<т(нм) Рис. 6.147. Зависимости Vt(Lc ff), полученные приборно-технологическим моделированием для я-МОПТ (а) и/ьМОПТ (б) с поликремниевым и металлическим затворами. Значения Lceff откорректированы, исходя из условия равенства длинноканальных пороговых напряжений Vtt]ong. Использование скрытого канала приводит к значительному сдвигу порогового напряжении при уменьшении Lc eff даже при толщине канала (20 нм) Металл, Хг(нм), ^„(мкА/мкм) 1. 20, 990 2. 30, 1080 3.40,1130 4. 50, 1190 Si*, обеднение=20% 1оп=810мкА/мкм Si*, обеднсние=0% 1оп= 790мкА/мкм 1Б02 16 03 Щ 1Е-04 S 1Б05 ^ 1Б06 ^з 1Б*°7 1Е-08 16-09 1E-W б) Металл, Хг(нм), ^„(мкА/мкм): ■ 1.20,470 2. 30, 530 3. 40, 560 4. 50, 600 Si*, обеднение=30% 1оп= 320мкА/мкм Si*, обедненис=0% Iftn= ЗООмкА/мкм Lc,eff Г0Х=15А . \ =60нм Xj=50hm|] >*-<х— 4 V(B) V(B) Рис. 6.148. Зависимости Id(Vg), полученные приборно-технологическим моделированием для п- (а) ир-МОПТ {б) Lceff=60 нм, Гох=1,5нм, Гох=50нм. Подпороговый ток МОПТ с индуцированным каналом и металлическим затвором значительно выше, чему МОПТ с поли-81-затвором даже при степени обеднения затвора носителями (20%, 30%)
6.7. Металлические затворы 5 5 3 j| TiN а) Л SiO р-тип Si (100) Ti Подзатворный диэлектрик RTCVD Si3N4 n-карман ЮООА n+Si* канала малой толщины (dc = 20 нм) сдвиг порогового напряжения и ток утечки закрытого МОПТ с металлическим затвором больше, чем для МОПТ с индуцированным каналом и поли-Бьзатвором. Для реальных значений толщины скрытого канала dc = 30—50 нм ухудшение характеристик МОПТ с металлическим затвором (Lg = 0,1 мкм) еще значительнее. Использование структуры канала с pocket-областями не изменяет соотношение коротко канальных характеристик МОПТ с металлическим и поли-Si- затвором [217]. Таким образом, при уменьшении толщины подзатворного окисла вплоть до 15 А, эффект обеднения поли-Si-затвора не является непреодолимым препятствием для изготовления приборов с длиной затвора Lg = 0,1 мкм. Гораздо важнее в этом случае факторы, связанные с проникновением бора через подзатворный окисел в область канала. Применение металлического midgap-затвора для МОПТ становится оправданным также, когда необходимо использовать подзатворные диэлектрики с высокой диэлектрической проницаемостью. При этом отмечается, что технологический процесс КМОП-приборов (Lg = 0,1 мкм) с использованием подзатвор- ных диэлектриков с большой диэлектрической проницаемостью значительно сложней, чем технология изготовления приборов с поли-Si-затвором и мерами, обеспечивающими снижение степени обеднения и уменьшение проникновения бора в область канала [217]. Рис. 6.149. Структура КМОП-приборов с металлическими заторами с различной работой выхода (Ti и Мо): а) после травления слоев TiN—Ti перед нанесением Мо и TiN; б) после фотолитографии и травления затворных областей 6.7.4. Использование металлов для затворов с низким значением Vt На рис. 6.149 приведен один из вариантов структуры металлического затвора КМОПТ с индуцированным каналом [223]. Учитывая сложность технологии изготовления таких приборов, особое внимание уделяется методам управления величиной работы выхода металлического слоя в локальных областях кристалла. Наиболее совместимым со стандартной технологией является метод имплантации ионов азота в слой металла с использованием фоторезистовой маски (рис. 6.150) [222]. Нанесение слоев нитрида титана (TiN) проводится реактивным распылением (PVD или CVD). Исследование слоев TiN, нанесенных PVD-методом, показали, что при увеличении температуры отжига «-МОП-структуры TiN-Si02- p-Si от 700°С до 850°С (30 с) напряжение плоских зон VFB возрастает от -0,75 В до -0,6 В, что объясняется изменением текстуры слоя TiN и, как следствие, изменением работы выхода. Также обнаружено незначительное изменение толщины подзатворного окис-
Mo 5 4 Глава 6. Формирование затвора субмикронных МОПТ N NN N \ 1 \ \ Фоторезист ;■.;,:.■.:.:.■ :::-:: г: " ::-:~х .: ;.::;.:•::■ :,^Ш'Л-- •■■"■У-. ..:.':•. ', •'■'•-.■ .. ... SiON N-МОП " ® :;.:*?:. v^'i ;> №^т™ЖШйёШ,ы'' SiON N-МОП ( } SiON Р-МОП itNlbiiUii)iLi№i|iir SiON Р-МОП ^ f ^ Карман N-МОП : Ш:> :(ЗШ)' ^ -^ Карман^ Р-МОП TiNx (отн. единицы) 0.81 0.86 \$ = 1,0мкмгJA = 2j5hmm N-Mpnj ^* I р-могн 50 100 N2/[N2+Ar](%) Рис. 6.150. Этапы изготовления КМОП- приборов с металлическим затвором W—TiN. Изменение работы выхода затвора я-МОПТ достигается ионной имплантацией азота Рис. 6.151. Изменение порогового напряжения (А^ п- и/?-МОПТ с металлическим затвором W-TiN относительно порогового напряжения МОПТ с поли- Si-затвором при изменении содержания азота в слое TiNx. Толщина подзатворного диэлектрика SiON — 2,5 нм ла Тох от 3,9 до 4,05 нм, предположительно связанное с химической реакцией TiN и Si02. Отжиг/7-МОГТ структуры TiN—Si02-«-Si приводит к более заметному изменению напряжения плоских зон VFB (от 0,3 В до 0,7 В) и толщины подзатворного окисла (от 3,7 нм до 4,2 нм). Более значительный рост Тох обусловлен диффузией фтора к границе раздела Si-Si02 во время активационного отжига после имплантации ионов BF2, когда атомы фтора замещают кислород в Si-O-связях, а высвободившийся кислород реагирует с Si, что приводит к росту окисла (рис. 6.149). Во время отжига структуры TiN(200 нм) — Si02 (4 нм) — Si при температуре выше 850°С в слое TiN возникают механические напряжения, релаксирующие при рекристаллизации. Вследствие термической агломерации возрастает шероховатость поверхности и увеличивается ps слоя TiN (с 7 Ом/п до 15-18 Ом/п). Наблюдается также значительный рост тока затвора до 10~8 A (Z, = 1 мкм, Wg = 10 мкм; V = 2,5 В). Агломерация и сопутствующие ей эффекты значительно усиливаются с уменьшением длины затвора. Отмечается также, что при наличии следов кислорода в атмосфере отжига, а также вследствие взаимодействия TiN с Si02 при температуре выше 600°С, возможно образование межфазового слоя TiNx. Помимо увеличения ps затвора, это приводит к изменению напря- Рис. 6.152. Результаты моделирования распределе- жения плоских зон KFB [214]. ния концентрации атомов азота в структуре TiN/ Тем не менее, сообщается, что Si02/Si при толщине слоя TiN 10 нм (а) и 20 нм (б)) ВОЗможно в стандартном техно- fliSi - подл: 0 10 20 30 40 50 Глубина (нм) 10 20 30 40 50 Глубина (нм)
6.7. Металлические затворы 555" TiNx (отн. единицы) 0.85 0.87 Доза N (см2) 1<Г Доза N (см2) Рис. 6.153. Зависимость изменения порогового напряжения и подпорогового 5-фактора от дозы ионной имплантации азота (Е= 5 КэВ) в слой TiN по отношению к значениям AVt и -5-фактора без ионной имплантации логическом процессе изготовление КМОП-приборов с длиной затворов менее 0,1 мкм [214, 216]. Затворы на основе титана. Работа выхода нитрида титана зависит от концентрации азота в металлическом слое. При нанесении нитрида титана реактивным распылением изменение работы выхода TiN можно осуществлять, регулируя состав газовой среды (N2/Ar) в камере (рис. 6.151). Для изменения работы выхода в локальных областях ионную имплантацию азота проводят в слой нитрида титана, нанесенный при 50%-ном содержании азота в камере распыления. Распределение концентрации имплантированных атомов азота в слое TiN, под затворном окисле и кремниевой подложке приведено на рис. 6.152 (Е= 5 кэВ, D = 2,5 • 1015 — 1 • 1016 см2). Как видно, концентрация атомов азота в подзатворном окисле при толщине слоя TiN — 10 нм более чем на порядок выше, чем в случае толщины 20 нм. На рис. 6.153 приведены зависимости подпорогового ^-фактора и сдвига порогового напряжения от величины дозы имплантации азота для я- и р-МОИТ с различной толщиной слоя TiN. При толщине слоя TiN 10 нм подпороговый 5-фактор и сдвиг Vt быстро увеличиваются с повышением дозы имплантации. Это объясняется высокой плотностью положительного заряда, создаваемого ионной имплантацией азота в подзатворном окисле при толщине слоя TiN — 10 нм. При слое в 20 нм сдвиг порогового напряжения я-МОПТ при увеличении дозы имплантации азота легко контролируется, а подпороговый 5-фактор имеет величину ниже исходного значения. Крутизна я-МОПТ не изменяется по отношению к крутизне р-МОПТ, затворный слой которого не подвергался ионной имплантации азота. С целью снижения порогового напряжения МОПТ с TiN- затвором (с индуцированным каналом) используют ретроградное распределение примеси в области канала с низкой поверхностной концентрацией. Передаточные характеристики Id(Vg) для /ьМОПТ (без ионной имплантации азота в затвор) и для я-МОПТ (с имплантацией и без имплантации) имеют вид, представленный на рис. 6.154. Сочетание ретроградного распределения примеси в области канала с имплантацией азота в затворный слой TiN обеспечивает получение низкого порогового напряжения я-МОПТ [222].
556 Глава 6. Формирование затвора субмикронных МОПТ кГ* ю-4 ^ю-5 £ | ю-6 £«■* ■о "* 10"* л~-9 10 ю-10 |-Т~Г- г г Г г г i\^r=bU и 1,5В "; ■*^<Ss^ Lg = 0,3мкм ^^*i Без ион. импл. \ 1 UP] iff* 11 i hi К I • 1 1 Бет ион. импл^ \ \ //1 ! 3 \WK уД / / *С ион. импл. • /Ту/ 2,5 1015см"2: /А А/ 1 Р-МОП // М\ N-МОП: -1.5 » .х/.. и;д. i -1.0-0.5 0.0 0.5 1.0 1.5 Vg(B) Нанесение слоев вольфрама осуществляется CVD-методом с использованием гексакарбонила вольфрама W(CO)6. Применение гексафторида вольфрама WF6 недопустимо, так как фтор приводит к снижению надежности подзатворно- го окисла после активационного отжига сток-истоковых областей. Допустимый диапазон температуры осаждения 450- 600°С. При температуре ниже 450°С не обеспечивается адгезия слоя вольфрама к окислу. При температуре выше 600°С наблюдается значительный рост тока затво- Рис. 6.154. Переходные характеристи- Ра МОП-структуры. Сформированный в ки п- и /ьМОПТ с затвором W/TiN и указанном температурном диапазоне слой слаболегированной областью канала с вольфрама имел удовлетворительную ад- ионной имплантацией азота в слой TiN гезию. Однако исследования показали, и без имплантации что плотность поверхностных состояний Nn на границе Si02-Si значительно превышает допустимый уровень и после отжига в форминг-газе (10% Н2 в N2) достигает значений более 5 • 10й см2 эВ1. Высокая плотность поверхностных состояний Nit объясняется низкой растворимостью и низким коэффициентом диффузии атомарного водорода в вольфраме, взаимодействие которого с дефектами границы раздела приводит к снижению Niv Для снижения плотности поверхностных состояний предложено проводить обработку МОП-структур в атомарном водороде, создаваемом в СВЧ-плазме при температуре подложки 350°С. Другой метод снижения Nit, заключается в ионной имплантации водорода в слои вольфрама (D = 1 • 1014 см2, Е= 5—10 кэВ) с последующим отжигом в форминг-газе. Благодаря такой обработке плотность поверхностных состояний снижалась до (3,5±10) • 1010 см2 • эВ1 [212, 213]. Нанесение слоев вольфрама для формирования затворов МОПТ методом ионного распыления не практикуется из-за деградации МОП-структуры вследствие влияния заряженных высокоэнергетичных частиц на характеристики подзатворного окисла. Затворы на основе Мо [222, 225]. Ионная имплантация азота в затворный слой молибдена позволяет снизить работу выхода до величины, которая требуется для я-МОПТ с индуцированным каналом. В работе [223] молибденовый затвор имел толщину 650 А. Имплантацию азота осуществляли с энергией ионов 15 и 29 кэВ (D = 51015см2), при этом длина проекции пробега ионов составляла 205 и 360 А, соответственно. После удаления фоторезиста на поверхность слоя молибдена осаждали защитный слой поликремния я+-типа, а затем проводили фотолитографию затворов п- и/ьМОПТ. Анализ приборов показал, что изменение работы выхода затворного слоя молибдена, имплантированного азотом, достаточно для изготовления я-МОПТ с индуцированным затвором (табл. 6.12). Нанесение слоев тантала [225—229]. Температура, при которой начинается взаимодействие тантала и Si02, равна 700°С. Поэтому нанесение слоев тантала проводят распылением, так как температура процесса CVD-нанесения тантала превышает 700°С. Тантал имеет наиболее удачное сочетание характеристик, не-
обходимых для использования в качестве материала затвора. Тантал слабо взаимодействует с Si02 при температуре вплоть до 700°С, устойчив к воздействию химических реактивов, используемых в технологии изготовления КМОП- приборов, имеет хорошие барьерные свойства против диффузии меди. Тем не менее, рекомендуемая температура активационного отжига сток-истоковых областей не должна превышать 500°С. С целью исключения повышения тока утечки /?-я-переходов сток-истоковых областей с постимплантационным отжигом предложено имплантацию примесей проводить с использованием «ультрачистого» имплантера непосредственно в кремний, без экранирующего слоя Si02, обычно применяемого для предотвращения загрязнения кремниевой подложки примесями металлов. Минимальная температура активационного отжига 450°С, при этом обеспечены низкий ток утечки /?-я-перехода и низкое ps имплантированных слоев (psn+=156 Ом/п, psp+=353 Ом/п). Воздействие плазмы на подзатвор- ный окисел в процессе нанесения тантала оказывает значительное влияние на напряжение пробоя окисла и ток затвора. Существенное улучшение характеристик МОП-структур с танталовым затвором достигнуто при использовании процесса распыления в плазме ксенона. ЛИТЕРАТУРА 1. K.A.Jenkins et al., «Identification of Gate Electrode Discontinuities in Submicron CMOS Technologies, and Effect on Circuit Performance», IEEE Trans. Electron Dev., v.43, N.5, p.759, 1996. 2. T.Fujii et al., «Dual(n+/p+) polycide gate technology using Si-rich WSix to exterminate lateral dopant diffusion», Symp.VLSI Tech Dig. of Technical Papers, pp.117—118, 1994. 3. H.Koike et al., «Dual polycide gate and dual buried contact technologies achieving a 0.4- \xm nMOS/pMOS spacing for a 7.65-um full-CMOS SRAM cell», in Tech.Dig., Electron Devices Mtg., pp.855-858, 1994. 4. H.-H.Tseng et al., «Fluorine Diffusion on a Polysilicon Grain Boundary Network in Relation to Boron Penetration from P+ Gates», IEEE Electron Device Letters, v. 13, N.l, p. 14., January, 1992. 5. F.K.Baker et al., «The influence of fluorine on threshold voltage instabilities in P+ polysilicon gated P-channel MOSFET's», IEDM Tech. Dig., p.443, 1989. 6. J.M.Sung et al., «Fluorine effect on boron diffusion of P+ polysilicon gated PMOS devices», IEDM Tech. Dig., p.447, 1989», 7. H.-H.Tseng et al., «The effect of silicon gate microstructure and oxide process on threshold voltage instabilities in BF2 implanted P+ gate P-channel MOSFETs», Dig. Int. Symp.VLSI Technol.,p.lll, 1990. 8. C.Y.Wong et al., «Ambient and dopant effects on boron diffusion in oxides», Appl. Phys. Lett.,v.48,p.l658., 1986. 9. C.-Y.Lu et al., «Anomalous C-V characteristics of implanted poly MOS structure in n+/p+ dual-gate CMOS technology», IEEE Electron Device Letters, 10, p.192-194, 1989. 10. P.Habas et el., «Investigation of the physical modeling of the gate-depletion effect», IEEE Trans. Electron Devices , 39, p. 1496, 1992. 11. C.-L.Huang et.al., «Measurement and modeling of MOSFET I-V characteristics with polysilicon depletion effect», IEEE Trans. Electron Devices , v.39, pp.2330—2337, 1993. 12. N.D.Arora et al., «Modeling the Polysilicon Depletion Effect and Its Impact on Submicrometer CMOS Circuit Performance», IEEE Trans. Electron Devices , v.42, N.5, pp.935, May 1995.
558 Глава 6. Формирование затвора субмикронных МОПТ 13. R.Rios et al., «An analytic polysilicon depletion effect model for MOSFETs», IEEE Electron Device Letters, 15, pp.129-131, 1994. 14. S.Shimizu et al., «Gate Electrode Engineering by Control of Grain Growth for High Performance and High Reliable 0.18 мш Dual Gate CMOS», Symp.VLSI Tech Dig. of Technical Papers, pp.107, 1997. 15. H.-H.Tseng et al., «The Effect of Silicon Gate Microstructure and Gate Oxide Process on Threshold Voltage Instabilities in p+-Gate p-Channel MOSFET's with Fluorine Incorporation», IEEE Trans. Electron Devices , v.39, N.7, p. 1687, July 1992. 16. C.Salm et al., «Diffusion and electrical properties of boron and arcenic doped poly-Si and poly-Sij.xGex (x Similar to 0,3) as gate material for sub-0,25 urn complementary metal oxide Semiconductor applications», J.Electrochem. Soc, v.144, pp.3665—3673, 1997. 17. T.Murakami et al., «Application of nitrogen implantation to ULS1», Nuclear Instruments and Methods in Physics Research В 121, p.257-261, 1997. 18. A.Yasuoka et al., «The Effects on Metal Oxide Semiconductor Field Effect Transistor Properties of Nitrogen Implantation into p+ Polysilicon Gate», Jpn. J. Appl. Phys., v.36, part 1,N.2, February 1997. 19. Z.Zhou, «Boron Penetration In Dual Gate Process Technology», Semiconductor International, 89, January 1998. 20. T.Kuroi et al., «The Impact of Nitrogen Implantation into Highly Doped Polysilicon Gates for Highly Reliable and High-Performance Sub-Quarter-Micron DualGate Complementary Metal Oxide Semiconductor», Jpn. J. Appl. Phys., v.34, part 1, N.28, p.771, February 1995. 21. S.Nakayama et al., «The Effects of Nitrogen in a p+ Polysilicon Gate on Boron Penetration Through the Gate Oxide», J. Electrochem. Soc, v.144, N.12, p.4326, December 1997. 22. B.J.Cho et al., «Impact of Nitrogen Implantation into Polysilicon Followed by Drive-in Process on Gate Oxide Integrity», J. Electrochem. Soc, v.146, N.ll, p.4259, 1999. 23. Y.H.Lin et al., «Nitridization of the Stacked Poly-Si Gate to Suppress the Boron Penetration in pMOS», IEEE Trans. Electron Devices , v.43, N.7, p. 1161, July 1996. 24. L.Shehng et al., «Argon Ion-Implantation on Polysilicon or Amorphous-Silicon for Boron Penetration Suppression in p+ pMOSFET», IEEE Trans. Electron Devices , v.45, N.8, p. 1737, August 1998. 25. Y.H.Kim et al., «Properties of the p+ poly-Si Gate Fabricated Using the As Preamorphization Method», Jpn. J. Appl. Phys., v.33, part 1, N.54, p.2468, May 1994. 26. Y.Okazaki et al., «Sub-l/4-Mm Dual-Gate CMOS Technology Using In-Situ Doped Polysilicon for nMOS and pMOS Gates», IEEE Trans. Electron Devices , v.42, N.9, p. 1583, September 1995. 27. T.Morimoto et al., «Influence of reaction products on Si gate etching with a photoresist mask in HBr/02 and Cl2/02 electron cyclotron resonance plasma», Jpn. J. Appl. Phys., v.32, p.1253, 1993. 28. M.Takahashi et al., «Anomalous resistance in 0.1 цт-region Ti-silicided poly Si gate», Extended Abstract Int.Conf. Solid State Devices and Materials, p.458, 1993. 29. E.Kinsborn et al., «Crystallization of amorphous silicon films during low pressure chemical vapor deposition», Appl. Phys. Lett., v.42, N.9, p.835., 1983. 30. K. Suzuki et al., «Thermal budget for Fabricating a Dual Gate Deeh-Submicron CMOS with Thin Gate Oxide», Jpn.J.Appl. Phys., v.35, pp.1496-1502, 1996. 31. B.Swaminathan et al., Appl. Phys. Lett., v.40, p.795, 1982. 32. A.D.Buonaquisti et al., Thin Solid Films, v. 100, p.235, 1983. 33. TAoyama et al., J. Appl. Phys., v.77, p.417, 1995. 34. S.M.Sze, VLSI Technology (McGraw Hill, New York, 1984) 2nd ed. 35. K.Suzuki et al., J. Electrochem. Soc, v.138, p.1794, 1991. 36. K.Suzuki et al., J. Electrochem. Soc, v. 140, p.2960, 1993. 37. TAoyama et al., «Flat-band Voltage Shifts in P-MOS Devices Caused by Carrier Activation in P+Polycrystal Silicon and Boron Penetration», Technical Digest IEDM-97, p.627, 1997.
38. A.T.Fiory et al., «Spike annealing of boron-implanted polycrystalline-silicon on thin Si02», Appl. Phys. Lett., v.78, N.8, p.1071, February 2001. 39. R.B.Fair, IEEE Electron Device Letters, 17, p.242, 1996. 40. R.B.Fair, IEEE Electron Device Letters, 20, p.466, 1999. 41. T.Mizuno et al., «Experimental Study of Threshold Voltage Fluctuation Due to Statistical Variation of Channel Dopant Number in MOSFET,s», IEEE Trans on Electron Devices, v.41, N.l 1, pp.2216-2221, 1994. 42. M.J.M.Pelgrom et al., «Matching Properties of MOS Transistors», IEEE Journal of Solid- State Circuits, v.25, N.5, pp.1433-1440, October 1989. 43. PAStolk et al., «The effects of Statistical Dopant Fluctuations on MOS Device Performance», Technical Digest IEDM, pp.627-630, 1996. 44. H.P.Tuinhout et al., «Effects of Gate Depeletion and Boron Penetration on Matching of Deep Submicron CMOS Transistors», Technical Digest IEDM-97, p.631. 45. M.Iwase et al., «Effects of Depleted Poly-Si Gate on MOSFET Performance», Ext. Abstr. 22nd Int.Conf. on Solid State Devices and Materials, pp.271-274, 1990. 46. T.Ohguro, «Salicide tehnology for advanced CMOS devices», Semicond. Technol.Symp., Korea, p.II-57, February, 1997. 47. Ш.Мюрарка, «Силициды для СБИС», перевод с анг., М., «Мир», 1986. 48. Валиев КА., Орликовский АА., Васильев А.Г., Лукичев В.Ф., «Проблемы создания высо-конадежныж многоуровневых соединений СБИС», «Микроэлектроника», N.2, с.116, 1990. 49. K.Goto et al., «Optimization of Salicide Processes for sub-0,1 jam CMOS Devices», Symp. on VLSI Technology Dig. of Technical Papers, p. 180, 2000. 50. H.Kawaguchi et al., «A Robust 0.15 Mm CMOS Technology with CoSi2 Salicide and Shallow Trench Isolation», Symp. on VLSI Technology Dig. of Technical Papers, p.l 19, 1994. 51. R.Beyers etal., J. Appl. Phys., v.61,p.5110, 1987. 52. VProbst et al., J. Appl. Phys., v.70, p.693, 1991. 53. A.Steegen et al., «Silicide and Shallow Trench Isolation line with dependent stress induced junction leakage», Symp. on VLSI Technology Dig. of Technical Papers, p. 180, 2000. 54. A. Steegen et al., «Silicide induced pattern density and orientation dependent transconductance in MOS transistors», IEDM, p.497,1999. 55. P.Fornara et al., «2D Numeral simulation oftitanium silicide growth», Proc. Essderc, p. 151, 1993. 56. Y.Koh, «Self-aligned TiSi2 for bipolar applications», J. Vac. Sci. Technol., p. 1715, November, 1985. 57. C.Y.Ting et al., J. Electrochem. Soc, v.131, p.2934, 1984. 58. N.S.Parekh et al., «Characterization and Implementation of Self-Aligned TiSi2 in Submicrometer CMOS Technology», IEEE Trans. Electron Devices , v.38, N.l, p.88, January 1991. 59. Z.Ma et al., «Effect of dimension scaling on the nucleation of C54 TiSi2», Thin Solid Films, v.253, pp.451-455, 1994. 60. Z.Ma et al., «Kinetic mechanisms of the C49-to-C54 polymorphic transformation in titanium disilicide thin-films: A microstructure-scaled nucleation-mode transistion», Phys. Rev. B.,v.49,pp.l3501-13511, 1994. 61. J.A.Kittl et al., «Kinetics and nucleation model of the C49 to C54 phase transformation in TiSi2 thin-films on deep-sub-micron n+ type polycrystalline silicon lines», Appl. Phys.Lett., v.67,pp.2308-2310, 1995. 62. J.F.DiGregorio et al., «Small Area Versus Narrow Line Width Effects on the C49 to C54 Transformation in TiSi2», IEEE Trans. Electron Devices , v.47, N.2, p.88, February 2000. 63. G.L.Miles et al.,«TiSi2 phase transformation characterization on narrow devices», Thin Solid Films, v.290-291, pp.469-472, 1996. 64. R.N.Wall et al., «Area dependence of the C49 to C54 phase transformation of TiSi2», Proc VMIC,pp.209-211, 1998.
1>60 Глава 6. Формирование затвора субмикронных МОПТ 65. F.Meinardi et al., «Micro-raman study of the factors limiting the TiSi2 C54 phase formation in submicron patterns », Europhys. Lett., v.44, pp.57—61, 1998. 66. J.U.Bae et al., «Effect of Pre-amorphization of polycrystalline silicon on agglomeration of TiSi2 in subquarter micron Si lines», J. of Appl. Physics., v.86, p.4943, November 1999. 67. J.B.Lasky et al., «Comparison of transformation to low-resistivity phase and agglomeration of TiSi2 and CoSi2», IEEE Trans. Electron Devices , v.38, N.2, p.262-269, 1991. 68. L.A.Clevenger et al., Mater. Res. Soc. Symp. Proc, v.402, p.257, 1996. 69. P.RAple et al., «Use of a TiN Cap to Attain Low Sheet Resistance for Scaled TiSi2 on Sub-Half-Micrometer Polysilicon Lines», IEEE Electron Device Letters, 17, N.ll, p.506, November 1996. 70. H.Kotaki et al., «Novel Oxygen Free Titanium Silicidation (OFS) Processing for Resistance and Thermally Stable SALICIDE (Self-Aligned Silicide) in Deep Submicron Dual Gate CMOS (Complementary Metal-Oxide-Semiconductoes)», J. Appl. Phys., v.34, Part 1, N.2B,p.776, February 1995. 71. K.Hizawa, «A Novel Clean Ti Salicide Process Using Grooved Gate Structure», Jap.J. Appl. Phys., v.38, pp.2397-2400, 1999. 72. J.Kittle et al., «A Ti Salicide Process for 0.1 jam Gate Lenght CMOS Technology», Symp. VLSI Technology, p. 14, 1996. 73. Q.Xu and C.Hu, «New Ti-SALICIDE Process Using Sb and Ge Preamorphization for Sub- 0.2 jam CMOS Technology», IEEE Trans. Electron Devices , v.45, N.9, p.2002, September 1998. 74. C.C.Tan et al., «Effect of degree of amorpization of Si on the formation of titanium silicide», J. Appl. Phys., v.91, N.5, p.2842, March 2002. 75. R.Beyers , «Titanium disilicide formation on heavily doped silicon substrates», J. Appl. Phys., v.6l, N.ll, p.5110, June 1987. 76. I. Sakai et al., «A new salicide process (PASET) for sub-half micron CMOS», Symp. on VLSI Technology Dig. p.66, 1992. 77. J.A.Kitll et al., «Novel One-Step RTP Ti Salicide Process with Low Sheet Resistance 0.06 Mm Gates and High Drive Current», Technical Digest, IEDM, p.l 11, 1997. 78. J.A.Kittl et al., «Salicides for 0.10 цт Gate Lenghths: A Comparative Study of One-Step RTP Ti with Mo Doping Ti with Pre-amorphization and Co Processes», Symp. on VLSI Technology Dig. of Technical Papers, p. 103, 1997. 79. L.Z.Wang et al., «Benefits of SiF3+ Implanted Titanium Silicides in Advanced CMOS Fabrication», Symp. on VLSI Technology Dig. of Technical Papers, p.l 18, 1998. 80. J.Y. Tsai et al., J. Appl. Phys., v.67, p.3530, 1990. 81. J.B.Lasky et al., IEEE Trans. Electron Devices , v.ED-38, p.262, 1991. 82. TOhguro et al., IEEE Trans. Electron Devices , v.ED-41, p.2305, 1994. 83. A.Mouroux et al., Appl.Phys. Lett., v.69, p.975, 1996. 84. R.W.Mann et al., Appl.Phys. Lett., v.67, p.3729, 1995. 85. S.Ohmi et al., «Effect of ultrathin Mo and MoSix layer on Ti silicide reaction», J. Appl.Phys., v.86, N.7, p.3655, October 1999. 86. S.Kal et al., «Nondestructive Analytical Tools for Characterization of Thin Titanium Silicide Films Prepared by Conventional and Direct Step Silicidation with Enhanced Transition», J. Electrochem. Soc, v. 146, N.19, p3440, 1999. 87. H.Fang et al., «Effects of Arsenic Doping on Chemical Vapor Deposition of Titanium Silicide», J. Electrochem. Soc, v.146, N.ll, p.4240, 1999. 88. T.Mogami et al., «Low-Resistance Self-Aligned Ti-Silicide Technology for Sub-Guarter Micron CMOS Devices», IEEE Trans. Electron Devices , v.43, N.6, p.932, 1996. 89. Q.Z.Hong et al., «CoSi2 With Low Diode Leakage and Low Sheet Resistance at 0.065 Mm Gate Length», Technical Digest IEDM, p. 107, 1997. 90. TOhguro et al., «0.25 jam CoSi2 salicide CMOS technology thermally stable up to l.OOOeC with high TDDB reliability», Symp. on VLSI Technology Dig. of Technical Papers, p. 101, 1997.
ЛИТЕРАТУРА 56 Г 91. H.Zheng et al., «Cobalt sputtering target and sputter deposition of Co thin films for cobalt silicide metallization», J. Vac. Sci Technol. A, v. 17, N.4, August 1999. 92. W.S.Yoo et al., «Highly Reliable, Backside Emissivity Independent Cobalt Silicide Process Using a Susceptor-Based Low Pressure Rapid Thermal Processing System», Jpn. J. Appl. Phys., v.37, Part 2, N.10B, p.L1221, October 1998. 93. W.S.Yoo et al., «Cobalt silicide processing in a susceptor-based LP-RTP system», Solid State Technology, p.125, July 1999. 94. J.U.Bae et al., «Formation of CoSi2 on Various Polycrystalline Silicon Structures and Its Effects on Thermal Stability», J. Electrochem. Soc, v. 147, N.4, p. 1551, 2000. 95. S.Pramanicketal., Appl. Phys. Lett., v.63, p. 1933, 1993. 96. G.B.Kim et al., J. Appl. Phys., v.82, p.2323, 1997. 97. T.linuma et al., «Highly Uniform Heteroepitaxy of Cobalt Silicide by Usimg Co-Ti Alloy for Sub-quarter Micron Devices», Symp. on VLSI Technology Dig. of Technical Papers, p. 188, 1998. 98. D.K.Sohn et al, «Effects ofTi-Capping on Formation and Stability of Co Silicide I. Solid Phase Reaction of Ti to Co/Si System», J. Electrochem. Soc, v. 147, N.l, p.373, 2000. 99. D.K.Sohn et al., «High Thermal Siability and Low Junction Leakage Current of Ti Capped Co Salicide and its Feasibility for High Thermal Budget CMOS Devices.», Technical Digest IEDM,p.l005, 1998. lOO.M.Tsuchiaki et al., «Intrinsic Junction Leakage Generated by Cobalt In-Diffusion during CoSi2 Formation», J. Appl. Phys., v.41, Part 1, N.48 p.2437, April 2002. 101. J.Y.Dai et al., «Formation of cobalt silicide spikes in 0.18 Mm complementary metal oxide semiconductor process», Appl. Phys. Lett., v.78, N.20 p.3091, 2001. 102. W.-T. Sun et al., «Impact of Nitrogen (N+2) Implantation into Polysilicon Gate on Thermal Stability of Cobalt Silicide Formed on Polysilicon Gate», IEEE Trans. Electron Devices , v.45, N.9, p. 1912, September 1998. 103. J.-S. Park et al., «Simultaneous Formation of Shallow Junctions and Gate Doping foe Dual Gate Structures Using Cobalt Silicide as a Dopant Source», J. Electrochem. Soc, v. 146, p.3856, Novemberl999. 104.FL. Via et al., J. Vac. Sci Technol., B, v. 16, p. 1129, 1998. 105.S. Nygren and S.Johansson, J. Appl. Phys., v.68, p. 1050, 1990. 106. Z.G.Xiao et al., 'Agglomeration of cobalt silicide films», Proc Mat. Res. Soc. Symp., v.202, p.101, 1991. 107.T.Yamazaki et al., Tech. Dig. Int. Electron Devices Meet., p.906, 1993. 108.K.Goto et al., Tech. Dig. . Int. Electron Devices Meet. , p.449, 1995. 109.D.K. Sohn et al., Appl. Phys. Lett., v.73, p.2302, 1998. llO.FHongetal., J. Electrochem. Soc, v.141, p.3480, 1994. 11 l.Z. Wang et al., J. Thin Solid Films, v.270, pp.555, 1995. 112. J.Kittle et al., «Silicides and alternation technologies for future ICs», Sol. State Technology, v.42, N.8, p.55, 1999. 113.G.B.Kim et al., J. Appl. Phys., v.85, p.1503, 1999. 114. R.T.Tung etal., Appl. Phys. Lett., v.67, p.2164, 1995. 115. G.B.Kim et al., J. Vac.Sci. Technol. B, v. 17, p. 162, 1999. 116. R.T. Tung et al., «Epitaxial silicide interfaces in microelectronics», J. Thin Solid Films, v.369, pp.233, 2000. 117.Q.Xiang et al., «Deep Sub-100 nm CMOS with Ultra Low Gate Sheet Resistance by NiSi», Symp. on VLSI Technology Dig. of Technical Papers, p.76, 2000. 118.Q.Xiang et al., «High Performance Sub-50 nm CMOS with Advanced Gate Stack», Symp. on VLSI Technology Dig. of Technical Papers, p.23, 2001. 119. YTsuchiya et al., «Electrical Properties and Solid-Phase Reactions in Ni/Si (100) Contacts», Jpn. J. Appl. Phys., v.41, part 1, N.48, p.2450, April 2002. 120. W.L. Tan et al., «Effect of titanium cap in reducing interfacial oxides in the formation of nickel silicide», J. Appl. Phys., v.91, N.5, p.2901, March 2002.
562 Глава 6. Формирование затвора субмикронных МОПТ 121.Т.Н. Hou et al., IEEE Electron Device Lett. , v.20, p.572, 1999. 122.H.Jeon et al., J. Appl.Phys., v.71, p.4269, 1992. 123.T.Ohguro et al., Ext. Abstr. SSDM, Chiba, p. 192, 1993 124.T.Ohguro et al., IEDM Tech. Dig., p.453, 1995 125. C.-J. Choi et al., «Effects of a Si02 Capping layer on the electrical Properties and Morphology of Nikcel Silicides», Jpn. J. Appl. Phys., v.41, part 1, N.4A, p. 1969, April 2002.. 126.G.G.Shahidi et al., «CMOS scaling in the 0.1-Mm, l.X-volt regime for high-performance applications», IBM J. RES. DEVELOP, v.39, N.12, p. 229, 1995. 127.H.Norstrom et al., «A refined polycide gate process with silicided diffusions for submicron MOS applications», J. Electrochem. Soc, v.136, N.3, p.805-809, 1989. 128.J.Bevk et al., «W-polycide Dual-gate Structure for Sub-1/4 micron Low-Voltage CMOS Technology», Technical Digest IEDM, p.893, 1995. 129.S. Franssila et al., «DUAL SILICIDE TECHNOLOGY : WSix POLYCIDE GATE AND SELF-ALIGNED CoSi2 SOURCED/DRAIN», Proc. Essderc, p.287, 1995. 130. C.L. Chu et al., «Measurement of lateral dopant diffusion in this silicide layers», IEEE Trans. Electron Devices , v.89, N.10, p.2333-2340, 1992. 131.C.L..Chu et al., «Characterization of lateral dopant diffusion in silicides», Technical Digest IEDM , p.245, 1990. 132. A.Kalnitsky et al., «Phosphorus in the polysilicon TiSi2 system : dopant redistribution», Proc. Essderc, 1995. 133.S.-A. Jangetal., «Effects of thermal Processes After Silicidation on the Performance of Ti Si 2/ Polysilicon Gate Device», IEEE Trans. Electron Devices , v.46, N.12, p.2353, December 1999. 134.K.Susuki et al., «Diffusion coefficient of boron in tungsten silicide», Appl. Phys. Lett., v.57, N.10p.l078, September 1990. 135.CL.Chu et al., «Technology Limitations for N+/P+ Polycide Gate CMOS due to Lateral Dopant Diffision in Silicide/Polysilicon Layers», IEEE Electron Device Letters, v. 12, N.12, p.696, 1991. 136.H.Hayashida et al., «Dopant redistribution in dual gate W-polycide CMOS and its improvements by RTA», Symp. on VLSI Technology Dig., p.29, 1989. 137 J.R.Pfiester et al., «A TiN strapped polysilicon gate cobalt silicide CMOS process», IEDM Tech. Dig., p. 241, 1990. 138.EC.Shone et al., «Modeling dopant redistribution in Si02/WSi2/Si structure», IEDM Tech. Dig.,p.534, 1986. 139.A.Berthold et al., «As and В Diffusion in TiSi2/Polysilicon Gates with Dual Workfunction Gate», Proc. Essderc, p.231, 1997. 140.VJain et al., «Improved 0.3-micron CMOS device performance due to fluorine in CVD tungsten silicide», Symp. on VLSI Technology Dig. , p.91, 1990. 141.L-C. Chen et al., «Performance and reliability enhancement for CVD tungsten polycided CMOS transistors due to fluorine incorporation in the gate oxide», IEEE Electron Device Lett.,v.l5,p.351, 1994. 142.N.Kasai et al., «Hot-carrier degradation characteristics for fluorine incorporation nMOSFET's», IEEE Trans. Electron Devices, v.37, pl426, 1990. 143.TP Ma, «Metal-oxide-semiconductor gate reliability and the role offluorine», J. Vac. Sci TechnolA,v.lO,p.705, 1992. 144.D.S.Ang et al., «A Comparison of Hot-Carrier Degradation in Tungsten Polycide Gate and Poly Gate p-MOSFET's», IEEE Trans. Electron Devices, v.45, N.4, p.895, April 1998. 145.Y.Shioya et al., «Effect offluorine in chemical-vapor-deposited tungsten silicide film on electrical breakdown of Si02 film», J. Appl.Phys., v.61, p.5102, 1987. 146. J.Wright et al., «The effect offluorine in silicon dioxide gate dielectric», IEEE Trans. Electron Devices , v.36, p.879, 1989. 147.S.L.Hsu et al., «Direct evidence of gate oxide thickness increase in tungsten polycide processes», IEEE Electron Device Lett., v.12, p.623, November 1991.
ЛИТЕРАТУРА Sbt 148. К.-С. Huang et al., «Effects of Different Tungsten Polycide on the Effective Channel Lengh and Performance of Deep Submicron CMOS Transistors», IEEE Electron Device Lett., v.20, N.l,p.36,Jan. 1999. 149.K.Ohyu et al., «Advantages of fluorine introduction in boron implanted shallow p+/n- junction formation», J. Appl. Phys., V.29, N.3, p.457, 1990. 150.S.-W. Park et al., «Effect of annealing ambient on WSix (x=2.3) sidewall deformation and contact resistance in dichlorosilane-based W-polycide gate», J.Vac. Sci. Technol.B, v. 19, N.4,p.ll86, 2001. 151. F.M. Baglin et al., J. Appl. Phys., v.54, p.1849, 1983. 152. F.M. d'Heurle et al., J. Mater. Res., v.l, p.205, 1986. 153.T.Hosoya et al., 'A Polycide Gate Electrode with a Conductive Diffusion Barrier Formed with ECR Nitrogen Plasma for Dual Gate CMOS», IEEE Trans. Electron Devices , v.42, N.12, p.2111,Desember 1995. 154.H. Koike et al., «Dual-Polycide Gate Technology Using Regrowth Amorphous-Si to Suppress Lateral Dopant Diffusion», IEEE Trans. Electron Devices , v.44, N.9, p. 1460, September 1997. 155. K. Machida et al., «Si02 planarization technology with biasing and electron resonance plasma deposition for submicron interconnections», J. Vac. Sci. Technol., v.4-B, N.4, p.818, 1986. 156.R.B. Iverson et al., «Recrystallization of amorphized polycrystalline silicon films on Si02: Temperature depndence of the crystallization parameters», J. Appl. Phys., v.62, pp. 1675- 1681, Sept. 1987. 157.CS.Yoo et al., Jap. J. Appl. Phys., v.29, pp.2535, 1990. 158.G.Giroult et al., J. Appl. Phys., v.67, pp.515, 1990. 159. J.T. Hillman et al., J. Electrochem. Soc, v. 139, p.3574, 1992. 160. S G. Telford et al., Appl. Phys. Lett., v.62, p. 176, 1993. 161. Y.W Kim et al., Mater. Res. Soc. Symp. Proc, v.355, p.491, 1995. 162. J.S. Byun et al., «Formation of High Conductivity WSix Layer and its Characterization as a Gate Electrode», J. Electrochem. Soc, v.145,N.9, p.3228, 1998. 163.J.S. Byun et al., «Low-Resistivity Noble Integrated Clustered Electrode (NICE) WSix Polycide and its Application to a DEEP Sub-Quarter Micron CMOS», Technical Digest IEDM,p.ll9, 1997. 164. J.S. Byun et al., «Characterization of the dopant effect on dichlorosilane-based tungsten silicide deposition», J. Electrochem. Soc, v. 144, pp.3572—3582, 1997. 165. S.-D. Kim et al., «Effects of the Process Variable on Sputtered TiSix Polycide Gate Electrodes for sub-0.15 urn Memory Device Application», J. Electrochem. Soc, v. 148, N.5, pp.C258, 2001. 166.Y.S.Suh et al., J. Appl. Phys., v.67, pp.515, 1990. 167. H.-S.Kim et al., «The Formation of Ti-Polycide Gate Structure with High Thermal Stability Using Chemical-Mechanical Polishing (CMP) Planarization Technology Device Letters, v.20, N.20, p.86, 1999. 168.A.Kalnitsky, «Dopants (P, As, and B) in the Polycrystalline Silicon/Titanum Silicide System:Redistribution and Activation», J. Electrochem. Soc, v.144, N.3, p.1091, 1997. 169. P. Gas et al., J. Appl. Phys, v.60, pp.1634, 1986. 170.C.L. Lu et al., IEDM, p.245, 1990. 171.T.I. Kamins, J. Appl. Phys., v.43, p.83, 1972. 172. H.Wakabayashi ety al., «An Ultra-Low Resistance and Thermally Stable W/p-n-Poly-Si Gate CMOS Technology using Si/TiN Buffer Layer», Technical Digest IEDM, p.393, 1998. 173.Y.Hiura et al., «Integration Technology of Polymetal (W/WSiN/Poly-Si) Dual Gate CMOS for 1 Gbit DRAMs and Beyond», Technical Digest IEDM, p.389, 1998. 174.M.Yoshida et al., «Low Temperature Metal-based Cell Integration Technology for Gigabit and Embedded DRAMs», Technical Digest IEDM, p.41, 1997.
564 Глава 6. Формирование затвора субмикронных МОПТ 175. FOhtake et al., «A Thin Amorphous Silicon Buffer Process for Suppression of W polymetal Gate Depletion in PMOS», Symp. on VLSI Technology Dig. of Technical Papers, p.74, 2000. 176.R.Malik et al., «W/WN/Poly gate implementation for sub-130 nm vertical cell DRAM», Symp. on VLSI Technology Dig. of Technical Papers, p.31, 2001. 177. Y.-H. Kim et al., «Characteristics of Dual Polymetal (W/WNx/Poly-Si) Gate Complementary Metal Oxide Semiconductor for 0.1 urn Dynamic Random Access Memory Technology», Jpn. J. Appl. Phys.,v.39, part 1,N.4B, p. 1969, April 2000. 178.F. Ohtake et al., «Low-Contact Resistance Poly-Metal Gate Electrode Using TiN/Thin TiSi2/Poly-Si Structure», Jpn. J. Appl. Phys.,v.38, part 1, N..4B, p.2377, April 1999. 179.S.Iwata et al., «A new Tungsten Gate Process for VLSI Applications», IEEE Trans. Electron Devices , v.ED-31, N.9, p.l 174, 1984. 180.B.H.Lee et al., «In situ Barrier Formation for High Reliable W/barrier/poly-Si-Gate Using Denudation of WNx on Polycrystalline Si», Technical Digest IEDM, p.385, 1998. 181. Y.Tanabe et al., «Diluted wet oxidation: A novel technique for ultra thin gate oxide formation», Proc. of Int. Symp. on Semi. Manufacturing Con., pp.49—52, 1997. 182.K.Ohnishi et al., «Improving gate oxide integrity (GOI) of a W/WNx/dual-poly Si stacked- gate by using Wet-Hydrogen oxidation in 0.14-Mm CMOS devices», Technical Digest IEDM, p.397, 1998 183. Y.Akasaka et al., «Low-Resistivity Poly-Metal Gate Electrode Durable for High-Temperature Processing», IEEE Trans. Electron Devices , v.41, N.ll, p.1864, November 1996. 184.K. Affolter et al., «Characterization of W-N alloys formed by sputter deposition», Mat. Res. Symp. Proc, v.47, pp.167-173, 1985. 185.H.A.Wriedt, «Nitrogen-tungsten», Binary Alloy Phase Diagrams, v.3 p.385,1998; ТВ. Massalki et al., Eds., ASM and NIST, pp.2712, 1998. 186.W.-C. Lee et al., «Investigation of poly-Si 1-xGex for Dual-Gate CMOS Technology», IEEE Electron Device Lett. , v.19, N.7, p.247, My*1998. 187.M.Cao et al., «Low pressure chemical vapor deposition of Sil xGex films on Si02», J. Electrochem. Soc, v.142, N.5, p.1566-1572, 1995. 188.T-J. King et al., 'A polycrystaline-Sil-xGex-gate technology », IEDM Tech. Dig., p.253, 1990 189.V.Z.-Q. Li et al., «Single gate o.l5 Mm CMOS devices fabricated using RTCVD in-situ boron doped Sil-xGex-gates»IEDM Tech. Dig., p.833, Dec. 1997. 190.Z.Jin et al., «Low-Temperature Annealing of Polycrystalline Sil xGex After Dopant Implantation», IEEE Trans. Electron Devices , v.44, N.ll, p. 1958, Nov. 1997. 191.YV Ponomarev et al., «High-Performance Deep SubMicron CMOS Technologies Polycrystalline-SiGe Gates», IEEE Trans. Electron Devices , v.47, N.4, p.848, April 2000. 192.Z.Wang et al., «Silicide formation and stability of Ti/SiGe and Co/SiGe», Thin Solid Films, v.270,pp.555-560,1995. 193. J.-H. Ku et al., «High Performance pMOSFETs with Ni(SixGel-x)/PolySi08Ge0 2 Gate», Symp. on VLSI Technology Dig. of Technical Papers, p.l 14, 2000. 194.Y.V Ponomarev et al., «Gate-workfunction engineering using poly-SiGe for high- performance 0.18 Mm CMOS technology», IEDM Tech. Dig., p.829, Dec. 1997. 195.S.Vallon et all., J.Vac.Sci.Technol., B15, May/June 1997. 196.C.Monget et al., «X-Ray Photoelectron Spectroscopy Analyses of Oxide-Masked Polycrystalline SiGe Features Etched in a High-Density Plasma Source», J. Electrochem. Soc.,v.l44, N.7,p.2455, 1997. 197.H.S.Rhee et al., «Ge-Redistributed Poly-Si/SiGe Stack Gate (GRPSG) for High- Performance CMOSFETs», Symp. on VLSI Technology Dig. of Technical Papers, p.61, 2001. 198.J.YW. Seto J. Appl. Phys.,v.46, p.5247, 1975. 199. G.Baccarani et al., «Transport properties of polycrystalline silicon films», J. Appl. Phys.,v.49, N.ll,p.5565, Nov., 1978.
ЛИТЕРАТУРА 565" 200.T.I. Kamins, «Polycrystalline Silicon for Integrated Circuit Applications», 2 nd ed., Kluwer Academic publishers, Boston, 1988. 201.K.Suzukietal., Jap. J. Appl. Phys.,v.34, p. 1748, 1995. 202.M.M.Mandurah et al., IEEE Trans. Electron Devices , v.ED-28, pp. 1163,1171, 1981. 203. F.A.Trumbore, «Solid solubilities of impurity elements in germanium and silicon», Bell Syst. Tech. J., v.39, pp.205-233, 1960. 204.T.J.King et al., «Electrical properties of heavily doped polycrystalline silicon-germanium films», IEEE Trans. Electron Devices , v.41, pp.228-232, 1994. 205. M.M.Mandurah et al., Appl. Phys.Lett., v.36, p.683, 1980. 206.K.T.Nishinohara et al, «Surface Channel Metal Gate Complementary MOS with Light Counter doping and Single Work Function Gate Electrode», Jpn. J. Appl. Phys., v.40, part 1, N.4B, p.2603-2606, April 2001. 207.A.Chatterjee et al., «CMOS Metal Replacement Gate Transistors using Tantalum Pentoxide Gate Insulator», Technical Digest IEDM, p.777, 1998. 208.A.Chatterjee et al., «Sub-100 nm Gate Length Metal Gate NMOS Transistors Fabricated by a Replacement Gate Process», Technical Digest IEDM, p.821, 1997. 209.K.Matsuo et al., «Damascene Metal Gate MOCFETs with Co Silicided Source/Drain and High-k Gate Dielectrics», Symp. on VLSI Technology Dig. of Technical Papers, p.70, 2000. 210.A.Yagishita et al., «High Performance Metal Gate MOSFETs Fabricated by CMP for 0.1 Mm Regime», Technical Digest IEDM, p.785, 1998. 21 l.S.Matsuda et al., «Performance Improvement of Metal Gate CMOS Technologies», Symp. on VLSI Technology Dig. of Technical Papers, p.63, 2001. 212.DA.Buchanan et al., «Fabrication of midgap metal gates compatible with ultrathin dielectrics», Appl. Phys. Lett., v.73, N.12, p. 1676, September 1998. 213.H.Shang et al., «Interface studies of tungsten gate-oxide-silicon capacitors», Appl. Phys. Lett., v.78, N.20, p.3139, May 2001. 214. M.-F.Wang et al., «Impact of thermal Stability on the Characteristics of Complementary Metal Oxide Semiconductor Transistors with TiN Metal Gate», Jpn. J. Appl. Phys., v.41, part 1, N.2A, pp.546-551, Feb. 2002. 215. H.Yang et al., «A Comparison of TiN Processes for CVD W/TiN Gate Electrode on 3 nm Gate Oxide», Technical Digest IEDM, p.825, 1997. 216.J.C.Hu et al., «Feasibility of using W/TiN as Metal Gate for Conventional 0.13 Mm CMOS Technology and Beyond», Technical Digest IEDM, p.829, 1997. 217.E.Josse and TSkotnicki, «Polysilicon gate with depletion-or-metallic gate with buried channel: what evil worse?», Technical Digest IEDM, 27—6, 1999. 218.The International Technology Roadmap for Semiconductors (2000 edition on SIA Website). 219.S.Kawamura et al., «Technology Trends and Challenges for CMOS/System LSIs for the next 10-15 years», IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE, p.467, 2002. 220. L.Peters, «Outlook on New Transistor Materials», Semicond.Internat, p.61, 2001. 221.L.Peters, «Roadmap Paves Many Paths in Front-End-Processing »Semicond. Internet., p.21, Feb., 2000. 222. PRanade et al., «Molybdenum as a gate electrode CMOS for deep-submicron technology», MRS Meeting, Spring 2000. 223.Q.Lu et al., «Dual-Metal gate Technology for Deep-Submicron CMOS Transistors», Symp. on VLSI Technology Dig. of Technical Papers, p.72, 2000. 224.H.Wakabayashi et al., 'A Novel W/TiNx Metal Gate CMOS Technology using Nitrogen- Concentration-Controlled TiNx Film», Technical Digest IEDM, 10-4, 1999. 225.Q.Lu et al., «Metal Gate Work Function Adjiustment for Future CMOS Technology», Symp. on VLSI Technology Dig. of Technical Papers, p.45, 2001.
'566 Глава 6. Формирование затвора субмикронных МОПТ 226. T.Ushiki et al., «Improvement of Gate Oxide Reliability for Tantalum-Gate MOS Deviices Using Xenon Plasma Sputtering Technology», IEEE Trans. Electron Devices, v.45, N.ll, p.2349, Nov., 1998. 227.Ushiki et al., «Reliable Tantalum-Gate FuUy-Deplete-SOI MOSFET Technology Featuring Low-Temperature Processing», IEEE Trans, Electron Devices, v.44, N.9, p. 1467, Sept. 1997. 228. Shimada et al., «Low Resistivity bcc-Ta/TaNx Metal Gate MNSFETs Having Plane Gate Structure Featuring Fully Low-Temperature Processing below 450°C», Symp. on VLSI Technical Dig. of Papers, p.67, 2001. 229. H. Shimada et al., «Tantalum-Gate Thin-Film SOI nMOS and pMOS for Low- Power Applications», IEEE Trans. Electron Devices, v. 44, N.ll, p. 1903, Nov. 1997.
ГЛАВА 7 НАДЕЖНОСТЬ СУБМИКРОННЫХ МОП-ТРАНЗИСТОРОВ 7.1. Введение В настоящей главе обсуждаются вопросы долговечности (срока службы, tL) МОПТ, обусловленной деградационным воздействием горячих носителей на приборные характеристики МОПТ и пробоем подзатворных окисных слоев, что приводит к недопустимому увеличению паразитного тока утечки в цепи затвора (lg). Оба эти процесса имеют общую характерную черту: они обусловлены постепенно нарастающим накоплением дефектов и сопутствующим осаждением на них зарядов. Однако в первом случае, когда внимание акцентируется на деградации выходных характеристик МОПТ, важным обстоятельством является именно накопление с течением времени заряда в объеме подзатворного окисного слоя и на границе Si02-Si. Когда же рассматривается выход из строя МОПТ, обусловленный диэлектрическим пробоем, на первый план выступает процесс образования проводящих путей в подзатворном окисном слое в результате «слияния» соседних нейтральных и заряженных дефектов. При этом сам пробой трактуется как чисто вероятностное событие, что подтверждается статистикой выхода из строя КМОП-СБИС в зависимости от суммарной площади подзатворных окисных слоев во всех МОПТ В разделе 7.2 анализируется влияние горячих носителей на ток подложки (Isub) и затвора (Ig). Там же рассматривается деградация таких приборных характеристик, как смещение порогового напряжения (А^) и относительное изменение тока стока (AId//d). Обсуждаются также отличительные черты деградации я-МОПТ и р-МОПТ В разделе 7.3 представлены методики прогноза срока службы (tL) как с применением ускоренных испытаний на основе статического подхода, так и с использованием динамической модели деградации, учитывающей реальный режим работы МОПТ в конкретных КМОП-СБИС. В разделе 7.4 даются современные представления о типах и микроструктуре наиболее важных для обсуждаемой проблемы дефектов и о механизмах их возникновения. В последнем разделе обсуждаются основные модели пробоя подзатворных окисных слоев МОПТ, рассматриваются первичные фазы выхода приборной структуры из строя — так называемый мягкий пробой, приводящий к недопустимо большому току утечки через подзатворный окисный слой. Рассматриваются также модели ускоренных испытаний на пробой. Большое внимание при этом уделяется термохимической модели деградации тонких слоев Si02 под воздействием электрического поля (^-модели), что важно для прогнозирования срока службы, обусловленного пробоем диэлектрических слоев.
568 Глава 7. Надежность субмикронных МОП-транзисторов 7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 7.2.1. Эффекты, обусловленные горячими носителями Деградация приборных характеристик МОПТ, как известно, объясняется главным образом, воздействием горячих носителей. Основные эффекты горячих носителей, указанные на рис. 7.1 [1], способны влиять на границы возможного масштабирования МОПТ, а некоторые из них могут быть использованы как источники информации: например, ток подложки Isub может использоваться для предсказания срока службы (сохранения работоспособности) МОПТ Почти все эффекты, связанные с горячими носителями, инициируются продольной составляющей сильного электрического поля вблизи стокового /?-и-перехода. Величина Ем и ее расположение на эпюре распределения латеральной составляющей напряженности электрического поля вдоль каната Щ(у) определяется в основном следующими конструктивно-технологическими и электрическими параметрами: длиной канала Lc, протяженностью участка перекрытия области стока затвором Lov, толщиной подзатворного окисного слоя Тох, глубиной залегания /ья-перехода области стока Xj9 концентрацией легирующей примеси в подложке Nsub, концентрацией легирующей примеси в области стока Nd, напряжением смещения стока относительно истока Vd, напряжением смещения затвора относительно истока Vg9 напряжением смещения подложки относительно истока Vsub. Перечень всех этих параметров помещен в верхней части рис 7.1 [1] На рис. 7.2 [1] приводится продольное сечение экспериментальной приборной структуры МОПТ, на которой указаны все токи, инициируемые эффектами горячих носителей, представленными на рис. 7.1 [1]. Деградация приборных характеристик может быть прослежена и оценена путем мониторинга Isub, а также Icoll (эмиссия фотонов), поскольку инжекция горячих носителей в подзатворный окисный слой (Ig) и повреждение границы раздела Si-Si02 (АД,) обусловлены Em. Каждый из перечисленных эффектов горячих носителей может проявляться как самостоятельный процесс, оказывающий заметное влияние на поведение МОПТ- структур. Например ток подложки Isub9 если его не контролировать, может привести к перегрузке генератора смещения подложки [2]. Это способно вызвать локальные флуктуации потенциала подложки и/или инициировать инжекцию носителей (электронов) в подлож- А-<С> »~'OV> I ox5 Aj, Nsub, Nd, Vd, Vg, VSub • Ударная ионизация • Эмиссия фотонов 1 E», (Электрическое поле в канале) I ~> Isub ~> Icoll • Генерация горячих электронов -* Ig—*AVt • Создание поверхностных состояний на границе раздела SiOj/Si -* ADu~>AVt, ДЦ Agm, Рис. 7.1. Концептуальная картина причинно- следственного проявления эффектов горячих носителей
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 569" ку, что в итоге может приводить к внезапному и резкому развитию электрического пробоя с обратным ходом /(^-характеристики (snapback breakdown) и инициировать реализацию «защелкивания» (latchup) КМОП-структур [3, 4]. Появляющиеся в подложке на относительно большом расстоянии от МОПТ-структуры неосновные носители — электроны — обусловливают ток ТсоП и могут приводить к деградации времени восстановления ДОЗУ и к сбоям в работе ПЗС- Рис. 7.2. Регистрация токов, индуцируемых горя- структур [4, 5]. Как было установ- ними носителями лено [6], эти неосновные носители (электроны), образующие бототок Icoll9 генерируются фотонами широкополосного тормозного излучения, возникающего, когда горячие электроны (из пристоковой области канала) замедляются в результате взаимодействия с примесными ионами в подложке. Ранее в ряде работ (например [7]) ошибочно предполагалось, что неравновесные электроны в /?-подложке образуются в результате вторичной ударной ионизации. Горячие электроны, инжектируемые из канала непосредственно в подзатворный окисел и создающие в основном Ig, могут обуславливать [8] деградацию приборных характеристик МОПТ в результате их захвата на ловушки в окисле. Более поздние исследования [9—11] подтвердили, что создание лову- шечных центров (поверхностных состояний) на границе раздела Si02-Si является доминирующей причиной деградации МОПТ с качественными подзатворными окисными слоями. Следует также отметить, что токи утечки через подзатворные окисные слои могут оказывать влияние на процесс программирования СППЗУ. 7.2.2. Продольная составляющая напряженности электрического поля в канале Насыщение скорости электронов вдоль канала (по оси у) в приповерхностном слое наступает, когда продольная (латеральная) составляющая напряженности электрического поля начинает превышать величину, примерно равную 4 • 104 В/см. Известно, что при малых полях какие-либо существенные эффекты горячих носителей не наблюдаются. Следовательно, необходимо рассмотреть электрическое поле только в области насыщения скорости носителей (электронов), т.е. в области отсечки канала (pinch-off region). Структура электрического поля в области насыщения скорости носителей подробно обсуждалась в первой части книги (см. рис. 1.23 и 1.25). Здесь же будет рассмотрена аналитическая модель для пикового значения продольной составляющей напряженности электрического поля (EJ. Простое аналитическое выражение для Ет может быть получено с помощью приближенного решения для распределения потенциала V(y) в области насыщения скорости носителей вблизи стока [2, 12, 13]. Упрощенная версия аналитиче- i-h Icoll vg vd Л 1 ш iisjj ***Фотон 5 < fig i p , Id =KJ^7(jLJi ^~~<T?.J V. ; / * P Y Vsab Jf. I sab < \ * у • a: 1
|f570 Глава 7. Надежность субмикронных МОП-транзисторов ского выражения получена в [14]. Распределение поверхностного потенциала в канале является экспоненциальной функцией координаты у (рис. 7.2) [12, 13, 15], что было подтверждено двумерным компьютерным моделированием: V(y)~Vdsal + V0-exp(y/l), (7.1) dV\ е,Лу)= dy = ^cxP(y/l) = ^j^, (7.2) где Vdsat — потенциал в точке отсечки канала, a V0 представляет величину, намного меньшую Vdsat. У стокового/ья-перехода (где Е(у) =Ет и V(y) = Vd) соотношение (7.2) принимает вид: Em = (Vd-VdJ/l. (7.3) Таким образом, можно полагать, что Vd — Vdsat представляет собой падение напряжения на участке канала, соответствующем области насыщения скорости носителей, тогда как /является характеристической эффективной длиной для этой области. В работах [12, 14, 15] обосновано, что /является функцией толщины под- затворного окисного слоя (Тох), глубины залегания стокового /?-я-перехода (Xj) и концентрации легирующей примеси в подложке (Nsub). В работе [16] было представлено аналитическое соотношение, полученное на основании экспериментальных данных с помощью двумерного компьютерного моделирования и использования программы MINIMOS. На основании (7.3) применялась простая зависимость / = (Vd— Vdsa)/Em, где Em соответствовало величине, получаемой с помощью программы MINIMOS. Величина /может быть определена на основании измерений Isltb. Эти исследования можно найти в [17]: /=0,22- Тох1/ъ'Х}/\ (7.4) где все величины выражены в сантиметрах. Справедливость этого соотношения для / была проверена в диапазонах Х} = 0,19 — 0,45 мкм и Тох = 12—90 нм. Также установлено, что / остается практически независимой от Nsub в диапазоне Nsuh = 2-1016-10,8см-3. Величины /, получаемые с помощью (7.4), хорошо согласуются (в пределах ошибки 5%) с результатами измерений тока подложки (Isub). /является характеристической длиной решения уравнения Пуассона в области насыщения скорости носителей (области отсечки канала). Приемлемым является допущение, что /зависит только от локальных граничных условий в области насыщения и остается независимым от длины канала (L), пока величина L при масштабировании продолжает быть соизмеримой с / (7.4). Однако длина канала при любых условиях оказывает значительное влияние на Ет, что следует из зависимости Ет от Vdsat, получаемой подстановкой (7.4) в (7.3): E=(Vt- VAJ10,22 • TJ» ■ Щ1\ (7.5)
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 571 Имеется несколько моделей для Vdsat. В частности, достаточно корректным соотношением является формула, предложенная в [18] (см. (1.45) в первой части книги): у jyg~Vt)' Leff Esat _ LeffEsat ^-Vg-Vl + LeffEsa,=\+LeffEsaJV; (/-b) где Esat — критическое значение продольной составляющей напряженности электрического поля, при котором наступает насыщение скорости носителей (~4 • 104 В/см), a Leff— эффективная длина канала. Из соотношений (7.5) и (7.6) следует, что Ет является функцией как напряжений, подаваемых на МОПТ (Vd и Vg), так и основных геометрических параметров его структуры: Тох, Х} и Lefr 7.2.3.Ток подложки Аналитическое выражение тока подложки (/sub). Число актов ударной ионизации, приходящихся на один носитель при прохождении им единицы пути, задается коэффициентом ударной ионизации, величина которого зависит от напряженности электрического поля по экспоненциальному закону [19]: А( ехр(—Bt/E). Параметр Вх в случае ударной ионизации, вызываемой дырками, обычно примерно в два раза превышает величину В, когда ударная ионизация обусловлена электронами. Ток подложки в «-МОПТ определяется дырками, которые генерируются в результате ударной ионизации, инициируемой электронами канала при их дрейфе от истока к затвору. Соответственно, можно записать [2]: Lc Lt = j J Л ■ expi-B, I E(y)) ■ dy. (7.7) 0 После преобразования: IsUb=j(Vd-V^,yid.txx> V -V Y d r dsat * ■ (7.8) Соотношение (7.8) хорошо аппроксимируется степенной зависимостью i*b~hKx~iAV<-v<*r\ (7.9) которая, как было показано в [3, 19], согласуется с экспериментальными данными при п = 7—8. Зависимость напряжения на затворе (Vdsa) и тока подложки (Isuh) от напряжения на затворе (Vg) и длины канала (L). Из (7.8) или (7.9), видно, что ток подложки Isub в сильной степени зависит от величины превышения Vd над Vdsat\ V/ = Vd — Vdsat. Некоторые аналитические модели для Vdsat представлены в первой части книги (например, соотношения (1.17) и (1.45)). Однако все эти модели экспериментально не подтверждены. На рис. 7.3 [1] представлено семейство кривых Vdsat{Vg),
(u-572 Глава 7. Надежность субмикронных МОП-транзисторов Б 5 ^ 4 л >*' г 1 Lc У* / ^ ^^ \^- = 5,2 мкм 2,2 мкм 1,2 мкм Vg(B) 0.45 Рис. 7.3. Зависимости напряжения насыщения на стоке Vdsat от напряжения на затворе Vg при различной длине канала (Nsub = 6 • 1016 см 3; Гох = 43,6 нм; W— 20 мкм). Экспериментальные данные (о), теоретические зависимости (сплошные кривые) полученных для различной длины канала (Lc). Можно видеть хорошее совпадение значений VdsaP рассчитанных в соответствии с формулой (7.6), с экспериментальными данными длинноканальных МОПТ (Ьс > I мкм). Поведение Isub графически представляется зависимостью Isub{Vg) при различных величинах Vd (рис. 7.4а) для двух значений длины канала Lc = 0,95 и 2,70 мкм. Первоначальный рост зависимости Isub( Vg) обусловлен увеличением тока стока (IJ. Последующий спад объясняется, во-первых, уменьшением максимальной величины продольной составляющей напряженности электрического поля Ет в области насыщения (отсечки канала), где и происходит процесс генерации неравновесных носителей в результате ударной ионизации; во-вторых, сокращением протяженности самой этой области (AL) (см. соответственно (1.68) и (1.67) в первой части книги). Объединяя эти соотношения, можно записать для AL: Тох=15,2нм vd ЙИ 3,0 В ,2,5В "/ \ J 2,0 В I / 2 Vg(B) а) 10' 035 0.4 l/Vd(B) б) Рис. 7.4. а) Зависимость тока подложки (lg /sub) от Vg\ б) зависимость отношения токов /sub//d от 1/ Vd при различных значениях Vg для приборов с эффективной длиной канала Lefr, равной 0,95 мкм (о) и 2,7 мкм (А) при Тох =15,2 нм М = 1п[рд+(1 + Р2)1/2]; (7.10^) Рд- 1Е„ V -V 1Е^ (7.106) где зависимость Vdsat от Vg задается соотношением (7.6). Следует отметить, что для структур МОПТ с субмикронной длиной канала представленная модель требует определенного усовершенствования, что будет сделано далее.
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 573 j|] Более популярным методом представления тока подложки является использование функциональной зависимости Isub = f(l/Vd) при различных значениях напряжения смещения затвора как параметра (рис. 7.4, б [10]). На рис. 7.5 [1] представлена зависимость lg (Isub /Id) от l/(Vd - VdJ, где нанесены те же данные, что и на рис. 7.4 (я, б). Однако, как можно видеть, все экспериментальные точки хорошо легли на прямую, представляющую соотношение (7.8). Введение нового параметра (Vd — VdsJ подтверждает, что зависимость тока подложки от напряжения смещения затвора и длины канала обусловлена величиной Vdsan т.е. Ем = EsaP когда Vv = V у=0 v d,sat' Экспериментальные данные показали, что линейная зависимость на рис. 7.5 является справедливой только для Isub/ Id не менее 106, так как при меньших значениях Isub/Id величина Ет не должна существенно превышать Esat. Однако 10е ~ #л-* > i /о ю- - /о" 10' s-tY /О" Рч \О.Э5 \l.45 127 09 о д А А5 о 1 а • '[ 02 03 04 05 Ов 07 08 09 l/(Vd-Vdsat) Рис. 7.5. Зависимость \g[IsJId - (Kd- Kdsat) от \/(Vd - Vdsat) приборных структур МОПТ с длиной канала Lc = 0,95; 1,45 и 2,7 мкм при V. = 0,9 и 1,5 В (Гох =15,2 нм; W=100 мкм) даже при более низких величинах Isub/Id включение Esat в соотношение (7.3) [20] позволяет удерживать линейность соотношения lg (Isub/Id) от l/(Vd — VdsJ вплоть до величины Isub/IJ « Ю-9. Установлено, что EsaJ составляет величину, примерно равную 4 • 104 В/см [ 1 ] (в ряде работ использовалась большая величина Esat = 5 • 104 В/см). Таким образом, была обоснована возможность использования подобных графиков для иллюстрации поведения тока подложки (Isub) в достаточно широком диапазоне величин Vd. Понятно, что как только становятся известны две какие- либо точки, разнесенные на достаточном расстоянии друг от друга (например, полученные для двух каких-либо сочетаний Vd и/или Vg при любом значении Lc), то может быть проведена прямая линия, соответствующая поведению тока подложки (4J.Наклон этой линии должен быть равен /Д.. Строя подобные линейные зависимости для тестовых структур МОПТ, имеющих различную толщину подзатворного окисного слоя (Тох), глубину залегания /?-я-переходов (X) и концентрацию легирующей примеси в подложке (Nsub -> Xdepj), можно оценить характеристическую длину / для распределения электрического поля в канале. Это возможно, разумеется, если предварительно будет оценена величина Д, которая была определена равной 1,7 • 106 В/см путем сопоставления измеренных величин / с результатами двумерного компьютерного моделирования [1]. Точка пересечения прямой линии на рис. 7.5 с логарифмической осью ординат соответствует величине Ai/Bi (7.9), что дает Ai/Bi =1,2 В1. Следовательно, для практического использования соотношения Isub могут быть представлены в виде: ( 17-106^ ^=U(^-^)/,exp —V- ; (7.11а)
н[574 Глава 7. Надежность субмикронных МОП-транзисторов :U'(^-^,JVexp 3,7-105-7^/3-Jf}/: V -V Y d v d,sat 2\ (7.116) В работе [21] было показано, что адекватное соотношение для тока подложки может быть применено и для случая /^-канальных МОПТ, когда процесс ударной ионизации обусловлен горячими дырками (Я. = 3,7-106 В/см и Д/Д=2,2 В'1). Для /7-МОГТТ с поверхностным каналом величину Esat в соотношении (7.6) следует положить равной 1 • 105 В/см и тогда: ( 8,1 ю5 -т^-т;/2Л 1 sub,P-MOPT = 2,2-<^-К,ди,)./,-ехр V -V r d Y d,sat (7. lie) что также может быть модифицировано и для/?-МОПТ с погруженным каналом. Особенности влияния эффектов горячих носителей в случае /?-МОПТ будут рассмотрены далее. Имеется несколько работ, в которых рассматривались аналитические [22—28] и компьютерные модели [29—34], в которых уделяется внимание связи между током подложки (Isub) и горячими носителями, генерируемыми в зоне насыщения скорости носителей в МОПТ. Полуэмпирическая аналитическая модель тока подложки (Isub) и протяженность области отсечки канала (AL). В работе [28] рассмотрена структура «-МОПТ с эффективной длиной канала L, у которой координата у = L— AL соответствует точке отсечки канала. Тогда для тока подложки можно записать [1]: L L \ В Isub=Id' j 1^ = M- J eXP Е(у) dy, (7.12) где гИ= А. • ехр[-В./Е(у)] представляет скорость ударной ионизации (в см'). Допуская, что продольную составляющую напряженности электрического поля в канале Е(у) можно считать независящей от поперечной координаты х [26], и аппроксимируя граничное условие в точке отсечки как dE(y) dy L-AL' (7.13) а также используя подход, обоснованный в работе [26], для распределения потенциала вдоль канала, получаем: /2 v(y) = f k-fe- ■ F -AL\ Vj ch y-L+AL I H^, ^^— + Vdsan (7.14) (7.15) На основании (7.14) для распределения напряженности электрического поля вдоль канала следует:
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 575 jjjj Е(у) = - Пу)-К I ■ Е dsat , X sat L-AL + El -|l'/2 A L-AL (7.16) Соответственно для тока подложки на основании (7.12) и (7.16): 4,» = V4A" j exp(-B,/E(y))dE *.iE\y)-El[\-{lJ(L-AL)f}' (7.17) где Esal — продольная составляющая напряженности электрического поля в начале зоны насыщения в точке y=L — AL, a EM — максимальная величина Е(у) в конце зоны насыщения в точке у= L. Интеграл, представленный в (7.17), не берется в конечном виде. Однако, основываясь на том, что Ем « EsM, можно в подынтегральном выражении в знаменателе оставить только Е(у) и получить для 1шЬ следующее упрощенное выражение: ^^уААехр (7.18) В работе [28] для Ем принято следующее эмпирическое соотношение: (7.19) y-AZ ' которое отличается от более распространенного выражения (7.14) [1] заменой /на AL и введением двух безразмерных подгоночных параметров г| и у. Подстановкой этого выражения для Ем в (7.18) получено соотношение для тока подложки: '--'4fc-"4k4^> (7.20) Видно, что ток подложки является экспоненциальной функцией от протяженности области насыщения (AL). Таким образом, небольшая ошибка в оценке величины AL = flL, AL, V*d , ...) может приводить к значительному искажению расчетной величины Isub. Для нахождения соответствующего выражения для AL удобнее всего провести следующую операцию. Подставив в (7.14) V(y) = Vd при y—L, получаем: V -V v d Y dsat A L-AL A ,AL Л .AL ch 1 \ +sh- li (7.21) или в эквивалентном виде при а = IJ(L — AL); p = Vd — Vdmax /{lx' Esat) и ae = exp (AL//,): 2P = a{X+^-2) + {*-^) (7.22) Необходимо отметить, что а, ae , p являются функциями от AL. Однако, поскольку ае является экспоненциальной функцией от AL, выраженной в явном
Йо76 Глава 7. Надежность субмикронных МОП-транзисторов виде, то его влияние должно быть определяющим. Поэтому, решая соответствующее уравнение относительно ае, получаем: AL = lx In 1 + a (7.23) Следует заметить, что соотношение (7.23) является трансцендентным относительно AL, поскольку а также является, хотя и «слабой», функцией от AL. Поэтому в работе [28] для параметра а также использовалась аппроксимационная формула: А А (X: L-AL L-qlx' (7.24) где С, — безразмерный параметр, который, в свою очередь, аппроксимировался квадратным трехчленом по Vd = Vd — Vdsat: $=^;)2+^;)+<;з, (7.25) где СЛ, £2, £3 — эмпирически подбираемые коэффициенты. Другой важной составляющей аналитического моделирования тока подложки Isub является установление функциональной зависимости Vdsat от Vg, которая в соответствии с [1] была выбрана отличной от ранее используемой формулы (7.17) и представлена в виде: LE ii,?^-, № (7.26) где £ — подгоночный безразмерный параметр. Для вычисления I5Ub =f{ Vg) необходимо знание параметров Д., Д, AZ, lk, a, p, у, г] и С, (где греческими буквами обозначены подгоночные безразмерные коэффициенты), которые входят в соотношения (7.20), (7.23) и (7.26) Постоянными параметрами приборной структуры, используемыми при вычислениях, были Тох = 15 нм и Xj=0,4 мкм, что соответствует величине 4 = 0,137 мкм (7.4) На рис. 7.6 [28] представлены результаты вычислений зависимости AL - протяженности области насыщения («зоны ударной ионизации») от Vd — Vdsat (см. формулу (7.23)). На рисунке также нанесены данные, полученные с помощью программы MINIMOS в работе [27] для длины канала L = 0,5 мкм. Методом «лучшей подгонки» полуэмпирической модели к результатам компьютерного моделирования (MINIMOS) получены Рис. 7.6. Зависимость протяженности зоны следующие значения подгоночных па- ударной ионизации от Kd- V^ при Lc = 0,5 мкм. раметров, входящих в формулу (7.25): Символы (0) относятся к данным, полученным Ci= -0,03 В-2; £2 =0,27 В-1 и Q =1,2. На с помощью программы MINIMOS. Сплошная рис. 7.7 представлены зависимости про- кривая получена с помощью модели (см. соот- тяженности области насыщения скоро- ношение(7.12)) сти носителей («отсечки канала») как
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 57" 0Л2| 1 1 г 2» « • • » 0.5 1.0 1.5 2.0 2.5 Lc( мкм ) Рис. 7.7. Зависимости протяженности зоны ударной ионизации AL от длины канала при (Vd - VdsJ = 2,0 и 2,5 В. Символы (0, +) соответствуют данным, полученным с помощью программы MINIMOS функции длины канала L (7.23) для двух случаев Vd— Vdsat=2,0 В и 2,5 В. При этом использовались для вычислений параметра а (7.24) приведенные значения £р ^2 и £3, определяющие зависимость (7.25) подгоночного коэффициента £ от Vd — Vdsat. На рис. 7.7 нанесены также отдельные маркеры по результатам, полученным с помощью программы MINIMOS [27]. Видно хорошее совпадение представленной полуэмпирической модели с компьютерной программой MINIMOS. Важным обстоятельством, вытекающим из анализа рис 7.7, является то, что при уменьшении длины канала, начиная с L = 1,0 мкм, величина AL все более уменьшается, и эта тенденция сохранится и при переходе от L = 0,5 мкм в глубокосубмикронную область, как будет показано несколько ниже на основе компьютерного моделирования [34]. На рис. 7.8 представлены кривые зависимости Isub от Vg для трех различных значений длины канала L = 0,5, 0,6 и 0,7 мкм. Величины «ионизационных констант» Д., и В; были выбраны равными 2,54 • 106 см-1 и 1,92 • 106 В/см. Напряженность электрического поля, соответствующая началу насыщения скорости носителей (в точке у = L — AZ), была установлена равной 1,053 • 104 В/см [25], а величина £ принята равной 1,19 [25]. Для более точного определения параметров у и rj, входящих в эмпирическое соотношение (7.19), было выполнено численное интегрирование выражения для Isub (7.17) (см. маркеры на рис. 7.8) Из соотношения (7.20) выбраны величины у = 0,244 и г\ = 1,32. Анализ результатов, полученных с помощью рассмотренной аналитической модели для Isub (7.20) по сравнению с экспериментальными данными, также показал хорошее совпадение (рис. 7.9). бе-Об 5е-0б < w *С-06 ^ Зе-06 2е-0б le-Об 0 i 1 1 1 1 1 I Численное интегрирование ^^. 0,5мкм# J f ^у Lc: 0,6мкм* Т \ 0,7мкмэ А Г Х**Ч \ Аналитические зависимости J \ f \ \ 0,5мкм I / ♦ * \ Lc: 0,6мкм- - Л I f Jr ^^Ь Ч\ 0,7мкм—.- I *Р ^%Л\ 1 ■ jjt ^^fc- U 2 13 Vg(B) Рис. 7.8. Функциональные зависимости /sub( V), полученные с помощью аппроксима- ционного соотношения (7.20) и отдельные значения /sub, полученные путем численного интегрирования соотношения (7.6) — для L = 0,5; 0,6 и 0,7 мкм 1.2*45 1*03 < «с«06 5! бе-06 4*06 2е-06 - ' • f 0J '■ 1 1 "' /♦ /© 1* 1 IJ 1 11 2 U Э Vg(B) Эксперимент» Модель —— ■ Vd = 4,6В VBS=0B ^^« i i i 3J * 4.3 i Рис. 7.9. Модель зависимости тока подложки (/sub) от напряжения на затворе (Vg) на фоне экспериментальных данных (0)
Глава 7. Надежность субмикронных МОП-транзисторов Компьютерное моделирование тока подложки при Lc < 0,3 мкм Для исследования эффектов влияния горячих электронов в «-канальных МОПТ разных типов при их масштабировании в глубокую субмикронную область (Lc -* 0,075 мкм) было использовано [34] компьютерное моделирование с применением метода Монте-Карло (МС) и с учетом зонной диаграммы полупроводника (Si), что существенно для случая носителей, обладающих большой энергией (горячих электронов). Ток подложки оценивался по величине двойного интеграла [34]: Irt=1-}JFd{*,y>ru(*)-de-dy9 (7.27) где q — элементарный заряд электрона; у — координата вдоль канала; Fd (г, у) — функция распределения электронов по энергии в зависимости от координаты (у), проинтегрированная по сечению канала; гп — коэффициент ударной ионизации. Типы исследованных в работе [34] я-МОПТ-структур представлены на рис. 7.10: а) обычная конструкция МОПТ (без LDD-областей); б) LDD-МОПТ со слаболегированными LDD-областями; в) SOI-МОПТ — конструкция, соответствующая структуре «кремний на диэлектрике» (КНД); г) Epi — МОПТ, т.е. структура с тонким эпитаксиальным слоем, осажденным на подслой (ground plane). Простая структура «-МОПТ. Профили легирующей примеси в областях истока и стока (после имплантации As) моделировались полугауссовским распределением. Латеральная диффузия обеспечила величину перекрытия затвором областей истока и стока Lov = 0,05 мкм. Легирующий профиль в канале формировался двухстадийной имплантацией бора (В) — в добавление к основному фону подложки. Имплантаци- онный профиль, препятствующий смыканию ОПЗ истока и стока (punchtwrough implant), моделировался полным гауссовским распределением в вертикальном на- 'Исток Li'If» 3.& %т Сток ' Подложка ■in ги.м.пйш Истою- Сток Подложка а) б) '*.$&$■ WH:* Исток Сток шт. \1&А\'-У&¥£Щ Подложка Д*ш uiwpiwii^iw.^piiH^HHM.qi^j.ifil Исток Сток Промжуточный р+- слой Подложка е) г) Рис. 7.10. Сечения приборных структур и-МОПТ. Зачерненные области - контакты, серые — изолирующие области Si02
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 57^ правлении с пиковым значением концентрации, находящейся на уровне, соответствующем глубине /?-я-переходов истока и стока (Ху). И наконец, имплантационный профиль, служащий для подгонки величины порогового напряжения, моделировался другим полугауссовским распределением с пиковым значением концентрации на границе раздела Si02-Si. Глубина/?-я-переходов контактных диффузионных областей изменялась в зависимости от длины затвора (Lg) и составляла: 0,1 мкм (Lg=0,3 мкм); 0,05 мкм (Lg= 0,15 мкм); 0,025 (L = 0,075 мкм). Вариант (LDD-МОПТ) очень важен с точки зрения ослабления вредного влияния горячих носителей, что достигается уменьшением напряженности электрического поля в результате формирования переходной области (LDD) с более низким уровнем легирования по сравнению с контактной областью стока. Удлинение участка с увеличенной напряженностью электрического поля (наряду с уменьшением абсолютного значения Ем) обеспечивает большую возможность релаксации «избыточной энергии» электронов за счет их рассеяния, что эффективно способствует сокращению хвостовой части функции распределения. Протяженность LDD-областей в горизонтальном направлении составляла 0,05 мкм, и они полностью перекрывались затвором. Глубина /?-я-переходов LDD-областей (Xj) варьировалась в зависимости от длины затвора (Lg) и равнялась: 0,05 мкм (Lg = 0,3 мкм); 0,05 мкм (Lg =0,15 мкм); 0,0125 (Lg =0,075 мкм) — т.е. величина XjLDD составляла половину от глубины расположения/^-«-переходов контактных областей истока и стока, которая была такой же, как у обычных я-МОПТ. Варианты с SOI-МОПТ- и Epi-МОПТ приводятся для получения более общей картины поведения токов в различных типах структур МОПТ. Они имеют общую черту: глубина областей истока и стока у них совпадает с толщиной верхнего кремниевого слоя. Структура SOI-МОПТ формируется в очень тонком слое монокристаллического кремния, расположенного на относительно толстом изолирующем слое окисла. Функционирование SOI-МОПТ моделировалось в режиме полного обеднения. Следует заметить, что Isub в SOI-МОПТ представляется всего лишь как индикатор суммарного процесса ударной ионизации, поскольку результирующий ток дырок не может быть собран в подложке, отделенной от канала толстым слоем Si02. Так как дырки должны в этом случае в основном собираться истоком и/или же рекомбинировать, то они могут лишь незначительно уменьшить ток стока (в связи с этим обстоятельством следует иметь в виду, что Isub <-» Id). Структура Epi-МОПТ содержит тонкий эпитаксиальный слой с почти собственной проводимостью [35], в котором формируются области истока и стока. Сильно легированный промежуточный слой /?-типа (ground plane) служит главным образом в качестве ограничителя процесса смыкания ОПЗ истока и стока и дополнительного регулятора величины порогового напряжения. Для обоих типов структур глубина стоковых и истоковых областей составляла: 0,02 мкм (Lg=0,3 мкм); 0,014 мкм (Lg=0,l5 мкм); 0,01 (Z^ =0,075 мкм). Моделирование функционирования всех четырех типов структур, представленных на рис. 7.10, масштабируемых поэтапно: Lc =0,3 мкм; 0,15 мкм и 0,075 мкм (т.е. с коэффициентом масштабирования а = 2), осуществлялось с использованием двух разных подходов. При одном подходе величины напряжений смещения, подаваемых на сток и затвор, также уменьшались на каждом этапе с коэффициентом, равным V2. При этом напряжения смещения уменьшались в s/ad раз, где 8 — коэффициент масштабирования напряженности элек-
1>80 Глава 7. Надежность субмикронных МОП-транзисторов Табл. 7.1. Моделированные значения Idi Isub, Ig, EM, AYM, AVd, и AYDEM в зависимости от геометрических размеров и от напряжений смещения VgnVd.B скобках даны величины для случаев постоянства (Vg и Vd): Vg = Vd = ЗВ J№ 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 Параметр Длина канала Длина затвора Толщина окисного слоя Напряжение на затворе и стоке Ток стока Ток подложки Ток затвора Пиковое значение Е// Смещение положения Ем относительно границы канал/ сток Смещение сечений (вглубь стока), где наблюдается наиболее высокоэнер- гетичные электроны Падение напряжения на области стока Напряженность электрического поля в окисном слое Отношение тока подложки к току затвора Пиковое значение локальной плотности тока затвора Коэф. размножения носителей при ударной ионизации Отношение тока затвора к току стока Обозначение параметра U h Тох h hub h Е„ АГМ А^™ Л К, Е« 'sub/'g g,peak M=Uh ijh * sub/ * d Размерность MKM MKM HM в мА/мкм mA/mkm mA/mkm MB/cm HM HM В МВ/см mA/mkm2 mA/mkm Этапы масштабирования 0 0,3 0,4 9,9 3 -0,35 ~1,з-ю-3 -5-1014 -0,35 -0,25 17,5 -0,36 -3 3* ~2,6-1010 >300 -3,7110 3 ~1,43-1013 -1,8-10 8 1 0,15 0,25 7,0 2,12 (3) -0,38 (0,70) -ЗДИ0-4 (-1,510 2) -ю-25 (-2-1010) -0,5 -7 22,5 0,7 -4 3* ~3,01021 (-0,75-108) (>10) -8T0-4 (-2,1410-2) ~2,6-1025 (-3-1010) ~1,875-1010 (-6,9-10-6) 2 0,075 0,175 5,0 1,5 (3) -0,42 (1,30) -1,510 5 (-5-10-2) -ю-22 (-2-10-8) -0,61 -12,5 27,5 -1,1 -6 3* -1,51017 (~2,5-106) (>5 • 10-4) -3,57-10-5 (-2Д4-10-2) -2,4-10-22 (-1,5-10 8) -1,910 l4 (-7,4-10-5) Примечания 1/a = 1/2 = 0,5 Lg=Lc + 2L0V Lm =0,05 mkm l/a0X=l/V2 = 0,7072 e/ad=l/>/2 = 0,7072 e = V2= 1,414 aH = 2 См. рис. 7.13 Cm.jdhc. 7.12 См. рис. 7.15 См. рис. 7.14 См. рис. 7.24 См. рис. 7.23 См. рис. 7.11а См. рис. 7.11а *] Случай масштабируемого напряжения Va См. формулу (7.2) [Сго295] См. рис. 7.12 1 [Сго2951 |
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 581 трического поля (е = V2), так что е/а = 1/V2 = 0,7072. Однако следует отметить, что в отличие от так называемого «обобщенно-селективного подхода» (см. табл. 2.2), при котором толщина подзатворного окисного слоя (Тох) масштабируется с тем же самым коэффициентом, что и при сокращении длины канала (Lc), в рассматриваемом случае величина Тох уменьшалась медленнее (1/осох = = 1/V2= 0,7072, см. табл. 7.1 [34]). При другом подходе к масштабированию (s = 2) напряжения смещения Vd и Vg оставались неизменными, что делалось для сравнения, т.к. средняя величина продольной составляющей напряженности электрического поля в канале увеличивалась после каждого акта масштабирования в два раза. Такой подход представляет определенный интерес в случаях проведения ускоренных (стрессовых) тестовых испытаний, осуществляемых обычно при повышенном относительно штатных значений напряжении с целью оценки срока сохранения работоспособности МОПТ. Таким образом, исходные (стартовые) приборные структуры всех четырех исследуемых типов МОТ характеризовались длиной канала Lc = 0,3 мкм. При этом Vc = Vg = 3 В, a Vg = Vsub =0 В. Для всех рассматриваемых значений длины канала (Lc =0,3; 0,15 и 0,075 мкм) толщина подзатворного окисного слоя составляла Тох — 9,9; 7,0 и 5,0 нм, соответственно, что обеспечило среднюю величину вертикальной составляющей напряженности электрического поля в подза- творном окисном слое (вблизи области истока) примерно равной Еох« 3; 4,3 и 6 МВ/см, соответственно, — в случае масштабирования при условии постоянства напряжения смещения. Такие величины Еох вполне допустимы и находятся относительно далеко от значений, при которых обычно возникает необратимый электрический пробой подзатворного окисного слоя. Что касается продольной составляющей напряженности электрического поля в канале, то его пиковое значение Ет наблюдается обычно в латеральной диффузионной области стока. При этом пиковое значение средней энергии электронов гт оказывается расположенным далее координаты, соответствующей Ет и отсчитываемой от границы канал/сток, что указывает на наличие нелокальных эффектов переноса носи- | ~IIIIIZZZZIZZIZZIZZIIZIZZZZ о CQ «ЮЛ (D 600Д пол о (D о ^ 9000 о я и*0 о- £ 100Л Канал О.Змкм — 0,15мкм •«« 0,075мкм — /\ Сток 1 L \ *•'' \ \ 1 .*г «5********^ * • \ \ \ \ *• \ Н \\\ V- \ \У_ J 40Д -40,0 400 ОД Я0Д 40Д 60.0 Расстояние от границы канал/сток(нм) а) 1в» , , , , , , , , 1 X юя Я X § ww 53" <о £ " ^ 1 10* СО Я о Он 1в* с iff* • rr.w~~.±-a-*n».-~1rT |>ц^^ ^^i"*"*L *%'^*^^ ч \\ \ %\ U \ ll \ Чч 0,075мкм • » L, • » \0,3мкм > 'д Д 1 * «"-"«Ч •.. 0,15мкм1 <М> 1.0 JL0 ШЛ 4. 1 Энергия (эВ) _б) Рис. 7.11. (а) Распределение продольной составляющей напряженности электрического поля в канале у границы канал/сток. (б) Распределение электронов по энергии у границы канал/сток обычного МОПТ, масштабируемого по сценарию «постоянства напряжений смещения». Сплошная кривая соответствует длине канала Lc = 0,3 мкм; штрихованная — Lc = 0,15 мкм и пунктирная L =0,075 мкм
582 Глава 7. Надежность субмикронных МОП-транзисторов телей в приборных структурах с малой длиной канала. На рис. 7.11а приводятся эпюры продольной составляющей напряженности электрического поля (E/f(y)) вблизи границы раздела канал/сток при масштабировании в условиях постоянства напряжения (Vg = Vd =3B) для обычной структуры МОПТ (без LDD-области). На рис.7.115 [34] представлены функции распределения электронов по энергии. Принимаются во внимание все электроны в сечении канала, соответствующем границе раздела канала и стока. Из рис. 7.11а можно видеть, что с уменьшением длины канала величина пикового значения продольной составляющей (EJ возрастает с увеличением расстояния этого пика от границы раздела канал/сток (YJ, что также отображено в табл. 7.1. Интересно отметить, что, как видно из рис. 7.115, «высокоэнергетические хвосты» функций распределения сокращаются с уменьшением длины канала, несмотря на заметное возрастание Ем в случае постоянства напряжения смещения. При выборе подхода к масштабированию, при котором напряжение смещения уменьшается в соответствии с множителем e/ad = 1/V2 (см. пункт 4 табл. 7.1 [34]), пикивое значение продольной составляющей напряженности электрического поля возрастает при уменьшении длины канала (хотя и не в такой значительной степени). Однако при этом пиковое значение средней энергии электронов уменьшается. Наиболее протяженные «высокоэнергетические хвосты» наблюдаются в определенных сечениях, проходящих через точки, координаты которых превышают величины, соответствующие пиковым значениям Ем и гм. Функции распределения электронов по энергии, имеющие максимально протяженные «хвосты», должны наблюдаться в сечениях с координатами от границы раздела канал/сток: AYdem =17,5 нм (4 =0,3 мкм); 22,5 нм (Lc =0,15 мкм) и 27,5 нм (Lc= 0,075 мкм). Таким образом, с уменьшением длины канала «местонахождение» наиболее вы- сокоэнергетичных электронов продвигается вглубь собственно области стока, что свидетельствует о большой степени нелокальности процесса релаксации. Следует QjQ» I I I »„„ ' Ш М a» U A2I М Длина канала (мкм ) Рис. 7.12. Ток стока (Id) как функция длины канала (Ьс) при масштабировании по сценарию «постоянства напряжения смещения»: обычного МОПТ (сплошные линии); LDD- МОГЩштрихованные линии); SOI-МОПТ (пунктирные линии); Epi-МОПТ (штрихпун- ктирные линии) о 1л[ ЕР1 а .-• Р ОЛЬ ---•*'* \ I 9~ I од>1 « 1 • 1 1 «108 «.1 0.15 ОЛ UM 03 I Длина канала (мкм ) Рис. 7.13. Ток стока (ld) как функция длины канала (Lc) при масштабировании по «обобщенно-селективному» сценарию: обычного МОПТ (сплошные линии); LDD- МОПТ (штрихованные линии); SOI-МОПТ (пунктирные линии); Epi-МОПТ (штрихпун- ктирные линии)
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 583* также отметить, что по мере масштабирования МОПТ электрическое сопротивление канала уменьшается, тогда как электрические сопротивления истока и стока остаются приблизительно постоянными. При масштабировании длины канала (Lc) ток стока (Id) заметно возрастает при условии неизменности напряжений (V = Vd = 3 В, рис. 7.12 и 7.13) [34]. При этом увеличивается падение напряжения на области стока: AVd = 0,36 В (Lc = 0,3 мкм); 0,7 В (Lc =0,15 мкм). Если напряжение на стоке масштабируется, то практически единственным путем увеличения Id остается уменьшение эффективного сопротивления канала. В случае обобщенно-селективного подхода к масштабированию (в частности такой подход использовался в обсуждаемой работе [34]) изменению подвергаются сразу несколько параметров, которые влияют на сопротивление канала (длина канала, напряжение на затворе, пороговое напряжение и емкость подзатворного окисного слоя), что в итоге действительно позволяет уменьшить сопротивление канала. Компьютерное моделирование показало, что сопротивление стока и истока при масштабировании обычной структуры МОПТ остается приблизительно неизменным, тогда как в случае LDD-и SOI-МОПТ оно несколько уменьшается, а в случае Epi-МОПТ — немного возрастает. SOI- и Epi-МОПТ характеризуются небольшой глубиной /ья-переходов истока и стока (Xj*), так что электрическое сопротивление областей истока и стока составляет значительную долю итогового сопротивления между контактами к истоку и стоку. Поэтому с уменьшением длины канала ток стока у этих вариантов МОПТ уменьшается, поскольку уменьшение сопротивления собственно канала оказывается недостаточным для компенсации эффекта от масштабируемой величины Vd. Из представленных на рис. 7.13 данных можно видеть, что в случае обычных и LDD-МОПТ ток стока возрастает. Следует заметить, что информация об эволюции тока стока при масштабировании оказывается полезной и при оценке влияния эффектов горячих носителей на ток подложки (Isub) и/или на ток стока (Ig). При этом часто приходится обращаться не к их абсолютным значениям, а к величинам, нормированным на ток стока, т.е. иметь дело с (Isub/Id) и/или с (/ /Id), соответственно. На рис. 7.14 и 7.15 [34] приводятся зависимости тока подложки (Isub) , д-——, , [ "*"\ Г 1 1 1 55^2г-'-—~ EPI 1 *«..„% Обычный МОПТН %****-....%LDD И I » 1 « « 1 0.05 0.1 0.15 0J2 (L2S ОЗ Длина канала (мкм ) Рис. 7.14. Ток подложки (7sub) как функция длины канала (Lc) при масштабировании по сценарию постоянства напряжений смещения»: обычного МОПТ (сплошные линии); LDD-МОПТ (штрихованные линии); SOI- МОПТ (пунктирные линии); Epi-МОПТ (штрихпунктирные линии) ^ 0Л°0М 0.1 0.15 0Л О» ЛЗ Длина канала (мкм ) Рис. 7.15. Ток подложки (/sub) как функция длины канала (Lc) при масштабировании по «обобщенно-селективному» сценарию: обычного МОПТ (сплошные линии); LDD- МОПТ (штрихованные линии); SOI-МОПТ (пунктирные линии); Epi-МОПТ (штрихпунктирные линии)
84 Глава 7. Надежность субмикронных МОП-транзисторов от длины канала, полученные с помощью компьютерного моделирования методом Монте-Карло. Можно видеть, что в случае масштабирования при неизменной величине напряжений смещения (Vg = Vd = 3 В) с уменьшением длины канала ток подложки существенно возрастает для всех рассматриваемых типов структур МОПТ. При этом наиболее сильное изменение претерпевает ток подложки в LDD-МОПТ (более чем на два порядка при уменьшении Lc от 0,3 до 0,075 мкм), оставаясь по абсолютной величине меньше /sub, для всех остальных типов структур МОПТ. При масштабировании по «обобщенно-селективному» сценарию картина зависимости Isub от Ьс кардинально меняется на обратную. При этом минимальные значения Isub сохраняются за LDD-МОПТ при уменьшении Vg всего в два раза (с 3 до 1,5 В). При Lc= 0,075 мкм ток подложки уменьшается примерно на четыре порядка в случае LDD-МОПТ и более чем на три порядка для МОПТ с обычной структурой - при уменьшении длины канала Lc с 0,3 до 0,075 мкм. Следует отметить, что с уменьшением длины канала до 0,075 мкм разброс по Isub для различных структур уменьшается (не более чем на порядок), как и при сценарии масштабирования с неизменными величинами напряжения (Vg= V6 = 3 В). При дальнейшем масштабировании, когда значительно возрастет концентрация легирующей при меси в LDD-областях, следует ожидать нивелирования различия между МОПТ с обычной структурой и LDD-МОПТ. 7.2.4.Ток затвора Модель «энергичных» электронов («lucky» electron model). Так как ток затвора (Ig), наряду с током подложки (Isub), определяет надежность и долговечность МОПТ, необходимо остановиться на всех аспектах, связанных с Ig. На рис. 7.16 [36] представлены ток подложки и ток затвора для «-МОПТ в зависимости от напряжения на затворе (Vg) при разных значениях напряжения на стоке (Vd). Уменьшение Isub при увеличении Vg, после достижения пикового значения Isub, обусловлено увеличением Vdsat, а следовательно уменьшением EJ7.5) и (7.6). С другой стороны, быстрый спад Isub по мере приближения Vg к пороговому напряжению (V), т.е. при Vg->0, обусловлен соответствующим уменьшением тока стока (Id) (7.11) и (7.12). в результате пиковое значение Isub оказывается в области достаточно низких значений V. Ток затвора (рис. 7.16) намного мень- Рис. 7.16. Зависимость тока подложки (7sub) ше тока подложки (на 6-7 и даже более и тока затвора (/g) от напряжения на затворе порядков), а его пиковое значение для (Уё) при фиксированных значениях напря- «-МОПТ достигается при Vg « Vd\ Токза- жения на стоке (Kd); «-МОПТ с Тох =20 нм; ТВОра - для не очень короткоканальных 4fr = MMKM МОПТ, у которых подзатворный окис-
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 585> ный слой является «достаточно толстым» (Тох < Юнм), а туннельным током через него можно пренебречь — определяется инжекцией горячих электронов из канала (CHEI - channel hot-electron injection) [8]. Этот ток (Ig) является, в определенной степени, ответственным за деградацию и дрейф характеристик МОПТ. Концептуально CHEI-ток может быть описан следующим образом. Чтобы горячие электроны из канала могли достичь затвора, они должны получить достаточную энергию при взаимодействии с электрическим полем канала, а также получить импульс в направлении к границе раздела Si-Si02. Только при этих условиях они могут преодолеть потенциальный барьер на границе Si02. С целью получения количественной оценки вероятности, что эти электроны смогут быть собраны на затворе, необходимо рассмотреть несколько этапов их рассеяния (рис. 7.17а) [37]. При движении электрона в канале от точки А к точке #электронполучаетэнергиюотэлек- трического поля и становится «горячим». В точке В горячий электрон изменяет направление движения, получая достаточно це раздела Si-Si02. При движении от точки В к точке С, расположенной на границе раздела, горячий электрон не должен испытывать столкновений, связанных с обменом (потерей) энергии, сохраняя ее вполне достаточной для преодоления потенциального барьера. Он также не должен испытывать столкновений в потенциальной яме, обусловленной силами зеркального отображения (image-potential well) [38] и расположенной между С и D. Как только электрон пройдет это расстояние, он подхватывается электрическим полем подзатворного слоя и достигает электрода затвора. Поскольку все перечисленные процессы являются статистически независимыми, то результирующая вероятность будет определяться произведением вероятностей реализации каждого отдельного события. На рис. 7.175 схематично представлена картина перемещения горячего электрона в пространстве потенциал — расстояние. Для того чтобы горячий электрон мог преодолеть потенциальный барьер (Фь) на границе раздела Si-Si02, его кинетическая энергия должна превышать Фь. Если допустить предположение о постоянстве ускоряющего электрического поля вдоль канала Еу, то горячий электрон должен пройти расстояние d = Фь/(д • Еу) для того, чтобы набрать эту кинетическую энергию. Вероятность, что электрон в канале может пройти эту или большую дистанцию без каких-либо столкновений, может быть записана как exp(-af//e), где /-длина свободного пробега электрона [8]. Таким образом, поскольку при движении электрона вдоль канала (например, от точки А к точке В) продольная состав- с Затвор 1 iD п* Исток а" " а) s,o2 ис —,— ис Uv — Исток \н \л..Д/ б) -г п* ~ У Сток Столкновения, приведшие к изменению направления движения / Сток Рис. 7.17. а) Перемещение электрона из канала к затвору (А -» В -> С -> D); б) Движение электрона в пространстве «потенциал- расстояние». «Счастливый» (lucky) электрон на расстоянии d приобретает энергию для преодоления потенциального барьера на границе раздела Si-Si02 большой импульс в направлении к грани-
586 Глава 7. Надежность субмикронных МОП-транзисторов ляющая напряженности электрического поля £^ реально может только возрастать (до момента достижения Ем), то вероятность получения электроном кинетической энергии, превышающей величину потенциального барьера на границе раздела Si- Si02, можно представить равной exp(-0b/q Еу1е). Это обстоятельство лежит в основе так называемой модели энергичных, или «счастливых», электронов («lucky» electron model [39-41]). В работе [37] получено обобщенное выражение вероятности реализации всех остальных событий, представленных на рис. 7Л7а [37]. Эта вероятность — функция напряженности электрического поля в окисном слое Р(Е0Х), так что суммарный ток затвора выражен в виде: 4 /Jexp - Ф, «Ve, •P(EJ-dy. После решения интеграла (7.28) / =3,5104/,/£ Ф* = С Л-ехр- ЯКЕЯ Фк ЯКЕМ У Е„=- V-VA Ф. " 'exp- -~±- QhK (7.29) Пластина где 1Е — характеристическая длина для распределения продольной составляющей напряженности электрического поля в канале (4), а постоянная Cg« 2 • 103 в случае Vg>Vd. При заданных значениях J^tok затвора должен увеличиваться с ростом Vd в результате возрастания EJ7.3) до тех пор, пока величина Vd не сравняется с заданной величиной V(Vd = V). При больших Vd ток затвора должен оставаться неизменным или же слабо уменьшаться. Когда Vd не превышает Vg, то воздействие электрического поля в окисном слое в сечении, соответствующем максимуму продольной составляющей напряженности электрического поля в канале Ем, направлено в сторону собирания электродом затвора электронов, инжектируемых из области канала, примыкающей к стоку. Когда оказывается Vd = Vg, то поперечная составляющая напряженности электрического поля в окисном слое (Еох) у стока становится равной нулю. Если Vd > Vg,TO Eox(y « Lc) у стока меняет свое направление на противоположное, препятствующее инжекции и собиранию электронов затвором. В этом случае участок наиболее эффективной Рис. 7.18. Отношение токов Ig//d в зависимо- инжекции электронов из канала с роста от Vd при различных величинах длины стом величины {^начинает смещаться в канала (Lc) и фиксированном значении сторону истока, где падение потенциала К = Ю в (^ох = 35,8 нм; Х] = 0,3 мкм; на окисном слое в поперечном направ- sub~ ' см ' лении сохраняется примерно равным V ю нн 10 «Г
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 58' (независимо от величины Vd). Реально наблюдаемый заметный спад Ig при увеличении напряжения в диапазоне Vd>Vg обусловлен захватом электронов на ловушки в окисном слое и образованием отрицательного заряда, препятствующего инжекции и перемещению электронов в окисном слое. Тем не менее, соотношение (7.29) достаточно хорошо работает в диапазоне Vd > Vg, о чем свидетельствуют результаты сопоставления экспериментальных и теоретических данных, представленные на рис. 7.18 [37] для «длинноканальных» приборных структур (Lc = 4,27...1,6 мкм). Видно, как резко возрастает отношение Ig/Id при уменьшении длины канала. Например, при уменьшении Lc приблизительно в два раза (с 3,42 до 1,6 мкм) отношение токов I /Id возрастает более чем на четыре порядка при )^= 8 В и Vg= 10Ви при прочих равных условиях. При очень низких значениях Vg ток стока может менять знак, что указывает на эмиссию горячих дырок в окисел при Vg < 2,2 В (рис. 7.19) [42]. Несмотря на малую величину, соответствующая компонента тока может оказывать существенное влияние на деградацию и долговечность приборных структур [43]. Горячие дырки, обусловливающие этот ток, образуются в результате ударной ионизации и ускоряются полем канала. В /7-МОПТ обычно не наблюдается сколько-нибудь существенного тока инжекции горячих дырок из канала, что обусловлено более высоким барьером для дырок и их более короткой длиной свободного пробега. Между тем довольно за- J0 16' 16" «Kf d3 id: С _T = 300°K Г Т = 335°К [CHEJ Ь Vd(B) ^_ ! г г Г JDAHCl Г 1 J Электроны \ ' 70' i у Дырки \ Г \ 1 С Ч !/ м; г 7ХК г | 1 п\ t \Й \ (:' ьу\%\ 1« К'ШлЧА Г F* : /'' RH\ Щ мл 1 1 JU .1. 1 1 /J\ 7,0 №\{** IF- Г я:\ ;6.6 №*} \ ' X* • \ |\ \\ \\ \в-2 \ Цз.8 \ ' 1 \ " » b Г4 1 J 1 1 1 4 6 VR(B) 10 / Затвор \ рГ^щ Vg (В) Рис. 7.19. Ток затвора (Ig) как функция смещения затвора (Vg) при фиксированных значениях напряжения стока Vd = 5,4; 5,8; 6,2; 6,6; 6,8 и 7,0 В. При низких напряжениях Vg( К ~ К) ток затвора меняет знак, что указывает на эмиссию горячих дырок в подза- творный окисный слой Рис. 7.20. Токи подложки (7sub) и затвора (7g) в зависимости от напряжения смещения затвора (Ve) при фиксированных значениях Vd= -8, -9 и -10 В «длинноканальных» /ьМОПТ (L/W= 1 мкм/30 мкм; Тох = 25 нм; X = 0,2мкм; Nsub = 6- 1016см3)
|(588 Глава 7. Надежность субмикронных МОП-транзисторов метный ток затвора в /?-МОПТ обычно обнаруживается в результате инжекции электронов в окисный слой [44]. Эти электроны образуются в результате ударной ионизации с последующим их ускорением полем канала, как это иллюстрируется на вставке к рис. 7.20 [44]. Ток затвора в /ьМОПТ может быть больше, чем в я-МОПТ. В/ьМОПТ пиковое значение ^достигается при более низких величинах^^]. а о PQ 2 ю* о X ё 0.10 0.1S О20 02S ОЗО 038 О40 (Мв О50 ОДв Координата вдоль канала (мкм ) Ток затвора (7g) при масштабировании длины канала (Lc) МОПТ в субмикронной области. На рис. 7.21 [34] приводится картина эволюции эпюр распределения плотности тока инжекции электронов вдоль канала, включая участки наложения затвора на области истока и стока для обычных МОПТ при уменьшении Lc и при сохранении напряжения смещения неизменным (Vg= Vd= ЗВ). Соответствующие значения пиковой плотности тока инжекции электронов в подзатворный окисный слой (JgfPeak) приводятся в табл. 7.1 [34]. На рис. 7.22 [34] приводятся эпюры распределения составляющих локальной плотности тока затвора (Ig) вдоль канала (включая области наложения затвора), Рис. 7.21. Этапы распределения вдоль канала плот- обусловленные туннелированием ности тока инжекции электронов в подзатворный (сплошная кривая) — для обычной окисный слой «-МОПТ при масштабировании структуры МОПТ. Термоэлектрон- длины канала Lg = 0,30; 0,15 и 0,075 мкм по сце- ная составляющая тока инжекции нарию «постоянства напряжения смещения» электронов проявляется практи- (Kg= Kd=3B). Кривые получены методом компью- чески лишь в области стока? пятерного моделирования крытой затвором (на протяжении Lov = 0,05 мкм), тогда как туннельная составляющая наблюдается по всей длине затвора (Lg = Lc + 2L0V), хотя к середине канала плотность туннельного тока выходит на уровень насыщения (~10~5 пА/ мкм2), который на семь порядков ниже пикового значения. Этот пик плотности туннельного тока (~102 пА/мкм2) оказывается расположенным также в области стока у границы с каналом. Если сравнивать суммарные составляющие тока затвора, то термоэлектронная компонента в данном случае ока- S I 1 10* 0 1 -т ■■■■■ | | | j 1 ,1 U 1 1 1 1 1 t у 1" "—""" V V' *»—„% ; /•' >L 1 1... t 1 9Л4 ОИв 0.10 ДО OJ2 ОЯ4 030 030 030 033 034 Координата вдоль канала (мкм ) Рис. 7.22. Эпюры распределения вдоль канала составляющих плотности тока инжекции электронов: туннельная составляющая — сплошная кривая, термоэлектронная составляющая — штрихованная кривая обычного л-МОПТ с Lc = 0,075 мкм при зывается превалирующей над тун- V; = К = ЗВ
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 589"" нельной составляющей (примерно на порядок). При масштабировании в глубокосуб- микронную область различие между величинами Ig для обычных и LDD-МОПТ практически исчезает, что хорошо видно на рис. 7.23 и 7.24 [34], представляющих два варианта сценария масштабирования: при постоянном напряжении смещения и при «обобщенно-селективном подходе» (см. также табл. 7.1 [34]). Следует отметить, что при постоянном напряжении смещения (V = Vd = ЗВ) J <« п* ЕР/ Lii> 0.1 0.15 ОД 025 Длина канала (мкм ) Рис. 7.23. Суммарный ток затвора (/g) как функция длины канала (Lc) при масштабировании по сценарию «постоянства напря- суммарный ток затвора (Ig) монотонно жения смещения» для обычного (сплошные возрастает при поэтапном масштабиро- линии); LDD (штрихованные линии); SOI вании (Lc = 0,3; 0,15 и 0,075 мкм) и при (пунктирныелинии); Epi (штрихпунктирные этом нивелируется различие не только линии) я-МОПТ между МОПТ с обычной структурой и LDD-областями, но и между всеми четырьмя типами МОПТ. В случае же масштабирования по сценарию с изменяемыми величинами напряжения (Vg = Vd = 3,0; 2,12 и 1,5 В) суммарный ток затвора Ig при уменьшении Lc -> 0,075 мкм начинает возрастать на 2—3 порядка (рис. 7.23). Это обусловлено возрастанием роли тун- нелирования — в особенности в той части канала, которая примыкает к области истока, что коррелирует с масштабированием толщины подзатворного окисного слоя, синхронно уменьшаемой с величиной напряжений, подаваемых на затвор и сток (пункты 3 и 4 табл. 7.1 [34]). Туннельная компонента тока затвора более короткоканальных МОПТ начинает доминировать, поскольку уменьшение напряжения при этом способствует снижению эффективности высокоэнергетичных «хвостов» в распределении электронов по энергии (в основном, в результате их сокращения), что в свою очередь должно уменьшить термоэлектронную составляющую тока затвора. В таком режиме происходит нивелирование различия даже между основными конструктивными типами МОПТ (рис. 7.23). Дальнейшее масштабирование толщины подзатворного окисного слоя (Тох) приводит к усилению роли туннелирования. Очевидно, что должен существовать предел приемлемой толщины подзатворного окисного слоя, соответствующий допустимой величине туннельного тока и его дисперсии. Ток затвора (Ig) в «-МОПТ при ультратонких подзатворных окисных слоях (Тох = 1,5—2 нм) и Lc < 0,1 мкм. Туннельная инжекция носителей через ультратонкие подзатворные слои Si02, как ожидается, будет представлять серьезное ограничение допустимой потребляемой мощности МОПТ и надежности их подзатворных Si02 слоев [45,46]. Известно, что МОПТ с Г0Х = 1,5 нми Lg=0,l мкм имеют приемлемые приборные характеристики [46—49]. Установлено также, что ток утечки (/) через ультратонкие подзатворные окисные слои при низких напряжениях на затворе (Vg < 1 В) характеризуется доминирующей ролью процесса прямого туннелирования (DT — direct tunneling) сквозь потенциальный барьер на границе Si-Si02. При этом не играют существенной роли такие механизмы, как туннелирование
|П>90 Глава 7. Надежность субмикронных МОП-транзисторов Суммарный ток затвора (А/м) 5 © е 0.1 В5 EPI.1 ,.....-^>^^.- LDD 0.1 0.15 0.2 0.25 0.3 Длина канала (мкм ) по Фаулеру—Нордгейму (FNT — Fowler-Nordheim tunneling); термоэлектронная эмиссия (TIE — thermoionic emission) [45—49] или ассистируемое ловушками тун- нелирование (TAT — trap-assisted tunneling) [50—53]. Теоретически ток утечки затвора должен оцениваться с учетом всех квантово-волновых эф- Рис.7.24. Суммарный ток затвора (Ig) как функ- феКтов локализации и переноса ция длины канала (4) при масштабировании по электронов как результат самосогласованного решения трех фун- «обобщенно-селективному» сценарию: для обычного (сплошные линии); LDD (штриховые линии); „ _ SOI (пунктирные линии); Epi (штрихпунктирные Даментальных уравнении: Пуас- линии) я-МОПТ. Соответствующие данные получены методом компьютерного моделирования сона, Больцмана и Шредингера [49]. Практически, пионерских работ, в которых делались бы реальные попытки решения такой задачи для двумерного рассмотрения процессов в канале МОПТ (с целью получения более-менее полного набора приборных характеристик, а не только моделирования процессов переноса носителей в самом канале), очень мало [55 ,56]. В работе [49], так же, как и в подавляющем большинстве предшествующих работ, перенос носителей в канале рассматривался в трехмерном к-пространстве, т.е. в рамках больцмановского уравнения (ВТЕ — Bolzman transport equation). Такой подход был целесообразен, поскольку главной целью являлось исследование влияния разогрева носителей на прямой туннельный ток через подзатворный окисный слой. Справедливость подобной классической (не квантомеханической) аппроксимации подтверждается недавними исследованиями ультратонких подзатворных слоев в обычных МОП-структурах, когда была установлена почти полная идентичность результатов, получаемых с помощью квантомеханических и классических моделей [56, 57]. Для оценки инжекции заряда в подзатворный окисел МОПТ можно ограничиться двумерным приближением задачи. В работе [49] использовались три типа программ компьютерного анализа, основанных на различном подходе к решению ВТЕ-уравнения и характеризуемых разными уровнями принятых приближений. Этими методами (в порядке возрастания обеспечиваемой ими точности) являлись: диффузионно-дрейфовый метод (DD — drift-diffusion); метод энергетического баланса (ЕВ — energy-balance) и метод Монте-Карло (МС -Monte Carlo). Метод DD основан на решении уравнений непрерывности и предполагает, что популяция носителей находится в равновесии с кристаллической решеткой. Поэтому результаты, получаемые с помощью DD-метода, оказываются приемлемыми только для очень малых возмущений равновесного состояния, т.е. — для малых полей. Метод ЕВ, отражающий сохранение количества частиц, момента количества движения и энергии, обеспечивает информацию о локальной энергии носителя (электрона) посредством введения такого понятия, как температура носителей (Те). Для решения системы уравнений баланса энергии необходимо знание функции распределения носителей по энергии. Метод МС (метод Монте-Карло), наиболее точный из перечисленных методов, основан на прямом решении ВТЕ-уравнения и не нуждается в
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 591 каких-либо исходных предположениях относительно функции распределения носителей по энергии. При этом носители рассматриваются в качестве классических точечных объектов, совершающих «свободный полет», прерываемый актами рассеяния. В [58—63] сделан вывод о том, что в случае МОПТ с относительно толстыми подзатворными окисными слоями (Тох > 3 нм) для оценки тока затвора требуется знание «хвостовой» (высокоэнергетической) части функции распределения носителей по энергии. , 70нм S-4 19 СМ G ^| Тох = 1,5нм 220нм /^ ЗОнм 10,8с SE 1 5.1019см -3 200нм *£зйшшш. 420нм т в ;»дагц'Щ а-.".:!:??;!" M-D Рис. 7.25. Продольное сечение я-МОПТ с длиной канала Lc = 0,07 мкм и ультратонким под- затворным окисным слоем (Гох = 1,5 нм) В работе [49] осуществлено компьютерное моделирование тока стока (Ig) для я-МОПТ, имеющего эффективную длину канала Lc = 0,07 мкм, толщину подза- творного окисного слоя Тох = 1,5 нм и глубину расположения /?-я-переходов истока/ стока Xj = 30 нм. Концентрации легирующих примесей и геометрические размеры приборной структуры представлены на рис. 7.25 [49]. Высокая концентрация легирующих примесей (Л^ = 5-1019 см3) для областей истока/стока и (NA = 1018 + 1019 см3) для области канала соответствует типичному уровню легирования для ультракоротко- канальных МОПТ [46,47], что обусловлено необходимостью противодействия корот- коканальным эффектам. Моделирование осуществлялось в предположении нулевого напряжения смещения подложки. В результате компьютерного моделирования было установлено хорошее согласие основных приборных Id(Vd)- и Id(^-характеристик, вычисляемых с использованием всех трех моделей: DD, ЕВ и МС. Было также найдено, что ток насыщения стока (IdsJ может достигать достаточно большой величины (~1 мА/мкм при Vg = Vd = VDD = IB), что и следовало ожидать, исходя из экспериментальных данных [46] (см. также табл. 7.1 [34]). На рис. 7.26 [49] представлена теоретическая зависимость плотности тока затвора Jg( Vg) при отсутствии тянущего поля в канале (Vd = 0) для всех трех вариантов модельного подхода (DD, ЕВ и МС). Следует отметить, что результаты, полученные на основе DD- и ЕВ- моделей, совпали, а данные для МС- и p„c. 7.26. Теоретическая зависимостышотно- DD/EB-вычислений оказались весьма Сти суммарного тока затвора (/g) от напряжения близкими, что свидетельствует о пра- затвора (Vg) при Fd = 0B. Сплошная кривая со- вильном выборе эффективной массы ответствуетМС-модели (метод Монте-Карло), плотности состояний электронов (т* штрихованная кривая — DD/EB-моделям = 0,5 т0) и высоты потенциального ба- «диффузно-дрейфовом» приближении или «энергетического баланса» (ЕВ)^ 6 5 (N 4 о <г3 1 _' 1 1 I | 1 1 Г I I 1 1 |" 1 "'1 I "j 1 1 I'j : : i / *: : // - : : У/ : :. . . i—-*i*TV, , 1 , . . i , , ,: ) 0.2 0.4 0.6 0.8 Vg (В) 1
592 Глава 7. Надежность субмикронных МОП-транзисторов рьера на границе Si-Si02 (ФЬ = 3,1 эВ), а также о том, что популяция электронов находится в тепловом равновесии. На рис. 7.27 [49] представлены зависимости суммарной плотности тока затвора (Jg) от напряжения смещения стока относительно истока (Vd) при V = 1 В для случая моделирования с использованием всех трех рассматриваемых моделей (DD, ЕВ и МС). Необходимо отметить две очевидные особенности поведения Jg(Vd)- характеристик, представленных на рис. 7.27. Во-первых, суммарная плотность тока затвора уменьшается с ростом Vd. Как следствие этого обстоятельства, максимальное значение Jg достигается для одного из двух статических состояний КМОП-инвертора (К = К = Vdd = 0)- Это накладывает новые ограничения на масштабирование МОПТ в глубокосубмикронную область, обусловленные допустимой величиной потребляемой мощности в холостом режиме (в режиме ожидания). Второй особенностью является хорошая согласованность результатов, представляемых разными физическими моделями (DD, ЕВ и МС). Если же допустить (как это было справедливо для «длинноканальных» МОПТ), что доминирующей составляющей тока утечки через подзатворный 8Ю2-слой является инжекция горячих носителей, то следовало бы ожидать значительно более существенного различия между результатами, представленными тремя моделями. Такая традиционная точка зрения подтверждается тем, что вероятность переноса носителей через 8Ю2-слой возрастает с увеличением перпендикулярной составляющей кинетической энергии носителей для данной формы потенциального барьера. Тем не менее, форма потенциального барьера не является более неизменной вдоль большей части канала. Действительно, при рассмотрении результатов, представленных на рис. 7.28я, б [49] и отражающих зависимости вероятности переноса электронов (Р) от перпенди- i > I i i—i [ i 1 i { i i i | i i—г 0.2 0.3 ех(эВ) Рис. 7.27. Теоретические зависимости плотности суммарного тока затвора (Jg) от напряжения на стоке (Vd) при фиксированном зна- чении Vg = IB. Кривые получены с использованием моделей DD, ЕВ и МС Рис. 7.28. Типичная зависимость вероятности переноса электронов через потенциальный барьер (Рх) от поперечной составляющей их кинетической энергии (ех) при V = Vd= IB: (а) в середине канала; (б) вблизи стока
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 593 кулярной (поперечной) составляющей их кинетической энергии (ех), можно видеть, что электроны, переносимые от истока к стоку, имеют дело со все менее и менее «прозрачным» потенциальным барьером на границе раздела Si-Si02. Эта тенденция обусловлена деформацией барьера, когда он изменяет свою форму при увеличении координаты у вдоль канала от треугольной (вблизи истока) до почти прямоугольной (вблизи стока); причем эта тенденция усиливается при Vd -> Vg. Это обстоятельство является сильным ограничивающим фактором для инжек- ции горячих электронов в Si02 в случае МОПТ с ультратонкими подзатворными слоями Si02. При этом эффект от деформации формы потенциального барьера оказывается более сильным, нежели эффект, обусловленный разогревом носителей. Так, например, согласно расчетам, сделанным в [49], поперечная составляющая кинетической энергии вблизи стока, которую удается набрать большей части носителей под воздействием продольной компоненты напряженности электрического поля в канале, достигает величины 0,2 эВ. Согласно рис. 7.28(6), вероятность переноса таких носителей (электронов) через слой Si02 составляет величину, лишь немногим более Ю-8. На рис. 7.28д можно видеть, что такую же вероятность переноса имеют носители, которые сталкиваются с барьером в средней части канала и имеют перпендикулярную компоненту кинетической энергии, составляющую всего лишь примерно 0,03 эВ — так сильно изменяется форма барьера, а следовательно и его «прозрачность» при изменении координаты от у = LJ2 до у « Lc. To есть инжекция, обусловленная горячими носителями вблизи стока, перестает быть сколько-нибудь существенным фактором. Это подтверждается зависимостью локальной плотности тока инжекции электронов (Jg) от координаты у вдоль канала (для случая Vd = Vg = IB), полученной методом Монте-Карло (МС) и представленной на рис. 7.29. Можно непосредственно видеть, что отношение величин Jg у истока и у стока достигает: Jg,s lJg4 = 4>5 ' Ю-5 мА• мкм-2/0,14 • Ю-5 мА• мкм2* 32. (7.30) При этом: 1 Lc J8=-j-\ Jg(y)dy =6- 10"5 мА/мкм2 = 6 • 104пА/мкм2. (7.31) Представленные результаты существенно отличаются от тех данных, которые обычно наблюдаются для МОПТ с более толстыми подзатворными окисными слоями. Так, например, в МОПТ с Тох =5 (рис. 7.21 и 7.22) максимальные значения jg(y) наблюдаются вблизи области стока и при этом туннельная его составляющая плавно возрастает по мере увеличения координаты у вдоль канала, становясь далее относительно небольшой, по сравнению с термоэлектронной компонентой, 10 о 11 I |Ч1 l"l | I П I | I I I I | М I I | I I I 1 I I I I I | U < 1 0.1 ' и 1111111!111111111111111111111111 0 10 20 30 40 50 60 70 у ( нм ) Рис. 7.29. Изменение вдоль канала локальной плотности тока инжекции электронов в под- затворный окисный слой при V = Vd = 1 В. Кривая Jg(y) получена с использованием метода Монте-Карло для л-МОПТ с Lc = 0,07 мкми Гох= 1,5 нм
!>94 Глава 7. Надежность субмикронных МОП-транзисторов после достижения границы канала у стока (у -> Lc), т.е. после прохождения максимума. Таким образом, «диаметрально противоположное» поведение jg(y) в случае короткоканальных я-МОПТ с ультратонкими подзатворными окисными слоями объясняется слабой ролью горячих носителей на фоне существенного увеличения эффективности потенциального барьера при у —» Lc и увеличения Vd(Vd-^ Vg). Такое ослабление влияния горячих носителей объясняет также, почему при использовании таких различных по своей физической сущности подходов к моделированию Ig (применяя DD-, ЕВ- и МС-модели) были получены совпадающие зависимости Ig(Vd) (см. рис. 7.27). Ответ можно получить, если учесть, что роль горячих носителей при оценке тока затвора для обсуждаемого типа МОПТ уже не является доминирующей. Поэтому отпадает необходимость знания точной формы распределения носителей по энергии (в особенности в хвостовой его части), и поэтому разные методы моделирования дают хорошо совпадающие и вполне удовлетворительные результаты для проектирования МОПТ, масштабируемых в глубокосубмикронную область. Токи подложки (Isub) и затвора (/g), их зависимость от температуры и (Vsub) для я-МОПТ при масштабировании Lc от 0,8 до 0,1 мкм (при Тох =5 нм).Поскольку изучение эффектов горячих носителей, в основном внешне проявляющихся в поведении Isub и /?, представляет большой интерес для оценки надежности (сохранения работоспособности) МОПТ, необходимо остановиться на некоторых дополнительных аспектах. Прежде всего целесообразно получить аналитическую зависимость между Isub и Ig для длинноканальных МОПТ. Поскольку Vd — Vdsat обычно составляет несколько вольт, то ранее записанное соотношение 7.11а для Isub можно представить в виде: Isub = 2/, ехр(-Д /£,„) = 2/, ехр(-Ф; /qleEJ, (7.32) где Вх (= 1,7* 106 В/см) заменено на отношение Ф./q 1е. Величина 1е интерпретируется как длина свободного пробега горячих электронов, а Ф,(эВ) представляет энергию, которую горячий электрон должен набрать, чтобы быть способным участвовать в процессе ударной ионизации. Таким образом, отношение Oi/qEm можно рассматривать как расстояние, которое электрон должен пройти в электрическом поле (Ет), чтобы приобрести энергию Ф;. Экспоненциальный член Qxp(-0/qleEJ является вероятностью того, что электрон пройдет дистанцию, необходимую для набора энергии >Ф„ без столкновений (без рассеяния энергии). Поскольку /^представляет поток электронов (в основном «холодных»), то произведение 7/ехр(—Ф,./ qXEJ можно интерпретировать как скорость поставки горячих электронов, получивших энергию не менее Ф,.. Используя далее соотношения (7.32) и (7.29), можно получить соотношение (исключая при этом из рассмотрения произведение 1еЕм): Т ( т \ФЬ/ф' h 2 sub = 4-1(Г- / \ФЬ/Фг *sub (7.33)
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 595]и 10» 10Ю и to" (50 ~10* id13 «Г 1 - I ■ * -—Теория J О Эксперимент^ =1В) / /°/ Еох(105В/см) А/ L /V 1 / 0* 10"* 1б4 К J» Isab /Is Фь/В^ *ь(В) (10ьВ/см) Рис. 7.31. Зависимость высоты потенциального барьера (Фъ) на границе раздела Si/Si02 и ФЪ/В^ от поперечной составляющей напряженности электрического поля в подзатворном окисном слое вблизи стока (Еох) Рис. 7.30. Корреляционная связь между током подложки (/sub) и то- что справедливо при Vg > Vd. Такая степенная за- ком затвора (/) я-МОПТ висимость действительно наблюдалась экспери- с/,е1Г=0,15мкм. Приводятся также ментально [37] (рис. 7.30 [64]). Также установле- теоретические зависимости но, что величина Фь/Ф„ определяемая по наклону соответствующих зависимостей в двойных логарифмических координатах, оказывается зависящей от Eoxd — напряженности электрического поля в подзатворном окисном слое вблизи стока (рис. 7.31) [37]. Зависимость от Еох является результатом снижения высоты барьера (Фь), вызываемого электрическим полем [41]: (7.34) Первый член в этом соотношении представляет высоту барьера (3,2 эВ) на границе раздела Si-Si02, второй — отражает эффект снижения высоты барьера, обусловленный силами зеркального отображения. Последний член отражает конечную вероятность туннелирования электронов из Si в Si02 [41]. В случае Si02 (3 = 2,59 • Ю-4 [Всм]1/2, а параметр 0 может быть выбран равным 4-Ю5 [В1/3-см2/3]. Измеренная величина Фь/Ф1 согласуется с соотношением (7.22), только если положить Ф, =Д /е =1,24 эВ. Так как было показано ранее (7.11а), что Д =1,7*106 В/см, то длина свободного пробега горячих электронов должна составлять 1е =7,3 нм, что хорошо согласуется с величиной, приводимой в работе [41]. В работе [36] ток затвора в я-МОПТ был смоделирован с помощью двумерной компьютерной программы, в которой рассматривалась термоэлектронная эмиссия над потенциальным барьером Si-Si02 электронов, поступающих из разогретого электронного газа. При этом осуществлялось интегрирование вдоль канала аналитического соотношения Ричардсона для термоионного тока: jg =qns (kTJ2nm)mexv(-<bb / kTe), (7.35)
|о96 Глава 7. Надежность субмикронных МОП-транзисторов где т *— эффективная масса электронов; Фь — высота потенциального барьера для электронов на границе раздела Si-Si02. Поверхностная плотность электронов ns и напряженность электрического поля Е, необходимые для вычисления электронной температуры, рассчитывались с помощью соответствующих двумерных компьютерных программ для я-МОПТ-структур. Электронная температура (Те) вычислялась с помощью уравнения сохранения энергии [65]: qnEx>-V(5nkTeD /2xe)-3nkTe /2хе=0, (7.36) где п — концентрация электронов, v — скорость электронов, те — характеристическое время релаксации энергии электронов. Первый и последний члены в (7.36) соответственно представляют: скорость приращения энергии электронов в результате взаимодействия их с электрическим полем и скорость потери ими энергии под воздействием неупругих столкновений. Электронная температура Те на основании (7.36) может быть записана в виде: Ъи ТАу)~— I Е(у- и)-ехр du ^e^sat (7.37) -> при допущении, что вектор скорости (V ) направлен параллельно границе раздела Si-Si02 и его величина может быть приравнена скорости насыщения (vsa). Хорошее согласие представленной модели с экспериментальными данными было получено при условии использования те • vsat = 9,6 нм [36]. В работе [66] аналогичная модель была применена для вычисления тока затвора, обусловленного инжекци- ей дырок. В работе [64] при исследовании я-МОПТ с длиной каналах Lc = О,14 мкм было установлено, что заметный (измеряемый на уровне 10~15 А) ток подложки (Isub) был зарегистрирован при Vd = 0,9 В, а ток затвора (/р-при]/,= 2,35В(К,= ЗВ). Поэтому целесообразно обратить внимание на рис. 7.32 [34] и рис. 7.33, где показаны экспериментальные зависимости Isub(Vd) и Ig(Vd). Ток Isub обусловлен процессом ударной ионизации, осуществляемой горячими электронами с энергией, превышающей пороговое (критическое) значение Ф.. Предполагаемые величины Ф„ полученные как теоретически [39, 67, 68] так и экспериментально [34], находятся в довольно широком диапазоне — от 1,1 до 2,2 эВ. В любом случае Ф, не должна быть меньше ширины запрещенной зоны кремния (AsG =1,12 эВ). С другой стороны, ток затвора Ig (в пренебрежении туннельной составляющей при достаточно толстых подзатворных слоях Рис. 7.32. Ток подложки (Ig) как функция напряжения на стоке Vd при напряжении затвора Vg =0, 1 и 2 В, л-МОПТ Si02) должен формироваться намного «бо- c4fr_0^4MKM лее ГОрЯЧИМИ» электронами, способными
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 59 преодолевать барьер (Фь) на границе раздела Si-Si02 величиной -3,2 эВ [69]. По последним уточненным данным Фь = 3,1 эВ [70]. Однако следует заметить, что вертикальная составляющая напряженности электрического поля на границе раздела Si-Si02 может заметно понижать высоту этого барьера за счет эффекта проявления сил зеркального отображения [64]. Так, например, если падение потенциала поперек слоя Si02 (Тох) невелико, то высота барьера Фь. вблизи /?-я-перехода стока может быть понижена до ~2,7 эВ. Таким образом, согласно модели «энергичных» электронов, при уменьшении Vd ниже критических значений, равных 2,7 и 1,12 В, токи / и Isub не должны представлять Рис. 7.33. Ток затвора (Q как функция напряжения на стоке Vd при напряжении затвора V = ЗВ для я-МОПТ с LeiT= 0,14 мкм (из-за предполагаемой малой величины) каких-либо проблем. В действительности, при переходе «пограничных» значений Vd = 2,35 В и Vd = 0,9 В токи Ig и Isub становятся вполне заметными (измеряемыми). Это противоречие, как будет видно далее, разрешается в рамках модели «квазитеплового равновесия» [36, 70] с введением в рассмотрение такого понятия, как электронная температура (Те). В результате сопоставления соотношений (7.29) и (7.35) можно интерпретировать LE-модель (LE — lucky electrons model) как один из простых путей получения выражения для максимальной эффективной электронной температуры: T;i°K\ = (q/k)leEm=S95.l0->.Em [В/см], (7.38) т.е. эффективная электронная температура оказывается пропорциональной Ve — Vdsat, (см. соотношение (7.3)). Таким образом, уравнение (7.36) в предельном случае квазипостоянства Т может быть сведено к соотношению: Те=-теУм^Е=7,5Л0->- Е [В/, СМ (7.39) где Е — локальная напряженность электрического поля и где после второго знака равенства использована величина те • vsat = 9,6 нм [36]. Хотя Isub и скорость ударной ионизации заметно возрастают в глубокосуб- микронном диапазоне длины канала Lc, они могут уменьшаться с понижением температуры при условии небольших величин напряжения смещения на стоке (Vd < 2 В) [72—74]. Этот эффект может усиливаться с уменьшением длины канала [75]. Наличие сильной корреляционной связи между током затвора (/) и током подложки (Isub) подтверждено в [76]. В работе [77] в связи с этим обстоятельством были одновременно измерены Ig и Isub в приборных структур с длиной канала (Lc = 0,9-0,7 мкм). Установлено, что Ig и Isub обнаруживают противоположные температурные зависимости при низких значениях Vd. В развитие этих исследований в работе [78] был осуществлен анализ поведения / и Isub в широком диапазоне тем-
1>98 Глава 7. Надежность субмикронных МОП-транзисторов пературы (вплоть до температуры, близкой к температуре жидкого гелия) при напряжениях: стока относительно истока (Vd), затвора (Vg) и подложки (Vsub)9 а также при длине канала Lc =0,8—0,1 мкм. Причем, толщина подзатворного слоя составляла Тох = 5 нм, ширина канала W= 9 мкм для всех структур. Уровень легирования канала был вполне достаточным для существенного подавления негативных корот- коканальных эффектов. Мелкозалегающие SDE-области формировались с использованием имплантации мышьяка (2 • 1015 см2; 20 кэВ). На рис. 7.34 [78] представлены зависимости тока подложки от напряжения на затворе Isub( Vg) при разных напряжениях стока (Vd) для я-МОПТ с различной длиной затвора. Видно, что в диапазоне Lg =0,8—0,2 мкм на кривых Isub(Vg) обнаруживаются хорошо выраженные максимумы Isub>max, тогда как в случае Lg = 0,1 мкм они отсутствуют. На рис. 7.35 [78] представлены температурные зависимости приращения величины максимальных значений Isub>max (при разной температуре 7), нормализованной относительно / (300°К) при комнатной температуре. Видно, а) т = зоок 1ЕЧ» -e~Vd =1,5B -*-Vd =2B 0 12 3 4 Vg(B) О) T = 300K -*-Vd =1В Ьё = 0,1мкм ~f-Vd =I^B V.(B) Рис. 7.34. Экспериментальная зависимость тока подложки (7sub) от напряжения затвора (Vg) я-МОПТ: a) Lg = 0,2 мкм (светлые маркеры); б) L = 0,1 мкм а) Ьё=0,8мкм 100 SR Температура ( К) Lg =0,1 мкм Температура (К) Рис. 7.35. Нормализованные значения изменения максимальной величины тока подложки (A/submax) л-МОПТ в зависимости от температуры и напряжения стока (Vd): a) Lg = 0,8 мкм; б) L = 0,1 мкм
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 599 что Msub>max = (Isubrmax(T) - Isub>mJ300K)/IsubmJ300K). Можно видеть, что в случае Lg = 0,8 мкм при Vd > 2 В с уменьшением температуры (начиная с комнатной) AIsubmax монотонно возрастает до Г« 100К, когда величина Alsubmax начинает резко спадать. При Lg = 0,1 мкм такая картина поведения AIsubmax практически полностью исчезает, а при малых величинах Vd (в диапазоне от 2 В до ~3 В) с уменьшением температуры AIsubmax монотонно уменьшается (рис. 7.356). Нарис. 7.36 [78] показана картина поведения зависимости Ig{ Vg) при различных значениях Vd и разной температуре (Т= 77 и 300 К) для двух вариантов «-МОПТ: (а) — Lg =0,8 мкм и (б) — Lg =0,1 мкм. Можно видеть, что в МОПТ с Lg= 0,1 мкм отсутствует классическая колоколообразная форма кривых lg( Vg), характерная для длинноканальных приборных структур для случая Lg = 0,8 мкм. На рис. 7.37 [78] представлена зависимость Ig(Vg) при различных величинах напряжения подложки относительно истока (Vsb) и температуры {Т— 11 и 300К), но при одном фиксированном значении Vd = 3 В. Видно, что подача напряжения а) Ьё = 0,8мкм 1Е-08 1 1Е-09- 1Е-10 • 1Е-11 - 1Е-12 . > Vd*2.75B -»-Vd*3B ~*-Vd«3.25B -*-Vd*3.5B i -4*-Vd*3.75B -^-V*s4B — Vd*2.75B -e~V*=3B -*-Vd»3.25B ■ -»-Vd»3.5B -*~Vd*3J5B ~^-Vd«4B ' {ШШГ б) Lg = 0,lMKM -77 К ^g -300 ^шу^^ -H 1 \ 1 2 з (В) Рис. 7.36. Экспериментальные данные зависимости тока затвора (/g) от напряжения затвора (Vg) «-МОПТ при L% = 0,8 мкм и Lg = 0,1 мкм 1E-I2rf Vg(B) 6)Vd=3B Lg = 0,b 770К teft^B l-*r-Vsb=2B l-0-Vsb=3B 300oK Uo-Vsb=2,5B h°^vsb=2B 1E-13 Vg(B) Рис. 7.37. Экспериментальные зависимости тока затвора (7g) от напряжения смещения затвора V% при фиксированном напряжении стока (Vd = 3 В) — при разной температуре (Т = 77К и 300К) и при разных значениях напряжения на подложке (Ksb) я-МОПТ: а) L = 0,8 мкм и б) L = 0,1 мкм
(jffcOO Глава 7. Надежность субмикронных МОП-транзисторов tf)Lg = 0,8MKM 300°K ♦-♦-•Vsb=-0,5B '-•--Vsb^OB --*--Vsb=0,5B ••x"Vsb=l6 ••«'-Vsb-1^ ■-•- • Vsb=2B ♦. +. - vsb =2,Ж Vsb =3B 3,5B< tf$r?ri 1E-07 1E-06 1E-05 1E-04 1E-03 Isab/ Id б) ЬЕ=0,1мкм 300°K 1E-03 , 1E-09. 1E-10 . -**--Vsb=-0,5B '•■-*Vsb=0B --*--\&=ib *"**" Vsb=lJB ***"*Vsb = 2B vssg-3B — 1 3,5B«4 % 1 IB 1 1E-03 Isab/ Id смещения на подложку оказывает сильное влияние на ток затвора, который заметно возрастает (особенно при малых напряжениях на стоке (Vd< 1,5 В). Анализ поведения Isub( Vg) и Ig( Vg) при различной температуре и напряжении Vd и Vsub позволил представить поведение этих токов в координатах IJId vs. Isub/Id, что и было реализовано ([78], рис. 7.38) для двух структур: длинноканаль- ной (Lg = 0,8 мкм) и короткоканальной (Lg = 0,1 мкм). Можно видеть, что кривые //4 vs. Isub/Id, задаваемые в широком диапазоне напряжения на подложке (Кь = -0,5-3 В), ведут себя различным образом для длинноканальных и корот- коканальных МОПТ. Такое различие в поведении можно объяснить следующим образом. При заданной величине напряжения смещения затвора ток затвора (Ig) возрастает экспоненциально, тогда как ток подложки (Isub) линейно увеличивается с ростом Vsb, т.е. функциональная зависимость Ig( Vsb) является более сильной. Различие между корот- коканальными и длинноканальными приборными структурами обнаружива- Рис. 7.38. Изменение корреляционной связи ется и при рассмотрении функциональ- между нормированной величиной тока затво- ных зависимостей от V. Действительно, pa (Ig/Id) и нормированной величиной тока цу^ и Isub(Vg) изменяются одинаковым подложки (IJQ при различных значениях образом у МОПТ с L = 0,8 мкм, тогда напряжения подложки (К) и затвора (Vg) MQm c X = 0,1 мкм эти две за- л-МОПТ: a) La = 0,8 мкм и б) L = ОД мкм у 8 g g висимости характеризуются противоположным поведением. Кроме того, зависимость Ig(Vsb) при заданной величине Vg усиливается при понижении температуры. Указанные зависимости от Vsb свидетельствуют о различных доминирующих механизмах формирования токов Ig и Isub. Поведение Ig( Vg, Vd, Vsb) и Isub( Vg, Vd, Vsb) может быть объяснено в рамках модели обратной связи при ударной ионизации [79], обусловленной вторичными электронно-дырочными парами. Согласно этим представлениям, ток подложки формируется под воздействием сравнительно низкоэнергетических носителей, разогреваемых электрическим полем в области канала, тогда как ток затвора создается более высокоэнергетическими носителями, индуцируемыми в результате вторичного разогрева в области ОПЗ /?-я-перехода сток/подложка. Лучшей иллюстрацией сказанного является, например, эпюра распределения компонент локальной плотности тока затвора вдоль направления канала, представленная на рис. 7.22 [34].
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 601 Для полноты картины следует напомнить, что обсуждаемые ситуации относительно поведения токов Isub и Ig касались я-МОПТ с Lg < 0,1 мкм (в сопоставлении с их «длинноканальными» аналогами), но рассматривались и приборные структуры с относительно «толстыми» подзатворными слоями (Тох = 5 нм, например, табл. 7.1 [34]). Что касается глубокосубмикронных я-МОПТ (с Lc = 0,07 мкм), имеющих ультратонкие подзатворные слои (Тох « 1,5-2 нм), то ситуация становится еще более «экзотической». Как уже рассматривалось, максимум локальной плотности тока /g перемещается ближе к области истока, поскольку локальная концентрация тока утечки через ультратонкий подзатворный окисный слой в основном определяется механизмом прямого туннелирования носителей (рис. 7.29) [29], так что максимум /g оказывается у границы с областью истока, и горячие носители утрачивают в этом случае свою доминирующую роль. 7.2.5. Деградация приборных характеристик МОПТ. Основные аспекты деградации МОП под воздействием горячих носителей Проблема деградации приборных характеристик МОПТ под воздействием горячих носителей интенсивно исследуется с середины 1980-х годов [1, 10, 11, 13, 36, 40, 76, 80]. Она особенно обострилась в связи с масштабированием МОПТ в субмикронную и глубокосубмикронную области длины канала. Исследования, проводимые при статических и динамических стрессовых воздействиях, позволяют выявить основные факторы, ограничивающие стабильность приборных характеристик, и оценить срок службы субмикронных МОПТ. Как уже говорилось, под воздействием значительной латеральной составляющей напряженности электрического поля в короткоканальных МОПТ электроны и дырки в самом канале, и особенно в области его отсечки, могут приобретать достаточную энергию для преодоления потенциального барьера на границе раздела Si-Si02 или же туннелировать в подзатворный окисный слой (Si02). Эти процессы могут приводить с достаточно большой вероятностью к образованию дополнительных ловушек на границе раздела Si-Si02 и в объеме окисного слоя. Инжектируемые в окисный слой электроны и дырки, в свою очередь, могут захватываться на ловушки (уже существующие или же вновь созданные), что и обусловливает в совокупности изменение таких важных приборных характеристик, как пороговое напряжение, крутизна и ток стока. Таким образом, основными процессами, обусловливающими деградацию МОПТ, являются механизмы инжекции горячих дырок и горячих электронов в подзатворный слой Si02. Эффективный способ их изучения — использование техники равномерной инжекции горячих дырок и горячих электронов из подложки. Исследование деградации МОПТ методом равномерной инжекции горячих носителей из подложки. Техника равномерной инжекции горячих носителей из подложки основана на создании специальной структуры, работающей в условиях, хотя и далеких от реальных, но зато позволяющей точно регистрировать напряженность электрического поля в окисном слое, суммарный поток инжектированных носителей и их энергию [81, 82], контролировать удельную поверхностную плотность захваченных на ловушки инжектируемых носителей (Nnt, N ), а также — созданных
602 Глава 7. Надежность субмикронных МОП-транзисторов Рис. 7.39. (а) Продольное сечение приборной структуры я-МОПТ и схема подключения измерительной установки при исследованиях методом «равномерной инжекции горячиих носителей», (б) Зонная диаграмма в поперечном направлении ловушек в окисном слое Not и на границе раздела Si-Si02. На рис. 7.39 [82] представлены экспериментальная структура и зонная диаграмма для исследования последствий инжекции горячих электронов в «-МОП-структуре. Затвор МОПТ находится под определенным напряжением смещения V, исток и сток заземлены, тогда как карман /?-типа смещен на достаточно большую величину обратного напряжения Vwell. Неосновные носители (электроны) инжектируются в карман из подложки «-типа в результате прямого смещения /ья-перехода карман/подложка. Большая часть инжектируемых при этом электронов «разогревается» под влиянием достаточно сильного электрического поля в обедненном слое канала. Напряженность электрического поля в окисле определяется напряжением затвора относительно истока/стока Vg. Напряженность поля в Si-кармане, определяющая энергию инжектируемых носителей, задается концентрацией легирующей примеси в /7-карманах Np и напряжением, подаваемым на область /ькармана относительно истока/стока Vwell. В случае исследования последствий инжекции горячих дырок используется аналогичная структура, соответствующая /^-канальному Табл. 7.2. Деградационные процессы и их зависимость от \Ет\. Интенсивность определяется количеством захваченных зарядов или созданных ловушек, приходящихся на один инжектируемый носитель (I - уменьшается, — сильно уменьшается; I — возрастает, tt — сильно возрастает) Зависимость деградации от \EJ 1. Интенсивность захвата на существующие ловушки 2. Интенсивность генерации новых ловушек (Not) 3. Интенсивность генерации поверхностных ловушек (Nu) А. Электроны 295К ю-7 1 10 7—108 П 109—10 7 77К 106—10 5 и ю-7 П 10 п-109 п В. Дырки 295К 0,1-0,2 1 0 10 3—10 2 1 77К 0,5-0,8 1 0 -4 • Ю-3 1
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 603> МОПТ (с карманом «-типа). В табл. 7.2 [82] приводятся основные результаты исследования последствий инжекции горячих носителей (электронов и дырок), полученных с использованием техники «равномерной инжекции» [80—96]. В таблице не представлен четвертый тип генерации повреждений при инжекции дырок, а именно — генерация электронных ловушек инжектируемыми в Si02 дырками. Приводятся только данные, которые можно получить с помощью техники равномерной инжекции горячих носителей из подложки. Захват электронов на ловушки в объеме Si02. Электроны, инжектируемые в подзатворный окисный слой, имеют определенную, хотя и небольшую, вероятность (в среднем равную примерно 10~7) быть захваченными на существующие электронные ловушки, имеющиеся в объеме окисного слоя. Установлено, что захват электронов в условиях небольшой напряженности электрического поля Еох не зависит от электрического поля в кремнии, т.е. не зависит от энергии инжектируемых электронов, за исключением очень тонких окисных слоев, когда горячие электроны не успевают войти в тепловое равновесие с объемом Si02. Однако процесс захвата электронов на ловушки оказывается чувствительным к плотности тока инжектируемых электронов [83, 84] и обнаруживает максимум эффективности при низком уровне напряженности электрического поля Еох, что обусловлено балансом между захватом на ловушки и полевым освобождением электронов с ловушек [85]. При 77К захват электронов на ловушки оказывается на 1—2 порядка более эффективным, благодаря дополнительному захвату на энергетически более мелкие ловушки. Эти мелкие ловушки, которые характеризуются неглубокими энергетическими уровнями, не могут быть постоянно заполненными при комнатной температуре из-за очень высокой скорости термической эмиссии с них электронов. Полевое опустошение мелких ловушек в сильной степени интенсифицируется при более высоких значениях напряженности электрического поля в слое Si02 [85]. Захват электронов на ловушки становится менее важным в случае использования более тонких слоев Si02, поскольку наряду с уменьшением общего количества ловушек также ослабляется эффект их влияния на /(^-характеристики МОПТ. Генерация электронных ловушек инжектируемыми электронами. С увеличением электрического поля в окисном слое процесс захвата инжектируемых электронов на ловушки становится все менее подверженным насыщению при больших величинах суммарного потока электронов, а скорость захвата возрастает при увеличении Еох. Это обстоятельство объясняется созданием (и заполнением) дополнительных электронных ловушек, обусловленным инжектируемыми электронами, набравшими достаточно большую энергию при взаимодействии с Еох. Минимальная энергия, требующаяся для создания ловушки электроном, перемещающимся в зоне проводимости Si02, составляет 2,3 эВ. При так называемой пороговой величине напряженности электрического поля в Si02 наблюдали заметное увеличение генерации электронных ловушек при Еох > 1,5 МВ/см из-за существенного разогрева электронов в электрическом поле окисла Еох [83]. В других исследованиях замечалось лишь плавное увеличение генерации ловушек без какого-либо четко выраженного порога и полевой активации захвата электронов. Генерация поверхностных ловушек под влиянием инжекции электронов. Наиболее характерные особенности индуцируемой электронами генерации поверхност-
604 Глава 7. Надежность субмикронных МОП-транзисторов 10' 10"к PQ Г) ГА о Q 10я 10° 295 °К / \ f 77"К-в{-1 / 77°К-А| J-HE 'I 1 4 6 E0x,inj ( МВ/см) Рис. 7.40. Суммарное приращение энергети- ных состояний.) ных ловушек на границе раздела Si-Si02 отображены на рис. 7.40 [82] Приводится зависимость энергетической плотности поверхностных состояний (Dit [см2 • эВ1]) как функции напряженности электрического поля в окисном слое Еох при фиксированной величине плотности суммарного тока электронов, прошедших через окисный слой (Ne = 6,25 • 1018 см2) — при значениях температуры (Т= 295К и 77К [9]). (Д, - энергетическая плотность поверхност- При 295К приращение ADjt обнаруживает приблизительно экспонен- ческои плотности поверхностных ловушек (ADit) на границе раздела Si-Si02, обусловленное потоком инжектируемых в SiO. электро- rJ ^ гр ,s .с т.» ?ч ^ циальную зависимость от Епг во всем нов (6,25 • 101Ь см2), как функция напряжен- _, Л , , _„ . ох ности электрического поля (Ет1л при Диапазоне Еох * 1-6 МВ/см, что ука- температуре 77 и 295К. Кривая А - инжекция зывает на Разогрев электронов в элек- при Гох =77К, В - с последующим прогревом трическом поле Si02 [83]. При тем- до 295K пературе 77К кривая А соответствует прямому процессу генерации поверхностных ловушек при инжекции электронов, тогда как кривая В представляет итоговое приращение плотности ловушек (AZ>/7) после инжекции электронов при 77К и последующего нагрева до 295К (при нулевом смещении). Как и в случае комнатной температуры, кривые А и В обнаруживают сильную зависимость от напряженности электрического поля в диапазоне Еох « 5—8 МВ/см. Следует отметить, что при переходе от 295 к 77К эффективность генерации поверхностных ловушек падает более чем на два порядка. Это показывает, что процесс возникновения поверхностных ловушек, индуцируемый горячими электронами, является в сильной степени термически-активируемым процессом. Кривая В (полученная после нагрева до комнатной температуры) располагается примерно на один порядок выше кривой А, что свидетельствует о том, что во время инжекции при 77К образуется заметное количество скрытых повреждений, которые проявляются только после нагрева до комнатной температуры. Однако тот факт, что кривая В располагается более чем на порядок ниже кривой, получаемой при 295К, указывает на то, что при 77К срабатывает механизм подавления генерации поверхностных ловушек, и только относительно небольшая часть скрытых дефектов трансформируется в ловушки, обнаруживаемые при нагреве до комнатной температуры [9]. Захват дырок на ловушки в объеме SiOz. Как следует из табл. 7.2 [82], захват дырок на ловушки в слое Si02 происходит с эффективностью, превышающей на несколько порядков эффективность захвата на ловушки электронов. Эффективность захвата дырок (т.е. число захваченных дырок, приходящихся на одну инжектированную в Si02 дырку) составляет 10—20% при комнатной температуре [90-92]. Было также установлено, что процесс захвата дырок при увеличении напряженности электрического поля в слое Si02 лишь незначительно ослабляется, что может быть отнесено за счет изменения поперечного сечения захвата дырок,
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 605" которое линейно уменьшается с ростом \Е0Х\ — [90]. При 77К эффективность захвата увеличивается до 70% [92, 93]. Анализ кинетики захвата дырок при 295 и 77К показал, что такое значительное увеличение эффективности захвата дырок при 77К не связано с дополнительным захватом на неглубокие ловушки, как это имеет место в случае захвата электронов, но, что более вероятно, связано с увеличением эффективного сечения захвата дырок при понижении температуры [92]. Дырочные ловушки в основном локализуются вблизи границы раздела Si/ Si02 [91, 94], так что их влияние на вольт-амперные характеристики МОПТ зависит приблизительно линейно от толщины подзатворного слоя Si02 (Г0Х). Кроме того, у обеих границ раздела Si-Si02 и Si02-Si* существует слой толщиной 7^, свободный от захваченных на ловушки дырок в результате высвобождения их с ловушек благодаря процессу туннелирования. Вследствие этого обстоятельства подзатворные окисные слои тоньше удвоенной толщины этого свободного от заряда слоя (Тох < 2 Т^) и не могут достаточно продолжительное время удерживать захваченный на ловушки положительный заряд. Критическая величина толщины слоя Si02, при которой процесс захвата дырок подавляется процессом туннелирования дырок, оказывается равной 4 нм [94] или даже может достигать 7 нм [91]. Этот эффект должен учитываться при масштабировании приборных структур с Тох < 8 нм. Генерация дырочных ловушек инжектируемыми дырками. При достаточно большой плотности тока инжектируемых дырок достигается насыщение процесса их захвата на ловушки, независимо от величины напряженности электрического поля во время инжекции [87]. Это обстоятельство свидетельствует об отсутствии процесса дополнительной генерации дырочных ловушек в результате инжекции дырок (в отличие от случая генерации ловушек, обусловленной инжекцией электронов). Генерация поверхностных ловушек под влиянием инжекции дырок. В отличие от случая отсутствия заметной генерации дырочных ловушек в объеме подзатворного окисного слоя, процесс генерации поверхностных ловушек на границе раздела Si-Si02 оказывается намного более эффективным при инжекции дырок по сравнению со случаем инжекции электронов. Типичный диапазон величин эффективности генерации поверхностных ловушек при инжекции дырок, как это можно видеть из табл. 7.2, составляет Ю-3 -г- 10~2 [91, 92, 95]. Так же, как и в случае захвата дырок, на объемные ловушки процесс генерации поверхностных ловушек оказывается не зависящим от напряженности электрического поля в кремнии у границы раздела Si-Si02 [92]. Другой характерной особенностью (общей со случаем захвата дырок, на объемные ловушки) является слабая зависимость процесса генерации поверхностных ловушек от напряженности электрического поля в окисном слое \Е0Х\. Эффективность генерации поверхностных ловушек Dit лишь слабо уменьшается при увеличении \Е0Х\ — [92, 95, 96], что в сильной степени контрастирует с генерацией поверхностных ловушек под воздействием электронов, когда эффективность генерации экспоненциально возрастает при увеличении напряженности электрического поля \Е0Х\, как можно видеть на рис. 7.40. При 77К эффективность генерации поверхностных ловушек оказывается несколько выше, чем при 295К, что опять контрастирует со случаем индуцируемой электронами Dit-генерации.
fiffc06 Глава 7. Надежность субмикронных МОП-транзисторов Рис. 7.41. Исходная эффективность генерации поверхностных ловушек (приходящихся на одну захваченную на ловушки дырку) как функция напряженности электрического поля i\Ej) при температуре (77 и 295К) Ч„,Д0): dAD, dAN Характерные особенности обоих процессов, связанных с инжекцией дырок, а именно захват дырок на объемные ловушки и генерация поверхностных состояний, оказываются схожими, что свидетельствует о наличии причинно- следственной связи между этими процессами. На это же указывает и одинаковый характер полевой зависимости при различной температуре начальной эффективности генерации поверхностных состояний, приходящихся на одну захваченную на ловушки дырку (вместо инжектированной дырки, как это учитывается обычно). Соответствующий параметр определяется как (7.40) Его зависимость от напряженности электрического поля в подзатворном окисном слое \Е0Х\ при температуре 295 и 77К представлена на рис. 7.41 [82]. Важно указать, что, помимо непосредственного создания поверхностных ловушек во время инжекции дырок, наблюдается с задержкой по времени процесс образования поверхностных ловушек и после прекращения инжекции дырок [92, 96]. Было установлено, что эта «затяжная» компонента формирования поверхностных ловушек является активируемым температурой процессом, аналогичным наблюдаемому в приборных структурах при воздействии ионизирующего излучения [92]. Обоснование обобщенной модели деградации МОПТ под влиянием горячих носителей. Во время стрессовых испытаний, а также при достаточно продолжительной эксплуатации МОПТ выходные вольт-амперные характеристики деградируют: например, я-МОПТ с длинным каналом и толстым подзатворным окисным слоем (Lc = 2 мкм и Тох = 28 нм; AVt= 0,22 В) - рис.7.42 [97]. В короткоканальных МОПТ (Lc < 0,5 мкм) изменение 1( ^-характеристик под воздействием горячих носителей может быть более драматичным. Степень деградации МОПТ обычно характеризуется абсолютной величиной изменения порогового напряжения (AV) или относительным (процентным) уменьшением тока стока (AIJI^). Кроме того, часто деградация оценивается по относительному увеличению подпорогового ^-фактора (AS/S0) и/или по относительному уменьшению максимальной крутизны (Agjgm0). На рис. 7.43 [98] представлен характерный вид относительной деградации максимальной крутизны (Agjgm0) как функции напряжения на затворе я-МОПТ при стрессовом воздействии в течение 104 с (Leff= 0,8 мкм, Тох = 20 нм, Vd = 6,6 В). Соответствующая кривая нанесена в полулогарифмических координатах на фоне зависимостей lg Isub = f{Vg) и \g(ANit/NJ = t(Vg), где Isub - ток подложки [А] и Nit - плотность поверхностных ловушек, образованных в результате стрессового влия-
7.2. Влияние горячих носителей на стабильность приборных характеристик MOIJT 60 ния горячих носителей и измеренных методом накачки заряда. Все три кривые демонстрируют схожий характер зависимости от Vg и имеют максимумы, свидетельствующие о том, что темп деградации был наибольшим при одном и том же значении Vg« 3 В. Хорошая корреляция между Agm и Nit показывает, что основной причиной деградации является генерация поверхностных ловушек [98]. Однако в ряде работ утверждается, что деградация обусловлена захватом электронов на ловушки в подзатворном слое Si02 [99, 100]. Нужно заметить, что выводы, сделанные на основании рис. 7.43, несколько обесцениваются, так как не был использован апробированный усовершенствованный метод накачки заряда, позволяющий измерять плотность поверхностных ловушек (состояний), локализованных в узкой (в данном случае 0,2-микронной) области вблизи стока. С другой стороны, если захват инжектируемых электронов на ловушках в слое Si02 является основной причиной деградации я-МОПТ, то можно предположить, что пик деградации находился при достаточно больших значениях Vg, близких к Vd = 6,6 В, а не при V = 3 В, соответствующем максимуму тока затвора /,-рис.7.16[36]. На рис. 7.44 [ 1 ] сравниваются экспериментальные зависимости смещения порогового напряжения А 1^от напряжения, подаваемого на затвор V во время стрессового воздействия на я-МОПТ, с подзатворным окисным слоем двух типов. Первая группа структур характеризуется подзатворным окисным слоем с высокой концентрацией электронных ловушек, специально создаваемых ионизирующим излучением [1]. Этой группе приборов соответствует необычно большой пик AVt при высоких значениях V, где также наблюдались боль- Vd (В) Рис. 7.42. Вольт-амперные выходные характеристики я-МОПТ до (сплошные кривые) и после (штрихованные кривые) стрессового воздействия горячих носителей (L/W = 2 мкм/8 мкм; Тох = 28 нм; AVt = 220 мВ) Рис. 7.43. Зависимость тока подложки /sub, относительного приращения плотности поверхностных ловушек ANit/Nit0, деградации максимальной крутизны Agm/gm0 от напряжения смещения затвора V во время стрессового воздействия горячих носителей в течение 104 с, Leff = 0,8 мкм; Уй = 6,6 В; УшЬ = - 3 В
608 Глава 7. Надежность субмикронных МОП-транзисторов 50 40 30 (мв) >" м to 0 С - группа 2 J / j '/\\ группа 1 / \ ■ 4 6 8 1 V,(B) 0 шие величины тока затвора Ig. Этот пик является следствием захвата электронов на ловушки в объеме Si02. Вторая группа приборов, имеющих обычные подзатвор- ные окисные слои, характеризовалась наличием единственного пика зависимости AVt(Vg) при Vg = 4 В, где наблюдается также пик тока подложки (Vd = 8 В; Vt = 0,6 В). Пик зависимости AVt(Vg) приборов первой группы, расположенный в том же самом месте (Vg = 4 В), который не превышал пик для второй группы приборов. Эти оба обстоятельства свидетельствуют о том, что захват электронов не является доминирующим деградационным про- Рис. 7.44. Смещение величины порогового цессом для МОПТ с обычными подза- напряжения AVt в зависимости от напряже- творными окисными слоями, ния на затворе Kg, при стрессовом воздей- Таким образом, можно констати- ствии горячих носителей ровать, что процесс генерации поверхностных ловушек Dit является основным деградационным механизмом в и-МОПТ, подвергаемых стрессовому воздействию при комнатной температуре в режиме, когда Vg соответствует максимально большой величине тока подложки Isub [ 1 ]. Основанием для такого вывода служит то, что захват электронов на ловушки в объеме окисного слоя оказывается существенным лишь при очень больших значениях Vg при 77К [101] или же в случае приборов с большой концентрацией электронных ловушек. Захват дырок на ловушки может доминировать, наоборот, лишь при очень низких величинах Vg(&V), как это подтверждается наличием отрицательного смещения A Vt на рис. 7.44 [1]. Механизм генерации поверхностных ловушек может быть обусловлен взаимодействием с границей раздела Si-Si02 горячих электронов [76] или же горячих дырок [98], а также может являться результатом их совместного влияния [102, 103]. Одни лишь дырки, вероятно, не могут быть ответственными за заметную деградацию приборных характеристик, когда стрессовое воздействие осущест- Рис. 7.45. Выражение /L/d в зависимости от вляется в диапазоне средних значений величины /sub//d укладывается на прямую ли- у ПОСкольку скорость деградации (при нию в логарифмическом масштабе; записи- фиксированной величине lsJ монотон- мость tL как функция величины /sub/ Жтакже sub Isub / W (мкА/мкм ) ч. л Vvd о • 2 *! * ill ю-3 Isub / Id близка к линейной; tL определяется по кРи- ™ возрастает с увеличением V^ Vg- Vd терию AVX = 10 мВ. Линейный характер рас- f 104> 1051 <РИС- 7Л5У Увеличение Vd досматриваемых функций дает возможность собствует притяжению горячих электро- экстраполировать в область низких напря- нов к границе раздела и отталкиванию жений смещения (Vd и Vg) от нее горячих дырок.
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 609^ Простейшая модель предполагает, что горячие электроны могут бомбардировать границу раздела, несмотря на наличие замедляющей компоненты электрического поля EL в канале в случае V < Vd (Vgd < 0). Поверхностные же состояния (ловушки) образуются в результате обрыва химических связей [76]. Вероятнее всего, такими оборванными связями являются связи SiH [76]. Экспериментальные доказательства значительной роли водорода обсуждались довольно интенсивно, начиная с середины 1980-х годов [106,107]. Модель разрыва горячими электронами связей кремний-водород и образования при этом поверхностных состояний считается достаточно хорошо установленным фактом [108]. Экспериментально была обнаружена эмиссия молекулярного водорода из МОП-структуры как следствие высвобождения атомарного водорода при разрыве связей SiH под влиянием инжекции горячих электронов, образующихся в процессе лавинного размножения носителей [109]. Энергия связи SiH, согласно опубликованным данным, оценивается значениями от ~0,3 эВ [ПО] до 0,8 эВ [111, 112]. Эта энергия связи плюс высота энергетического барьера (Фв = 3,1—3,2 эВ) и разность потенциалов между линией тока, соответствующей максимальной плотности потока электронов вблизи границы канала с областью стока (при условии V < Vd) [103], позволяет оценить необходимую для разрыва связи SiH (для создания поверхностной ловушки) энергию горячих электронов, равную 4 эВ. Кроме того, следует отметить вполне реалистичную модель генерации поверхностных ловушек при рекомбинации электронов с дырками в пограничном объеме Si02 [102], которая была предложена для объяснения результатов импульсного стрессового воздействия. Имеется также доказательство, что рекомбинация электронов с захваченными на ловушки дырками может приводить к образованию поверхностных ловушек [113]. Энергии, высвобождаемой при рекомбинации электронов с дырками, оказывается вполне достаточно для разрыва связи SiH. Так что в процесс генерации поверхностных состояний вовлекаются горячие электроны, горячие дырки и атомарный водород [103]. Водород, водородосодержащие радикалы, молекулы или дефектные комплексы могут попадать в структуру МОПТ во время проведения различных технологических операций в средах, содержащих Н+, ОН", Н20, Н202, HF, HN3, кислоты, щелочи, органику и т.д. Такими операциями могут являться: «влажные» [114] или плазмохимические процессы травления — ПХТ [115]; осаждение различных слоев CVD-методами [115]; ионная имплантация Н+ [116]; операции окисления, термообработки и термическое нитрирование слоев Si02 в HN3 (гл. 3, раздел 3.5.1). Доказано также, что водород попадает в структуру МОПТ при операциях химико- механической планаризации (СМР) при создании многоуровневой системы металлических межсоединений, а также может проникать из внешней атмосферы (вместе с влагой) через недостаточно герметичные корпуса ИС. Следует напомнить, что водород содержится в исходных пластинах кремния, хотя сам по себе в монокристаллическом кремнии он не активен, но интенсивно взаимодействует с различными дефектами и примесями, образуя при этом электрически активные комплексы [117]. Водород специально вводится в структуру МОПТ [118] для пассивации поверхностных состояний на границе раздела Si-Si02 с целью коррекции порогового напряжения и уменьшения их поверхностной плотности (TV,,), что приводит при этом к увеличению подвижности носителей и, как следствие, к увеличению тока стока (Id). В работе [119] в структуре МОПТ водород заменялся его более
иг Глава 7. Надежность субмикронных МОП-транзисторов тяжелым изотопом — дейтерием (D), что позволило в значительной степени улучшить стабильность приборных характеристик и увеличить срок службы МОПТ. Обобщенная модель деградации МОПТ. Чтобы получить в первом приближении аналитическую зависимость между Isub и временем, необходимым, чтобы какой- либо из выбранных параметров под воздействием горячих носителей изменился на определенную (заранее оговоренную) величину А = 8Id или 5 Vn в [ 1 ] допустили, что скорость деградации приборных характеристик пропорциональна плотности горячих электронов с энергией, превышающей некоторый критический порог (Фсг создания повреждений в структуре МОПТ либо их захвата на ловушки, либо генерацию ими поверхностных и/или объемных ловушек): dA/dt = AG(A)Idexv(-<!>cr/kTe) ? (7.41) где Id — суммарная плотность потока электронов; функция (G(A) отображает скорость деградации, обусловленную существующими повреждениями (дефектами). Например, dA/d/ может уменьшаться с увеличением А из-за рекомбинации водорода и свободных (оборванных) связей атомов кремния [76] или же в результате отталкивания горячих электронов от границы раздела вертикальной составляющей напряженности электрического поля, а также из-за изменения Ет, приводящего к уменьшению ГД104]. На основании соотношений (7.3), (7.8) и (7.38): /^«(/./ИО-ехр-Ф,/^. (7-42) Исключая Те из (7.41) и (7.42) можно получить: Далее, полагая, что при изменении А(/) со временем 5/^ остается достаточно малым по сравнению с Id: A~[tAIJ^<LJI^YUa\ (7.44) что соответствует обычно наблюдаемой экспериментальной зависимости А ос//. (7.45) Показатель степени, как было установлено, изменяется в диапазоне п = 0,3— 0,7 в зависимости, главным образом, от величины напряжения смещения затвора Vg, увеличиваясь с его ростом. Несмотря на ряд попыток более строгого теоретического обоснования зависимости (7.45) [101], зависимость типа fs остается не более чем эмпирической подгонкой наблюдаемых экспериментально результатов в ограниченном диапазоне А. Очень часто величина п не оказывается постоянной величиной и уменьшается с увеличением А [43]. Срок службы МОПТ (tL) определяется как продолжительность стрессового воздействия, при которой величина А достигает определенного, наперед заданного, значения, соответствующего выбранному критерию выхода прибора из строя,
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 61 I как например: AVt = 10 мВ или AId/Id = 10% и т.п. В соответствии с соотношением (7.44) можно записать: tL-{Id/JV)~Usub/Id)-*''*' . (7.46) где ток подложки (Isub) может изменяться в широком диапазоне в зависимости от Vd, Vg, Lefp и Тох. Какой бы ни была причина увеличения Isub, последнее всегда приводит к уменьшению срока службы tL. Поэтому Isub является простым и общепризнанным критерием интенсивности деградационного проявления горячих носителей (электронов и дырок). Именно в виду этого обстоятельства и было уделено достаточно большое внимание всем аспектам поведения Isub. в разделе 7.2.3. Но то, что время жизни МОПТ (tL) может быть представлено простой степенной функцией от Isub, впервые было отмечено в работе [10] и обосновано в работе [50], в которой также показано, что можно с успехом пользоваться формулой: ^-(/^/ИТ*-'*'. (7.47) На рис. 7.45 [104] в качестве примера приводятся зависимости tL-Id /Wot IsJ Idи tL от ISJW. В случае V « ЗВ при Vd = 5 В (такчто Vg—Vd& -2В, т.е. в случае Vg < Vd) величины соотношения Фс//Ф19 определяемые по наклону зависимостей (7.46) или (7.47) в двойных логарифмических координатах, примерно совпадают в обоих случаях и оказываются приблизительно равными 3 [10,76,120]. Принимая Ф. = 1,20 эВ, можно сделать вывод, что критическая энергия горячего носителя (электрона), необходимая для его участия в деградации приборных характеристик, составляет Фсг = 3,84 эВ. Однако следует указать, что в другом предельном случае V > Vd (когда, например, оказывается Vg — Vd =2 В) наклон соответствующих зависимостей (7.49) и (7.50) (рис. 7.45) заметно изменяется и становится по абсолютной величине равным 2,1. Это обстоятельство свидетельствует о сильном влиянии на показатель степени в соотношениях (7.46) и (7.47) соотношения между величинами VgnVd. В работе [43] сказано, что проведенный анализ является достаточно общим и что в процессе деградации МОПТ могут принимать участие как горячие электроны, так и горячие дырки, или же деградационный механизм может быть обусловлен захватом носителей на ловушки, либо генерацией самих поверхностных ловушек. В результате исследований, проведенных со времени опубликования в 1985 г. работы [76], было достигнуто более или менее полное понимание согласованной картины деградационных механизмов как в «-МОПТ, так и в /ьМОПТ. Развитие процесса углубленного анализа деградации приборных характеристик МОПТ и соответствующих ей механизмов можно проследить по работам [76, 82, 121—123]. В табл. 7.3 рассмотрено наиболее вероятное направление (знак) изменения тока стока (Id) и вида повреждений, создаваемых в трех типах МОПТ (обычного «-МОПТ, LDD «-МОПТ и обычного /ьМОПТ) для трех диапазонов напряжения затвора (Vg): низкого (V « V), среднего (V « VJ2) и высокого Vg^Vd. Основные механизмы деградации характеристик и особенности деградации «-МОПТ и /ьМОПТ. В результате инжекции горячих носителей в объем подза- творного слоя Si02, а также вследствие возможного разогрева «холодных» носителей под влиянием электрического поля Еох при их перемещении в Si02 возможен как захват носителей на уже существующие поверхностные Njt или объемные Nox ловушки, так и создание новых ловушек. Эти процессы имеют долговременный
Глава 7. Надежность субмикронных МОП-транзисторов Табл. 7.3. [82] Деградационные механизмы уменьшения тока стока (Id) основных типов МОПТ и диапазонов (V) при стрессовом воздействии горячих носителей ч Низкое (Vg«Vt) Среднее Высокое л-МОПТ 1-t * Захват на ловушки дырок Создание Nit idU - * Создание Nit *А * Захват на ловушки электронов LDD-л-МОПТ Idt * Захват на ловушки дырок Создание Nit ldU * Захват на ловушки электронов Создание Nit U * Захват на ловушки электронов р-МОПТ ид * Захват на ловушки электронов Создание Nit 5Id*0 * Захват на ловушки электронов Создание N-t \и± * Создание Nit * Тип повреждений (захват на ловушки или создание поверхностных ловушек (TV,,)), определяющих деградацию тока стока (7d), указан курсивом. характер и могут происходить в течение всего времени функционирования МОПТ, т.е. в течение всего их срока службы. В итоге накапливаются соответствующие заряды (Qlt и Qox), которые, сохраняясь или перераспределяясь в объеме в Si02 как по координате х (поперек слоя в Si02), так и по координате у (вдоль слоя в Si02 и границы раздела Si-Si02), оказывают влияние на пороговое напряжение прибора (Vt) [124—126], изменяя тем самым эффективную величину напряжения смещения затвора Vg*=Vg—VtH3. величину AVr Общее выражение для порогового напряжения я-МОПТ (в отсутствие напряжения смещения подложки): Vt = VFB + 2VB+pe0zsrqNAVB/Cm, где Vn = bV„ ■Qf/c„, VB={kT/q)\n(NA/ni), Сох = е0еох/Тох, (7.48) (7.49) (7.50) (7.51) Здесь VFB — напряжение плоских зон; VB — напряжение, определяемое разностью потенциалов уровней Ферми в Si и собственной проводимости (eF — s)/q; A Vemss — разность потенциалов, соответствующая разности работ выхода материала затвора (Si*, Me или Six) и Si-подложки (/?-типа); Q/ — эффективная плотность фиксированного (захваченного на ловушки) заряда в объеме Si02 и на границе раздела Si-Si02. В случае подачи на затвор напряжения Vg = VFB на границе раздела выполняется равенство (в соответствии с законом Гаусса-Остроградского): .•£.. = &, (7.52) \Si/Si02 поскольку напряженность электрического поля в кремнии у границы Si-Si02 должна быть равной нулю, что следует из условия создания ситуации, соответствующей «плоским зонам» на энергетической диаграмме кремния у границы раздела Si-Si02. При этом: °x\Si/Si02 ох ох I ох (7.53)
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 613^ т.е. напряженность электрического поля в окисле у границы раздела Si-Si02 не обязательно должна быть равна усредненной величине напряженности электрического поля Еох = V0JT0X, где Vox — падение напряжения на окисном слое. Это обстоятельство обусловлено наличием встроенного в окисный слой пространственного заряда, который искажает линейное распределение потенциала по толщине слоя Si02. Отрицательный встроенный (фиксированный) заряд в объеме слоя Si02 выгибает функцию распределения потенциала по его толщине вверх, как показано, например, на рис. 3.52 (см. с. 146). Это приводит к ослаблению напряженности электрического поля у границы раздела. И наоборот, положительный фиксированный Рис. 7.46. Распределение потенциала в под- затворном слое Si02 в поперечном направлении (по координате х) под воздействием локализованных отрицательного В и положительного заряда D. Тх — длина заряд (в особенности вблизи границы туннелирования по Фаулеру-Нордгейму; Si-Si02) изгибает функцию распределе- Хр - координата центроида отрицательного ния потенциала вниз, что должно приво- заряда; Хп - координата центроида положительного заряда; VB — высота потенциального барьера для электронов; Vox — общее падение напряжения на подзатворном слое Si02 в поперечном направлении дить к заметному усилению напряженности электрического поля в окисном слое у границы раздела с Si-подложкой (Еох (Si02-Si)) при подаче на затвор положительного напряжения (рис. 7.46). Таким образом, для нахождения величины Eox{Si02S\) необходимо знать функцию распределения объемной плотности заряда р(х) по всей толщине слоя Si02 с тем, чтобы можно было решить уравнение Пуассона для нахождения функции Е(х) с учетом интегрального условия: тох JE(x)dx = Vm (7.54) и граничного условия (50) для случая V ^ VFB. Необходимо также иметь в виду, что падение напряжения поперек подзатвор- ного окисла является функцией координаты у, так что Vox(y)-V-Vs(y\ (7.55) где Vox(y) — распределение поверхностного потенциала вдоль канала по границе Si-Si02. Аналитическое выражение для Vs(y) при х = 0 получено в 1 -й части (соотношение (7.80) и рис. 1.33а). Таким образом, можно констатировать, что распределение порогового напряжения вдоль канала Vt(y) с учетом всех его составляющих (7.48) — включая также области пространственного заряда /?-я-переходов стока и истока, принимает (в отсутствие заметного напряжения смещения стока) выпуклую форму относительно середины канала, как показано схематично на рис. 7.47 [127]. На рис. 7.48 [128]
mb 14 Глава 7. Надежность субмикронных МОП-транзисторов НР8110А Генератор импульсов ж/ , Фиксированный уровень j HP 4145B Анализатор] [параметров .-/К fV/S/V/ Г22Т\ JZF ж Ут(х) Vfbix) Ш tB Vghr vgbJu\ о h: Аккумуляция в"! Инверсия 1срф V о о .о е оо о—о о , о L.jap»' хт** I Плавающий w-^r^^ г*11 I потенциал ГУ^ А А V"* А А h'h*: I ' . | , Подложка а) V6h VgbJ v,//n ^gb~^—» U U Icp^ ICP« в) Vgh Рис. 7.47. Схема экспериментальной установки для измерений по методу накачки заряда дается представление об улучшенном методе накачки заряда, позволяющем получать более достоверные латеральные распределения плотности как поверхностных ловушек (Nit(y) на границе раздела Si-Si02 так и приведенной поверхностной плотности объемных ловушек Not(y) в подза- творном слое Si02. Такая методика может использоваться только когда нейтрализа- ционный этап не вносит дополнительных поверхностных ловушек [128]. Метод накачки заряда получил широкое распространение как для оценки качества состояния границы раздела Si- Si02, так и для контроля ее локального изменения в результате стрессового воздействия. Суть метода накачки заряда заключается в измерении постоянной составляющей тока подложки, обусловленной рекомбинацией неравновесных носителей на «дефектах» (поверхностных состояниях), расположенных на границе раздела Si-Si02, в то время как эта граница раздела со стороны подложки периодически меняется, переходя в состояние аккумуляции либо инверсии — в результате попеременной подачи на затвор «зондирующих импульсов» напряжения смещения. При этом параметры импульсов, как например, форма, амплитуда, скважность, частота следования и напряжение их смещения (базовый уровень Vgb), могут быть фиксированными либо изменяться со Рис. 7.48. (а) Схема измерения тока накачки заряда / ; (б) распределение порогового напряжение Vt и напряжения плоских зон VFB вдоль канала относительно уровней Vh и Vb импульса напряжения на затворе Vgb = Vh - Уъ; (в) процесс измерения с использованием импульса накачки УЪ9 нижний уровень напряжения Vb фиксирован, верхний уровень Vh изменяется по высоте
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 61 эШ, временем по заданному закону. Однако следует заметить, что в случае исследования МОПТ-структур с ультратонкими подзатворными окисными слоями появляется заметная паразитная компонента измеряемого тока /ср, которая обусловлена прямым туннелированием носителей через эти слои. Этот эффект весьма схож с тем, что можно наблюдать при С( V)- измерениях. Он может приводить к большим ошибкам при оценке плотности поверхностных ловушек, а также величин порогового напряжения или напряжения плоских зон. Между тем, поскольку ток накачки заряда 1ср оказывается пропорциональным частоте следования зондирующих импульсов, а ток утечки от нее практически не зависит, то сигнал, соответствующий «чистому» току накачки заряда, легко может быть выявлен путем сопоставления измерений, осуществляемых на низкой и высокой частотах [129]. Поэтому метод накачки заряда остается относительно простым и достаточно точным способом определения локальной плотности поверхностных ловушек Nit(y) без квантомеханического моделирова- а) о J 1 Та -—*— N,, С коррекцией 1 * N„ Без коррекцииJ —*~~ N^ С коррекцией —о— N^ Без коррекции] V— j Уа\ \ \ Центр ! г f \\ v 1 V рп-перехода У ~- V4 V к<'\ A na \ г- ' 1 г-*"4*~—» г irT^y^' г : I1 Z 0.15 0.10 0.00 0.05 к / Канал 0.10 0.15 у (мкм) б) *J^^ J Л^ I \ ND \ Л—•—i—*-—i—•— W/L = 0,4/0,45 мкм —- NefT / / NA 1 Центр 7 рп-перехода —ч—i—•—i—«—i—«—г1 0.15 0.10 0.05 0.00 0.05 Сток} Канал 0.10 0.15 0.20 у (мкм) Рис. 7.49. (а) Латеральные профили распределения плотности поверхностных ловушек Nit(y) и приведенной поверхностной плотно- ния. В связи с этим целесообразно от- сти объемных ловушек в подзатворном окис - метить, что усовершенствованная совре- ном слое NJy), полученные с помощью двух менная техника исследования границы разновидностей метода накачки заряда, (б) раздела Si-Si02 на основе метода накачки Эффективные профили распределения незаряда позволяет идентифицировать на- скомпенсированной концентрации основной личие отдельных групп поверхностных легирующей примеси по обе стороны от ме- состояний (ловушек), включая их еди- таллургического центра-перехода стока ничные сочетания. На рис. 7.49л сравниваются латеральные профили распределения плотности поверхностных ловушек Л^(у) и приведенной поверхностной плотности объемных ловушек в подзатворном окисном слое Л^(у), полученные с помощью двух разновидностей метода накачки заряда [128, 130]. Причем последняя разновидность является усовершенствованной версией метода, предложенного в работе [130]. Представленные профили значительно различаются — особенно это относится к Nit(y). При этом существенным обстоятельством является то, что профили Nit(y) и Not(y), полученные с помощью усовершенствованного метода [128] (см. зачерненные маркеры), - заметно простираются в области канала. Так, например, профиль Not(y) с учетом его хвостовой части оказывается распространенным приблизительно на треть длины канала. Когда же профили Nit(y) и Not(y) находятся заведомо за пределами канала, т.е. оказываются расположенными в той части /ья-перехода
Ъ16 Глава 7. Надежность субмикронных МОП-транзисторов стока/истока, которая находится за его металлургическим центром (рис. 7.496), то становится трудно связать наличие этих сугубо локализованных профилей (и соответствующих им зарядов) с изменением нелокальной величины порогового напряжения (AV). Однако известен механизм [131], согласно которому дырки, образующиеся в результате ударной ионизации в зоне лавинного размножения носителей, (где летеральная составляющая напряженности электрического поля в Рис. 7.50. Эмиссия дырок в подзатворный канале достигает максимального значе- окисный слой я-МОПТ и их дрейф в сторону Ния вблизи границы канала у стокового истока /?-я-перехода), обладая заметной вероятностью перехода границы раздела Si-Si02 и попадая в подзатворный окисный слой, получают возможность дрейфовать в сторону истока под воздействием латеральной составляющей напряженности электрического поля Еуох в слое Si02, как показано на рис. 7.50 [131]. Поскольку нормальная (вертикальная) составляющая напряженности электрического поля (Ехох) у границы раздела Si-Si02 достигает своего максимума вблизи области стока, то в результате полевого снижения высоты барьера для дырок их эмиссия оказывается на этом участке наиболее интенсивной. Известно, что дырки в аморфном Si02 обладают подвижностью (|j,n(Si02) « 3 • 10~6 см2/В • с [132]), достаточной для их дрейфа на значительные расстояния (> 1 мкм) под воздействием сильного электрического поля, существующего в подза- творном окисном слое. Эмиттированные в Si02 дырки могут в зависимости от ситуации дрейфовать к затвору (Vd > Vg) или же перемещаться в сторону истока. В последнем случае дырки могут переместиться на значительное расстояние, сопоставимое с длиной канала (Ьс), и с заметной вероятностью принять участие в актах безызлуча- тельной рекомбинации, сопровождаемых выделением энергии, вполне достаточной для инициирования диссоциации имеющихся в Si02 молекул Н2. Выделяемый при этом атомарный водород может взаимодействовать со связями Si-H на границе раздела Si-Si02, что должно приводить к образованию поверхностных состояний (NJ, а также может, при взаимодействии со связями Sios—О, приводить к созданию поверхностных ловушек для дырок (Nit h). Здесь использованы обозначения: Sis — атом кремния на самой границе, имеющий ковалентную связь с ближайшими к нему тремя атомами Si; Sios — атом кремния у границы, имеющий связь с тремя ближайшими к нему атомами кислорода [133]. Таким образом, на другом конце канала (вблизи истока) может формироваться приповерхностный заряд, характеризуемый также неоднородным распределением Qss(y), но простирающийся на большую длину, нежели поверхностный заряд, локализованный у стока над участком с максимально большой летеральной составляющей напряженности электрического поля (Ef/{y) -> Е//м). Распределение Q^iy) может также захватывать и среднюю часть канала. В работе [131] были детально рассмотрены две модели нестабильности порогового напряжения в я-МОПТ, соответствующие двум режимам работы я-МОПТ (с пассивирующим SyVy-покрытием): при постоянном напряжении смещения Область Nit,max Л Hvit,min \ r—Область Область Q it,max' шштшлпш. эмиссииh+ \ J" Ш1штпщ{111ш\ +v p-Si Эквипотенциали
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 61 затвора или в режиме периодически изменяемом (импульсном) напряжении смещения затвора — при фиксированной величине Vd в обоих случаях. Следует отметить, что в случае DC-режима абсолютная величина изменения порогового напряжения \AV\ оказалась почти на два порядка меньше, чем в случае АС-режима (f = 10 кГц; AVt = -0,4 В) — после 10-часового стрессового воздействия в обоих режимах. Было установлено, что \AV\ зависит от Vg,Vdw температуры (7), а в случае АС-режима — также и от частоты (/) следования импульсов Vg, коэффициента заполнения (dc = t0J{ton + to:fj)i) и времени спада заднего фронта импульсов Vg. Причем AVt должно следовать при импульсном режиме теоретической зависимости (при условии tm>\/f)\ AVt~-4a\0-2Ni(h(ts)[\-exp(-kcftstf)l (7.56) где ts — продолжительность стрессового воздействия; Nith — приведенная поверхностная плотность дырочных ловушек; кс — коэффициент, имеющий размерность частоты;/— частота следования импульсов V9 tf— время спада заднего фронта импульсов Vg\ tdrjft — характеристическое время дрейфа дырок с момента включения импульса Vg. Необходимо заметить, что представленный механизм, основанный на эмиссии дырок в подзатворный окисный слой из области наиболее интенсивной генерации электрон-дырочных пар вблизи границы канал/сток, не является единственным. В последнее время получила широкое распространение гипотеза о возможности эмиссии дырок из поликремниевого затвора в подзатворный окисный слой в результате ударной ионизации, инициируемой в приграничной области поликремниевого затвора (поли-Si/SiO) горячими электронами, заметно увеличивших (или сохранивших, в крайнем случае) свою кинетическую энергию при пересечении слоя Si02 в результате взаимодействия с сильным электрическим полем (Е0Х). Инжектируемые таким образом из Si*-затвора дырки движутся в сторону границы раздела Si-Si02 над каналом и рассеиваются под влиянием процессов диффузии/ дрейфа преимущественно в сторону истока. Достигая при этом в итоге границы Si-Si02 (с большими или меньшими потерями), они могут инициировать создание как новых поверхностных ловушек (состояний) [134], так и захватываются на уже имеющиеся. Этот многоступенчатый механизм получил наименование «анодной инжекции дырок» — AHI (anode hole injecton) [135, 136]. В [137] было подвергнуто ревизии представление о доминирующей роли AHI- механизма в процессе деградационных изменений в подзатворном слое, приводящих не только к накоплению в нем и на его границах захваченного на ловушки заряда, что непосредственно изменяет, например, порогове напряжение AVt, но что в итоге должно приводить к электрическому пробою [138, 139]. Это происходит при достижении некоторого критического уровня деградации, связанного с определенной величиной прошедшего через него потока заряда Qh, обусловленного переносом дырок. Было установлено, что величина Qh при пробое QBD, является постоянной величиной, не зависящей ни от тока, ни от напряжения при стрессовом воздействии [138, 139]. Однако объяснение дырочного тока подложки за счет AHI-инжекции дырок из затвора в качестве единственного механизма до последнего времени не подвергалось исчерпывающей проверке. Между тем могут существовать и другие механизмы, как, например, генерация неосновных носителей, обусловленная фотонами [139, 140], индуцируемыми туннелированием че-
Глава 7. Надежность субмикронных МОП-транзисторов рез окисныи слои основных носителей (электронов) по Фаулеру-Нордгейму, что схематично отображено на рис. 7.51 [137]. В [137] на основе прямых экспериментов было показано, что существенную роль в генерации электронно- дырочных пар в подложке играют фотоны, испускаемые в результате тун- нелирования электронов в затвор по Фаулеру-Нордгейму. В настоящее время имеется также достаточное число публикаций, посвященных исследованию эмиссии фотонов из короткока- Рис. 7.51. Диаграмма энергетических зон си стемы Si*/Si02/Si, иллюстрирующая инжек цию дырок в анод (AHI) и эмиссию фотонов наЛьных МОПТ [141, 144], из которых (hv) из анода (Si*). Показана высота потен циального барьера для электронов (черные кружки) и для дырок (светлые кружки), сре и срь, соответственно хс xG хм JZ7 Г Г? сток ABC X p-Si ©: Точки максимальной фотоэмиссии. Рис. 7.52. Расположение участков я-МОПТ с максимальной интенсивностью фотоэмиссии. В случае Lc =0,35 мкм пик фотоэмиссии смещается от точки А к точке В при увеличе- следует, что заметная эмиссия фотонов происходит и из области лавинного размножения носителей в результате ударной ионизации вблизи границы канал/ сток, как показано на рис. 7.52 [145]. Испускаемые фотоны как со стороны границы Si*-SiO, так и из области лавинного размножения характеризуются достаточно широким энергетическим спектром, простирающимся до - 4 эВ и более. На рис 7.53 [137] приводится в качестве иллюстрации типичный эмиссионный спектр фотонов, испускаемых от границы Si*-SiO для случая Тох = 4,5 нм и Vg = 6,5 В (Еох = 14,4 МВ/см) который мог быть идентифицирован с помощью техники микроспектроскопии эмиссии фотонов в видимой части спектра (eph = 2,1 эВ соответствует X = 590 нм, тогда нии К,; при 4 = 0,4 мкм пик фотоэмиссии как граница ультрафиолета XuF= 400 нм наблюдается в точке В в достаточно широком соответствует s — 3,1 эВ). Что касается диапазоне Vd и Kg. Фотоэмиссия, обусловлен- эмиссии фотонов из области лавинно- наяпредпробойнымсостоянием/ья-перехода, го размножения носителей, то соответ- в основном локализована в точке С — ближе ствующий им энергетический спектр к месту максимальной его кривизны представлен на рис 7.54 [146]. К сожалению, этот спектр из-за технических трудностей измерения в ультрафиолете ограничен «видимой» своей частью, хотя и можно обоснованно предположить, что он простирается и в область «ближнего ультрафиолета» (X = 400—315 нм). На рис. 7.55 [145| показаны полученные с помощью компьютерного моделирования профили распределения: (а) — латеральной составляющей напряженности электрического поля (Е//У, (б) — электронной температуры (7^); (в) — скорости излучательной рекомбинации (Re = В • (пр— п? ),
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 619jj| ^ ЭЮ-3 <L> Л ас S рэ ГО К О Е< «4 Зю hQ н о о к PQ а о Ж <и н ню *" г* \ * + 1 1 I l l l I I I I 1.2 1.3 14 1.5 1.6 1.7 1.8 Энергия (эВ ) i i + 1 i i + 1.9 2.0 2.1 Рис. 7.53. Видимая часть спектра эмиссии фотонов, испускаемых от границы раздела Si*/ Si02. Исследованы приборные структуры с Тох = 4,5 нм при V = 6,5 В (Еох = 14,4 МВ/см) f 10» 3 в Ю7 СО К о о иг о | 10» ^ 1 ! ' №/1«;2<>бОЛ>.2мкм \fc =2.5В, \£ =0.8В, Vs «VjfO.OB Апроксимация |" '^-'-'^Г ——Скорректированный спектр —— Измеренный спектр "V,>v 1.5 2 2 Энергия фотонов( эВ) 5 Рис. 7.54. Энергетический спектр фотонов, испускаемых из области лавинного размножения носителей в результате ударной ионизации в я-МОПТ с длиной затвора L% = 0,2 мкм в режиме: Vd = 2,5 В; Vg = 0,8 В; V% = Ksub = 0В [ Затвор - Si* Е„ = 5,8-105 В/см Л1 //max ' ' 0,1 мкм/дел в) Затвор - Si* □ Т = 5700 К emax 0,1 мкм/дел б) Г S 0,1 мкм/дел Рис. 7.55. Полученные компьютерньш моделированием профили распределения: (а)—латеральной составляющей напряженности электрического поля (£//); (б) — электронной температуры (Ге); (в) — скорости излучатель- ной рекомбинации (Д, = 2 • 10~15 (пр - п2). Данные приводятся для п- МОПТ с длиной затвора L = 0,35 мкм при: (^ = 5 В и V =1,5 В где коэффициент В = 2-Ю15 см3/с. На рис. 7.55 также показаны зачерненные области, соответствующие максимальным величинам Е//9 Ттах и Д, (Е//9 = 0,58 МВ/см; Тетах = 5700 К; Remax = 6 • 1019 см3 -с1)- Полезно сравнить эти данные с результатами, представленными на рис. 7.89. При этом следует обратить внимание на то, что в случае рис. 7.89 рассчитывались значения скорости генерации горячих носителей (RG) для различного типа структур МОПТ (включая LATID-структуру), так что RGmax > 1028 см3 • с1, что примерно на 8—9 порядков превышает Remax. Важно также особо подчеркнуть, что область повышенной скорости эмиссии фотонов в результате излучательной рекомбинации электронно-дырочных пар располагается относительно неглубоко и распространяется достаточно далеко в сторону границы раздела Si-Si02, одновременно продвигаясь при этом в направлении к истоку. При уменьшении длины канала и одновременном масштабировании других параметров выше рассмотренные эффекты эмиссии фотонов (как из Si*-3aTBopa (анода), так из области эффективной генерации электрон-дырочных пар вблизи грани-
ЪЮ Глава 7. Надежность субмикронных МОП-транзисторов цы раздела Si-Si02) должны интенсифицироваться и при этом пространственно приближаться к истоку, распространяясь на все большую часть канала. Если при этом принять во внимание, что достаточно энергичные фотоны могут создавать электронно-дырочные пары и принимать участие в разнообразных фотохимических процессах, приводящих к образованию как заряженных ловушечных центров, так до поры до времени нейтральных центров захвата и рекомбинации, то, учитывая также, что фотоны достаточно диффузно могут рассеиваться по всей активной части структуры МОПТ, — можно объяснить возможность появления эффективного заряда, более или менее равномерно распространенного на сравнительно больших участках над и вдоль канала, достаточно удаленных от стока. Уместно в связи с только что сказанным напомнить, что пороговая энергия создания повреждений (деградационных центров) под воздействием горячих носителей, обусловливающих десорбцию водорода, сопровождаемую разрывом соответствующих связей, составляет -3,6 эВ, что в пересчете на длину волны фотона, могущего поставить эту энергию (X = hc/г), дает X = 345 нм, что находится в области ближнего ультрафиолета у самой границы с областью видимого света (А, = 400 нм). Необходимо также подчеркнуть, что эффективный заряд или даже просто ло- вушечные центры различной природы, расположенные у истокового начала канала, оказывают большее деградационное воздействие на приборные характеристики МОПТ, нежели когда адекватные им «нарушения» локализуются у стокового конца канала. Сказанное легко проверяется переменой местами стока и истока, осуществляемой простой перекоммутацией подаваемых на них напряжений смещения сразу же после стрессового воздействия. В качестве примера приведем табл. 7.4 и 7.5. Из представленных в табл. 7.4 результатов можно видеть, что в случае перемены местами истока и стока (реверсное включение «г») , тогда как стрессовое воз- Табл. 7.4. Прогнозируемый срок службы (/L, с) на основании статических стрессовых воздействий на структуры МОПТ с одинаковым током стока в режиме насыщения (Id sat), Vd = 5В Параметр, по изменению которого оценивалась допустимая деградация /,_=(D/,//,= Ю%) s * Leir= 0,65 мкм Структура МОПТ CONV* 1 х 108 8х 108 1х 104 1,5 х 104 4х 105 LDD1** 4х 108 1 хЮ6 2,5 х 104 Зх 105 8х 105 LDD2** 1,5 х 109 2,5 х 107 4х 106 1,5 х 106 1х 109 ** LefT= 0,45 мкм Примечание Прямое включение Реверсивное включение AVt = 10 мВ Agm/gm=10% AS/S=10% [180] Табл. 7.5. Конструктивно-технологические параметры приборных структур, использованных для получения результатов, представленных в табл. 7.4 [180] Параметр/Режим Толщина подзатворного окисного слоя Тох (нм) Толщина стенок спейсера Tsn (нм) Доза имплантации Z)n.(cm2) Энергия имплантации eN_ (кэВ) Структура МОПТ CONV (обратная) 19 — — - LDD1 19 100 1 х 1013 30 LDD2 19 150 1х1013 45
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 621 действие осуществлялось в нормальном (прямом) включении, предсказываемые значения срока службы в реверсном режиме tLr оказываются примерно на два порядка меньше tLf— предсказываемого срока службы, когда после стрессового воздействия исток и сток не перекоммутировали. Значительное уменьшение tLr можно объяснить в первую очередь только тем, что наличие локализованного участка повреждений (Nit и NJ у истока (т.е. в самом начале канала) оказывает на ток стока в режиме насыщения существенно более сильное дегра- х) 0.8 "Т| » » f "i"| 1 1 rv\ » гтт о -0,400мкм « • -0,325мкм ю9 ,,„> i t > I I i LXl 1010 10" Nit (см"2) 10'* 10ia Рис. 7.56. Экспериментальные зависимости A/d sat r/A/d sat f от Л^. Образцы п- МОПТ, имеющие различную длину канала (Lc = 0,400 мкм дационное воздействие, нежели в том (светлые кружки) и Lc = 0,325 мкм (зачернен- случае, когда те же самые повреждения ные кружки)). Индексы г и /относятся, со- размещаются вблизи стока (правда, зер- ответственно, к реверсному (перекоммути- кально отображенными относительно Рованн^эму) и прямому (обьиному) включению ч МОПТ. Измерения AL^t проводились при середины канала) - при нормальном тг Л n 0 Ar d sat . к . ' - Vd = 1,0 В, оценка N,t осуществлялась в «ли- (прямом) включении прибора, т.е. в том нейном режиме>> (Kd = 0,1 В). Стрессовое воз- же положении, при котором осущест- действие: Vd = 2,5-3,ЗВ и Vg = 0,5В влялось и стрессовое воздействие. На рис. 7.56 [148] представлены зависимости (MdfSatir/MdiSat/) ' (ld,satJlh,Sat) от Nit — плотности создаваемых поверхностных ловушек (индекс f означает реверс- ное включение прибора, а индекс/— прямое (нормальное) включение — в случае «-МОПТ, имеющих разную длину канала: Lc = 0,400 мкм (светлые кружки) и Lc = 0,325 мкм (зачерненные кружки). Можно отчетливо видеть, что при слабом стрессовом воздействии, когда Nit оказывается заметно меньше 10й • см2, то (Mdt5attr/MdtSat) ' Ud,satj/Id,Sat,i) стремится к 1, и наоборот, с увеличением Nit -> 1013 см3 это отношение начинает заметно уменьшаться. Важным обстоятельством при этом является то, что с уменьшением длины канала (даже небольшим, как в рассматриваемом случае ~ 20%) ассиметрия в поведении тока стока при перекоммутации местами истока и стока (Id>sat/> Id>sat>) ослабляется, что можно объяснить более равномерным распределением деффектов (Nit(y) вдоль границы Si-Si02 при уменьшении длины канала [149]. В настоящее время имеется достаточно большое количество опубликованных работ, посвященных исследованию закономерностей изменения тех или иных приборных характеристик (А = AVt, AId, Agm, Д^и др.) — как функции продолжительности стрессового воздействия A =j{ts). Наибольшее количество работ при этом, пожалуй, приходится на исследования, посвященные проблеме деградации порогового напряжения (AV). Как правило, о чем уже говорилось и ранее, величины деграда- ционных изменений следуют степенному закону: А = А • tn, где показатель степени п может изменяться в довольно широких пределах (0,2 < п < 1,0). На рис. 7.57 [ 150] приводится зависимость AVt от / — продолжительности стрессового воздействия (Vd = 4 В, V = 2 В) для я-МОПТ с Lc = 1 мкм и с ультратонкими подзатворными окисными слоями (Тох = 2,4 и 3,2 нм), подлегированными фтором с целью уменьшения плотности поверхностных состояний (Dj(« 1010 см2 • эВ1). Кроме зависи-
|fi22 Глава 7. Надежность субмикронных МОП-транзисторов 0 10 • \10 ■ < g1°0 ^В GO .1 в GO < "2 10 1 2 3 10 10 Ю Продолжительность стресса( с) 1 о S 10 w из2 *л1 5 ^ 2 g ^ ю1 S ад . < 5 2 10° И Г V*Yd Ж""1 ' : Ю* 4 8 20/1.7 ; -да 8 8 20/1.7 у а- 4**10 8 20/1.7 X О " *о> 3 8.5 8/1.5 / ^ .V* 3 7.5 8/1.5 /<в° <>♦ 5 7 20/1 /и J ч ^ ?* У' ■ i/T *У* ■ тох =28ох; )° 2 5 101 2 5 102 : 5 2 ^ ю° ^Г ел 5 5 2 ю-' 5 AVt ( мВ ) Рис. 7.57. Влияние атомов фтора (F) в окисном слое вблизи и на самой границе раздела Si/Si02 на деградацию порогового напряжения (A Vt) максимальной крутизны (Agm/gm) и тока стока (AIJQ при стрессовом воздействии (в режиме Vd = 4 В и V Рис. 7.58. Корреляция между деградацией порогового напряжения (AVt), максимальной крутизны (Agm/gm) и подпорогового ^-фактора (AS/S) после стрессового воздействия горячими электронами = 2 В). Образцы я-МОПТ с Lc = 1 мкм; Тох = 3,2 или 2,4 нм. Удаление естественного окисла перед выращиванием подзатвор- ного слоя Si02 производилось погружением в плавиковую кислоту (HFD), что способствовало эффективному внедрению F, либо воздействием паров HF (HFV): BDHFD-02(3,2hm) OOHFV-02(3,2hm) A A HFD-02 (2,4 нм) ▼V HFV-02 (2,4 нм) мости A Vt(t) на рис. 7.57 приводятся также зависимости Agjgm = АО и (AId/Id=A0- Можно видеть, что «усредненный» наклон зависимости Agjgm к AVt от ts примерно одинаков и показатель степени аппрокси- мационной функции А = А- //) оказывается близким к 1, тогда как в случае зависимостей Aijid =А0 п -* ~о,з. Это обстоятельство можно объяснить тем, что в последнем случае работает в основном другой механизм деградации, более опосредованный (влияющий на AId через Ац и/или ARser — изменение паразитного последовательного сопротивления) — в отличие от случаев изменения порогового напряжения (AV), крутизны (AgJ, а также подпорогового ^-фактора (AS), когда доминирующим механизмом их деградации оказывается воздействие приведенного поверхностного заряда, захваченного, в основном, поверхностными ловушками. Так, например, в качестве доказательства существования корреляционной зависимости между смещением порогового напряжения (AV), крутизны (AgJgJ и подпорогового 5-фактора (AS/S) можно привести рис. 7.58 [151], из которого можно обоснованно предположить, что поскольку все точки хорошо аппроксимируются прямыми линиями, имеющими одинаковый наклон, равный 1, то деградация перечисленных параметров (Vt, gm и S) обусловлена одной причиной — появлением дополнительного заряда (вероятнее всего, локализованного на активизированных поверхностных состояниях. Показатель степени (п) в функциональной зависимости A Vt \i tns определяется, главным образом, соотношением напряжений затвора (Vg) и стока (Vd) при стрессовом воздействии (в случае не очень короткоканальных я-МОПТ). При Vg = Vd/2 можно было наблюдать п = 0,6, а при Vg = Vd показатель степени снижался
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 623 •►е.в -i.e -з.в в.с tm=0'2 ***г ***** * ***** I 1.в 2.в Э.в 4.0 5.8 lgts(c) Рис. 7.60. Зависимость приращения порогового напряжении (AV) от продолжительности стрессового воздействия (/s), в режиме Рис. 7.59. Зависимость приращения порогового напряжения (A Vt) от продолжительности стрессового воздействия (/s), осуществляемого в режимах: Vg = Vd (#-маркеры) и V = Vd/2 (*-маркеры). Наклон аппроксимирующих кривых составлял: в первом случае m = 0,2 и Л _ Л с гл алглт-гг до величины п = 0,2, как это видно из во втором п = 0,6. Исследовались я-МОПТ м ' ' м Fg = 0,65 Kd; LDD-МОПТ (Lg = 1,3 мкм; 7;х = 25нм) с L = 2 мкм; Тох = 40 нм при Vd = 8 В рис. 7.59 [152]. Можно предположить что в первом случае (п = 0,5—0,7) причиной деградации являются заряды, накапливаемые на поверхностных ловушках (NJ, создаваемых под воздействием дырок и электронов, инжектируемых в подзатворный окисный слой; во втором случае (п = 0,2—0,3) деградация обусловливается зарядом, захваченным в объемные ловушки (Nox), создаваемые в Si02. В условиях большой плотности потока инжектируемых электронов (при На рис. 7.60 [152] приводится характерная зависимость AVt от ts для случая LDD-n-МОПТ с Lc = 1,0 мкм и Тох =25 нм при стрессовом воздействии при условии Vg/Vd= 0,65. Естественно предположить, что в данном случае проявляют себя два конкурирующих процесса: на начальном этапе (ts > 3000 с) доминирует механизм деградации, обусловленный Nox (когда п = 0,5—0,7), тогда как при ts < 3000 с начинает явно превалировать механизм, обусловленный поверхностными ловушками, так что в общем виде можно записать: AVt = A-f + B-tm. (7.57) Интересно также отметить, что в работе [152] было показано, что деградаци- онные повреждения, обусловливающие захваченный в окисле заряд в меньшей степени оказываются локализованными вдоль канала вблизи стока, нежели поверхностные ловушки (состояния). В случае /ьканальных МОПТ обычно наблюдается иная закономерность деградации AVt от продолжительности стрессового воздействия [153], а именно: AV,'~A-]g(ts/t0). (7.58) Однако в случае достаточно короткоканальных /ьМОПТ с учетом того, что захват заряда на ловушки в окисном слое ограничивается кулоновским отталкиванием, обоснованно ожидать зависимости типа Lkc^it. Более подробно физические механизмы, обусловливающие различие в деградационном поведении «-МОПТ и /7-МОПТ, будут рассмотрены в двух последующих подразделах.
^24 Глава 7. Надежность субмикронных МОП-транзисторов Перейдем к анализу физической картины деградации тока стока Id в л-МОПТ. Деградация тока стока AId как в линейной области 4(PQ-характеристик, так и в области насыщения AIdsan обусловлена двумя основными факторами: деградацией (уменьшением) подвижности носителей jad sat в канале и деградацией (увеличением) паразитного последовательного сопротивления приборной структуры Rser. Деградация подвижности определяется усилением кулоновского рассеяния соответствующих носителей (электронов) заряженными поверхностными состояниями (ловушками), находящимися на границе раздела Si-Si02. Деградация це усиливается в результате увеличения приведенной поверхностной плотности этих заряженных центров, которая увеличивается с ростом продолжительности стрессового воздействия (Q и его интенсивности (эффективности). На рис. 7.61 [154] представлена в двойных логарифмических координатах схематичная картина изменения эффективной подвижности носителей (це1Т) в зависимости от эффективной величины поперечной составляющей напряженности электрического поля (Ее#). При этом были использованы три основные компоненты подвижности: це— подвижность, обусловленная кулоновским рассеянием на заряженных центрах (включая заряженные поверхностные ловушки, что представляет в данном случае наибольший интерес с точки зрения влияния деградационных процессов — через ANjt на jaeff); jiph — взаимодействие носителей с колебаниями решетки (фононами) и ц8Г — подвижность, обусловленная влиянием микрорельефа поверхности. Влияние всех этих составляющих подвижности на jieff суммируется согласно правилу Матиссиена: _L-J_ J_ _L Veff~Vc V-рн Vs/ (7'59) На рис.7.62 [155] представлены зависимости относительной деградации поверхности электронов (Ajnc/|Lie0) от продолжительности стрессового воздействия (ts) в статическом (□) и динамическом (х) режимах. В обоих случаях наблюдалась почти линейная зависимость A\xJ\xeQ от ts, что свидетельствует о наличии прямой пропорциональной связи: А|ие ос ANir Рассмотрим далее влияние последовательного сопротивления на ток стока. Основными составляющими Rs являются величины сопротивления истоковой и стоковых областей (Rs и Rd). В современных LDD-я-МОПТ Rs и Rd определяются электрическим (омическим) сопротивлением «-областей, которое возрастает при стрессовом воздействии в результате захвата электронов на поверхностные ловушки (ANit) на участке, расположенном как раз под основанием спейсера, что Рис. 7.61. Зависимость эффективной подвижности (1/цеП) от эффективной величины поперечной составляющей напряженности электрического поля (£е0) в канале МОПТ. Вертикальные стрелки (Т, ft и I) означают увеличение или уменьшение соответствующих величин
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 625 ю' 10* н5> 10' 10" 10" ю2 а < 10" 10* AIcp(A)ocANit 10' Рис. 7.62. Изменение подвижности электронов (Ацс/це) в зависимости от продолжитель- Рис. 7.63. Деградация тока стока в линейной области (A/d//d) и последовательного сопро- ности стрессового воздействия (/s) в режимах: тивления (АД,ег / /^ег) в зависимости от при- статического воздействия (П — маркеры) и ращения плотности поверхностных ловушек динамического (импульсного) воздействия (ANit), представляемого величиной измене- (х -маркеры) ния тока накачки заряда (А1ср ос A7Vit) - для трех типов структуры я-МОПТ: MLDD (•), в свою очередь обусловливает как обе- 45° LATID (и) и LDD (а). Величина A/d//d днение ниже располагающейся области оценивалась при Kg = 5 В и Vd = 0,1 В носителями (An), так и уменьшение их подвижности (А)ие). Именно в этой достаточно ограниченной области (Lsp) начинается процесс деградационного накопления заряда, который затем быстро распространяется на область наложения затвора (Lov) [156] и далее вдоль канала в сторону истока. На рис. 7.63 представлена картина относительного изменения последовательного сопротивления R'ser (« Rs + Rd) для трех различных приборных структур (MLDD, 45°LATID и LDD), сопровождаемая синхронным относительным изменением тока стока на линейном участке Id( ^-характеристик — в зависимости от величин приращения тока накачки заряда (А/ф), который прямо пропорционален величине приращения плотности поверхностных ловушек — (AN,.) [156]: AIcp=q-fW-AL-ANin (7 60) где q — электронный заряд; /— частота следования зондирующих импульсов; W— ширина канала МОПТ; AL — протяженность деградационного участка. Можно видеть, что ARsJRser и AId/Id следуют степенному закону зависимости от ANit в случае приборных структур MLDD и 45°LATID. В случае же LDD-структуры наблюдается резкий излом в одном и том же месте как для AId/Id-, так и для А/^//^.-зависимостей от ANit, что свидетельствует об одинаковой причине, обусловливающей такое поведение. На рис. 7.64 [157] приводятся профили распределения приращения плотности поверхностных состояний ANit(y) и латеральной составляющей напряженности электрического поля Е^у) для трех различных приборных структур (MLDD, 45°LATID и LDD) после стрессового воздействия в течение 11000 с. Также показаны местоположения металлургических центров соответствующих/^-«-переходов в
626 Глава 7. Надежность субмикронных МОП-транзисторов <Оо Стресс: Vg= 3,5В Vd = 7B 11000c MLDD 45е LATH? О.б 0.7 Координата (мкм ) 2500 о е* 2000; f X \ Lsp= ~v > К 0,08мкм | > Г- Р,05мкм/ LATID 1-Обычная 1 структура i 1 A /LDD ^ О4^ ^~ ~*^ 1 LATID- без спейсера 2 3 4 5 IVcose (Ю13см-2) Рис. 7.65. Зависимость последовательного сопротивления (RseT) от эффективной дозы имплантации легирующей примеси (Nn- x cos Э) при формировании «-областей истока и стока для трех типов приборных структур N-МОПТ: LDD, LATID и LATID без спейсера. В качестве параметра- толщина стенки спейсера Lsp. Величина V* = V - Vt выдерживалась равной 1,3 В при Vsuh = 0 для каждой длины затвора (Lg) стоковой области у границы с каналом. Рис. 7.64. Профили распределения приращения плотности поверхностных состояний ANit(y) и латеральной составляющей напряженности электрического поля E/f{y). Исследовались приборные структуры я-МОПТ MLDD, 45° LATID и LDD после стрессового воздействия (Vg = 3,5 В, Vd = 7 В и /s = 11000 с). Показано местоположение стоковыхр-я-переходов Аналогичные приборные структуры (А, В и С), стрелкой отмечена координата были использованы и при получении края затвора результатов, представленных на рис. 7.63. По-видимому, резкий скачок на зависимостях AId/Id и ARser/Rser от AJch(ccANit) для случая LDD-структуры связан с наличием у нее очень резкого пика на распределении ANit(y). Абсолютные величины последовательного сопротивления (Rser) для различного типа приборных структур можно проследить на рис. 7.65 [158], на котором приводятся зависимости Rser от эффективной дозы имплантации легирующей примеси при формировании «-областей истока и стока (Nn_ x cos Э) для случаев приборных структур. LDD, LATID и LATID без спейсера. Можно видеть, что величина Rser легко управляется не только с помощью эффективной дозы, но и в результате варьирования толщины стенок спейсеров Lsp. На рис. 7.66 отмечена область в координатах Idsat и Nn- х cos 9, где доминирующим фактором, оказывающим основное ограничивающее влияние на Idsan является Rser. Однако следует отметить, что поскольку основными составляющими Rser являются сопротивления областей истока и стока (Rser = Rs + Rd), их роль, однако, не является одинаковой. Так, в случае рассмотрения тока стока в режиме насыщения /^доминирующее влияние на него оказывали величина сопротивления истока Rs, как убедительно было показано в работе [159].
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 62 Особенности деградации ^-канальных МОПТ Как уже отмечалось ранее, повреждения, индуцируемые в «-канальных МОПТ, оказываются наибольшими, когда инжекция горячих носителей осуществляется в условиях, соответствующих максимальному току подложки (Isub)max), т.е., когда напряжения смещения затвора (Vg) и стока (Vd) удовлетворяют условию Vg« (Vd/3 + VJ2) - [76 160]. При условии Vg < Vd/2 в подзатворный окисный слой могут совместно инжектироваться как горячие электроны, так и горячие дырки [10] — рис 7.67 [161]. Деградация, вызываемая горячими носителями, как правило, приводит к увеличению порогового напряжения (V), тогда как ток стока (Id) и максимальная крутизна (gm) обычно уменьшаются. Эта деградация приборных характеристик обусловлена захватом заряда на ловушечные центры как в объеме окисла, так и на границе раздела Si-Si02, а также созданием новых ловушечных центров, в том числе и на границе раздела, что особенно важ- р^^ Измеренные и расчетные величины но. Смещение порогового напряжения Т0Ка стока при насыщении (/,J как функции (AV) и относительное изменение тока эффективной дозы (Nn-x cos 6) при создании стока (А//4) и максимальной крутизны имплантацией «слаболегированных» п- (AgJgJ в большинстве случаев следуют областей трех типов приборных структур: простому степенному закону зависи- LDD, LATID и LATID без спейсера. В каче- 350*- Nn-.cos0( 1013см'2) мости от времени: At"s, где / — продолжительности стрессового воздействия, а коэффициент А зависит от Vd и от технологии [76]. Величины показателя степени (п) обычно оказываются в диапазоне 0,5—0,7, когда стрессовое воздействие осуществляется при средних (умеренных) значениях, т.е. при Vg& Vd/2 [10,76]. Это условие также соответствует наиболее благоприятному режиму создания новых ловушечных центров (поверхностных состояний) на границе раздела Si-Si02, как показывают эксперименты, осуществляемые методом накачки заряда. При более низких величинах V показатель степени п обычно оказывается в диапазоне 0,2—0,3, когда осуществляется мониторинг деградации Vd9 Id и gm, тогда как эксперименты, проводимые с помощью метода накачки заряда, показывают, что при этих условиях эф- стве параметра — толщина стенки спейсера (L ). Стрелкой отмечена область, где основным ограничивающим Idsat фактором является R >°° Инжекция ч. электронов чЛ Инжекция' '// ' / дырок 'А //////// /// Критическое Vd vd Рис. 7.67. Диаграмма предпочтительной и совместной инжекции электронов и дырок в подзатворный окисный слой вблизи стока обычных «-МОПТ в зависимости от напряжения затвора (V) и стока (Vd)
((f&28 Глава 7. Надежность субмикронных МОП-транзисторов фективность создания новых поверхностных состояний (ловушек) также следует степенному временному закону, но с показателем степени, примерно равным 0,5 [160]. Однако следует заметить, что при достаточно малых величинах Vg создаются как поверхностные состояния, так и электронные и дырочные ловушки в окис- ном слое, что усложняет интерпретацию получаемых результатов. В работе [162] было показано, что если деградацию отслеживать как функцию инжектированного заряда (вместо использования временной зависимости), то деградация рассматриваемых приборных характеристик (Vt, Idw gm) будет следовать (независимо от условий проведения стресса) единому степенному закону с показателем степени, примерно равным 0,5. Вышесказанное справедливо лишь при достаточно низких величинах V и при условии, что вклад ловушек в окисле в чистом виде (как положительный, так и отрицательный) оценивается по результатам итоговой деградации [162]. 400 f 300 > 200 s 3 юо о с • 1 ■ 1 ' 1 1 ■ ■ \ «i.i.i. J 2 4 б V(B) 3 1 0 Важным является то, что кратковременная инжекция электронов (обычно продолжительностью 10—100 с при Vg = Vd), осуществляемая после долговременной инжекции горячих носителей, оказывается в состоянии вызывать значительную новую деградацию Vt или gm [160]. Однако аналогичная по своим параметрам кратковременная инжекция электронов, осуществляемая в случае я-МОПТ, не подвергнутых предварительно стрессовому воздействию, не приводит к такой же деградации. Таким Рис. 7.68. Сдвиг порогового напряжения г , , /АТ,Ч F__ F образом, этот эффект «послестрессово- (А К) в зависимости от V0 при стрессовом воз- „ J D A т/ го воздействия» связан с наличием уже действии. Величина A Vx измерялась сразу же м J после инжекции горячих носителей (О), а Ранее существующих повреждений, ин- также после дополнительной кратковремен- дуцированных в w-МОПТ в результате ной инжекции электронов (И). Долговремен- предшествующего долговременного ная инжекция горячих носителей (HCJ) осу- стрессового воздействия. Эта дополни- ществлялась при Vd = 8,5В в течение тельная «скрытая» деградация, проявля- 4 • 104 с,тогда как последующая кратковре- ющаяся под воздействием последующей менная инжекция электронов проводилась кратк0временной инжекции электро- при V,= V = 8,5 В в течение 100 с г F d * нов, может быть устранена в результате кратковременной инжекции дырок (например, при Vg = Vd/4), что возвратит /^(^-зависимость к ее прежнему виду. В работе [163] было показано, что монохроматический свет с энергией фотонов, превышающей 3 эВ, или приложение достаточно сильного электрического поля к слою Si02 оказывается также достаточным для возвращения приборной структуры к прежнему состоянию, характерному для случая исходного долговременного стрессового воздействия. На рис. 7.68 [160] можно видеть, что эффект кратковременной инжекции электронов наблюдается только тогда, когда долговременная инжекция горячих носителей осуществляется при достаточно низком уровне: К<4В. (7.61)
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 629 В случае инжекции горячих носителей, осуществляемой в режиме, соответствующем максимуму Isub, величина п « 0,5—0,7 (показателя степенной зависимости AccAt") обусловлена доминирующим процессом создания быстрых поверхностных состояний. Более ранняя модель связывала эту величину п с процессом создания поверхностных состояний горячими электронами, имеющих энергию (относительно дна зоны проводимости кремния), равную 3,7 эВ и выше [76]. Наличие такой пороговой энергии и наблюдаемая степенная зависимость от времени объяснялись этой моделью как следствие разрыва связей Si-H горячими электронами [76]. Другой подход [66] основан на механизме, в котором активную последовательную роль выполняли как дырки, так и электроны, так что эта модель получила наименование «двухстадийного процесса» генерации поверхностных состояний [113]. В этой модели дырки захватываются в окисном слое весьма близко от границы раздела Si-Si02 (на расстоянии, не превышающем 3 нм), а в результате последующей рекомбинации инжектируемых электронов с этими дырками, захваченными ловушками, высвобождается энергия, достаточная для инициирования процесса создания поверхностных состояний (см. раздел 7.5). Однако эта «двух- стадийная» модель не была с необходимой определенностью ни подтверждена, ни опровергнута экспериментально с использованием конкретных МОПТ-структур. Таким образом, ни одну из физических моделей, предложенных для объяснения величины п « 0,5, нельзя считать окончательно и твердо установленной. По результатам измерений, основанных на методе накачки заряда (Ср-метод: Change Pumping method), было определено, что вероятность образования поверхностных состояний (определяемая количеством поверхностных состояний, приходящимся на один инжектируемый носитель) составляет для электронов Pite « 10~3-И0~2 (см. табл. 7.2) [82]. Приведенные выше значения Pite следует рассматривать как ориентировочные, указывающие лишь на порядок соответствующих величин, поскольку они зависят от суммарного инжектированного заряда, при котором производились измерения. Хотя всегда выполняется сильное неравенство Pi(e<r+ Pith, так что Pit>h/Pit<e ~ Ю6 -f-105, т.е. эффективность создания поверхностных состояний дырками оказывается намного большей, чем в случае инжекции электронов. При низких значениях Vg инжектируемые в окисный слой дырки захватываются на уже существующие ловушки донорного типа. Вероятность такого захвата дырок была оценена как приблизительно находящаяся в диапазоне 0,1-0,5, исходя из данных, полученных с помощью метода накачки заряда [122]. Результирующий положительный заряд, образующийся при этом в объеме окисного слоя, приводит к уменьшению эффективного смещения Vt, вызываемого поверхностными состояниями, заселяемыми электронами. В соответствии с этой моделью наблюдается дополнительный положительный сдвиг Vt вслед за последующей кратковременной инжекцией электронов (рис. 7.68). Это обусловлено усилением эффективности влияния поверхностных состояний, поскольку положительные заряды в объеме Si02 нейтрализуются в результате инжекции электронов. Однако некоторые группы исследователей полагают, что наибольший положительный сдвиг Vt, наблюдаемый после кратковременной инжекции электронов, может быть обусловлен также и захватом электронов на ловушки акцепторного типа в объеме окисного слоя, созданные ранее во время долговременной инжекции горячих носителей при низких значениях Vg [160, 161]. В некоторых случаях, характеризуемых применением определенных технологий выращивания подза-
Глава 7. Надежность субмикронных МОП-транзисторов творных окисных слоев, вероятность генерации подобных электронных ловушек достигает 10~3—10~2, что по порядку величины совпадает с вероятностью создания поверхностных состояний. В случае долговременной инжекции носителей при высоком уровне V (т.е. V « Vd) в окисный слой инжектируются в основном только электроны, так что эффективность создания поверхностных состояний оказывается весьма слабой. Однако в ряде исследований наблюдалась значительная деградация, вызываемая инжек- цией горячих электронов [162, 164, 165]. Смещение порогового напряжения AVP наблюдаемое после инжекции горячих электронов, оказывалось по порядку величины таким же (или даже больше в случае некоторых технологий), как и в случае стрессового воздействия при низком или умеренном уровне Vg (т.е. при Vg « Vt -*- Vd/2). Эта деградация обусловлена, по-видимому, захватом электронов на дефекты окисного слоя, уже имевшиеся в нем или же создаваемые в результате инжекции горячих электронов. Эта деградация также характеризуется степенной зависимостью от продолжительности стрессового воздействия At£, но показатель степени в этом случае уже находится в диапазоне п = 0,2-0,3. Уместно напомнить, что в работе [162] и для этого случая наблюдалась величина п = 0,5, когда анализировалась зависимость деградации А не от времени ts, как обычно, а от суммированного по времени (флюенсе) инжектированного заряда Qfl. Уменьшение показателя степени п в случае временной зависимости может быть объяснено [122] кулоновским отталкиванием инжектируемых электронов, обусловленным захваченными на ловушки электронами. На основании данных, полученных методом накачки заряда [ 166], была оценена вероятность создания ловушек в объеме окисного слоя, приходящаяся на один инжектированный горячий электрон, для случая Vgz=Vd, которая оказалась находящейся в диапазоне Ю-7—10~6 [82]. В результате такой малой вероятности требуется достаточно большая продолжительность стрессового воз- in'12 IV ю-'3 ю-'5 ю-'6 с г г / : а) 6 7 С Vg(B) in"12 ю-,э §io-H 1—Н иги !<Г|в 1 5 г г б) 6 7 В Vg (В) Рис. 7.69. Ток затвора (Ig) в зависимости от напряжения на затворе (Ve), измеренный с помощью техники «плавающего затвора» при различной продолжительности предшествующего стрессового воздействия (/s = 0, 10, 102, 103, 104 с), (а) Инжекция горячих носителей осуществлялась в режиме V = VJ2. (б) Инжекция горячих носителей осуществлялась при
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 631 действия, чтобы можно было наблюдать ощутимый эффект. Более существенным обстоятельством является то, что ток затвора (Ig) начинает уменьшаться с ростом Vg после прохождения максимума, что можно видеть из представленных на рис. 7.69 [122] Ig( ^-характеристик, полученных с помощью очень чувствительной техники «плавающего затвора». Отличительной чертой семейства этих зависимостей является прохождение максимума при одном и том же значении Vg [167]. Общий вид зависимостей Ig(Vg) объясняется увеличением количества накопленного отрицательного заряда в объеме окисного слоя с ростом продолжительности стрессового воздействия (ts = О, 10, 102, 103, 104 с), что искажает форму потенциального барьера (выгибает его вверх) и, как следствие, препятствует дальнейшей инжек- ции электронов. В случае создания только поверхностных состояний можно видеть что деформация Ig( ^-зависимостей (в полулогарифмических координатах) остается без изменения (рис. 7.69а). Это объясняется тем, что удерживаемый поверхностными состояниями заряд варьируется в зависимости от положения уровня Ферми на границе раздела Si-Si02 во время измерений, проводимых по методу «плавающего затвора» [122]. Характерные особенности деградации /г-канальных МОПТ Проблеме деградации приборных характеристик /^-канальных МОПТ, обусловленной воздействием горячих носителей, уделялось большое внимание [122]. Как и в случае «-МОПТ, ток подложки Isub имеет максимум вблизи V » VJ3, но теперь 1шЪ обусловливается электронами, генерируемыми в результате ударной ионизации в области отсечки канала, располагающейся вблизи стока. Ток затвора в /?-МОПТ имеет противоположное направление относительно тока затвора в я-МОПТ. В случае ^-МОПТ Ig обусловлен перемещением в основном электронов и имеет максимум при сравнительно небольших отрицательных напряжениях смещения затвора вблизи Vg= Vd/4, когда поперечная составляющая напряженности электрического поля в окисном слое и в канале наиболее благоприятствует инжекции электронов. В принципе, дырочная составляющая тока затвора возрастает с увеличением Vg и достигает максимума при | Vj > \ V}. Однако, между тем, дырочная составляющая lg из-за своей малости никогда надежно не измерялась при обычных диапазонах прикладываемых напряжений смещения VgnVdB приборных структурах с длиной канала (масштабируемой вплоть до Lc = 0,5 мкм) — даже с помощью такой чувствительной техники, как метод «плавающего затвора». При небольших значениях Vg (вблизи максимума Ig) в окисный слой инжектируется заметное количество электронов; при этом основным деградационным механизмом является захват электронов на ловушки в окисном слое [122]. Увеличение соответствующего итогового отрицательного заряда вызывает возрастание по абсолютной величине тока стока и крутизны. Как показывают измерения, осуществляемые с помощью метода накачки заряда, поверхностные состояния также генерируются, однако их влияние на приборные характеристики маскируется большим количеством электронов, захватываемых на ловушки в объеме окисного слоя. Поэтому временные зависимости для A F„ AId/Id или Agm/gm в случае р-МОИТ никогда не соотносились с кинетикой создания поверхностных состояний. При всех значениях напряжения V величина Ig уменьшается благодаря захвату электронов на ловушки и уменьшению напряженности электрического поля. Из представленных на
(jf&32 Глава 7. Надежность субмикронных МОП-транзисторов 100 ВО 60 h ;> Ё40 PQ U 20 ■ I ' 1 ' 1 ' 1 М М ' 1 ' I ' -И—AVt -9 -8 -7 -6 -5 -4 -3 -2 -1 Напряжение стресса, Vg (В) рис. 7.70 данных видно, что максимум деградации коррелирует с пиком тока затвора в отличие от случая я-МОПТ, когда максимум деградации совпадает с пиковым значением тока подложки (ISub,max) [122]. Было предложено несколько моделей деградационного механизма в р-МОТП, а именно — захват на ловушки отрицательного заряда (электронов), простирающегося от области сто- _ „ _Л „ ка. Этот захват электронов на ловушки Рис. 7.70. Корреляция между сдвигом пороге- _ Л л , воге напряжения (AV) и нормализованной ве- обусловливает эффект уменьшения эф- личинойприращениятоканакачкизаряда(Л/ср) Фективной длины канала, а также при- /ьМОПТ при стрессовом воздействии (Kg) водит к снижению напряжения смыкания ОПЗ истока и стока (punchthrough voltage), что первоначально получило наименование «HEIP-механизма» (HEIP = Hot Electron Induced Punchthrough) [168]. Подавляющее большинство полученных результатов согласуются с логарифмической зависимостью деградации А от времени (рис. 7.71), что обусловлено увеличением протяженности области повреждений [122]. Последнее обстоятельство может быть связано с пространственным варьированием заселенности уже существующих ловушек при относительно низких величинах напряженности электрического поля в окисном слое и малом количестве инжектированного заряда. В отличие от деградации я-МОПТ, условия наибольшей деградации в случае р-МОТГГ хорошо коррелируют с электронным током затвора при низком уровне напряжения его смещения (Vg). Принимая во внимание пространственную зависимость плотности тока затвора и ее изменение во время постоянного стрессового воздействия, все же остается неясным: формирование отрицательного заряда в окисном слое должно выходить на насыщение благодаря процессу освобождения электронов с ловушек [122] или же может не испытывать насыщения, если принять во внимание интегральное влияние зарядов с учетом их распределения вдоль канала [168]. Рис. 7.71. Зависимость деградации максимальной Первый подход? связанный с меха- крутизны (Ag/gJ от продолжительности стрессо- г \^bmibm> v г низмом высвобождения электро- вого воздействии (lg t) в режиме максимума тока затвора при VJVd 0,2 В. Приборная структура нов с ловушек, свидетельствует о /7-МОПТс4#=0,Змкми7;;с = 7нм.Соответству- том> что> с °Дной стороны, нель- ющие теоретические зависимости могут быть смо- зя пренебрегать этим процессом в делированы как Agjgm = С, • lg(t0/tVD) • lg(tJtVD), случае /7-МОПТ, поскольку не все где t0 и tVD—временные константы, а Сх — коэффи- существующие ловушки могут быть циент, зависящий от технологии заняты электронами из-за экспо- 0.15 0.10 0.05 V в < 0.00 10-1 100 Ю1 1Q2 юз Ю4 1Q5 юб Продолжительность стресса(с)
7.2. Влияние горячих носителей на стабильность приборных характеристик МОПТ 633 0.00" £ -0.05 В 2? -оло -0.15 Р-МОПТ **<4.1В 4.4.3В ""•. г4.5 В ■*••■... -0.201 100 10» 102 103 104 Ю5 Продолжительность стресса(с) 106 ненциального спада плотности тока I 0.05 инжекции электронов при увеличении расстояния от границы области стока, тогда как, с другой стороны, необходимо различать зависимости от напряженности электрического поля в окисном слое таких механизмов, как заполнение уже существующих ловушек и генерация новых ловушек, индуцируемая процессом ударной ионизации, поскольку эти вновь созданные ловушки могут быть заполнены лишь во время до- Рис. 7.72. Зависимость деградации максимальной полнительного этапа, характеризуе- крутизны (AgJgJ от продолжительности стрес- мого низкой напряженностью элек- сового воздействия (/s) в режиме Vg = Vd при раз- трического поля в окисном слое, личных значениях ^. р-МОПТ с Leff= 0,3 мкм; Второй подход [ 122] приводит к за- Тох = 7 нм. Деградация Agjgm следует степенному висимости от времени типа V/ для закону от /s с показателем степени п = 0,46 при процесса формирования заряда в Достаточно высоком уровне латеральной состав- е- ляющей напряженности электрического поля окисном слое и применим для более к F короткоканальных/?-МОПТ, а также характеризуется и отсутствием эффекта насыщения. С помощью данных, полученных методом накачки заряда, последний эффект был смоделирован путем привлечения пространственной зависимости процесса генерации повреждений от количества инжектируемого заряда в предположении, что захват заряда на ловушки в окисном слое ограничивается куло- новским отталкиванием. При Vg « Vd инжекция дырок в принципе должна быть доминирующим механизмом, между тем никогда не удавалось надежно измерить дырочный ток затвора в /7-МОПТ с длиной канала более 0,5 мкм. При указанных условиях стрессового воздействия наблюдалась с помощью метода накачки заряда лишь слабая генерация поверхностных состояний [122]. Однако при дальнейшем масштабировании /?-МОПТ процесс генерации поверхностных состояний становился все более существенным, о чем свидетельствуют данные, представленные на рис. 7.72 [122]. Генерация поверхностных состояний, как уже говорилось, приводит как к уменьшению gm, так и к снижению абсолютной величины тока стока Id. Формирование встроенного положительного заряда, обусловленного инжекцией горячих дырок, наблюдалось в р-МОПТ с длиной канала Lc = 0,4-0,25 мкм и толщиной подзатворного окисного слоя Тох = 10-6 нм. Проявление обоих выше оговоренных деградационных механизмов в сильной степени зависит от напряженности электрического поля, а также от технологии изготовления /?-МОПТ, захваченный на ловушки положительный заряд наблюдается только в /ьМОПТ с приповерхностным каналом со стандартным подзатворным окисным слоем или же с нитрированным подзатворным окисным слоем, используемым для улучшения невосприимчивости последнего к повреждениям, вызываемым горячими носителями. Модифицированные /ьМОПТ с /?+-поликремниевым затвором и поверхностным каналом используются для преодоления короткоканальных эффектов, эффекта смыкания, а также необходимости корректировки порогового напряжения
1&34 Глава 7. Надежность субмикронных МОП-транзисторов с помощью дополнительной имплантации легирующих примесей в область канала, тогда как /?-МОПТ со скрытым каналом и с я+-поликремниевым затвором обычно характеризуются большей стойкостью к деградации, индуцируемой горячими носителями, что делает этот тип /?-МОПТ наилучшим кандидатом для изготовления глубокосубмикронных приборных структур. 7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 7.3.1. Статический подход к прогнозу срока службы tL методом ускоренных испытаний с использованием характерных зависимостей tL от тока подложки lsub На рис. 7.73 представлен характерный вид зависимости tL от Isub/W (тд$ W— толщина канала) относительно длинноканальных (Lc« 1—3 мкм) МОПТ, изготовленных по различным типам технологий. Срок службы длинноканальных МОПТ без LDD-областей определялся по достижению смещения порогового напряжения AVt до 10 мВ, что обычно эквивалентно изменению тока стока AId/Ido = 3% [169]. Можно видеть, что наклон всех зависимостей tL{Isub/W) в двойных логарифмических координатах весьма близок к 3 и все экспериментальные данные хорошо ложатся на теоретическую прямую, соответствующую линейной зависимости lg tL ос lg (Isub/ W). Это обстоятельство позволяет для оценки срока службы уверенно пользоваться в данном случае экстраполяционными формулами, соответствующими теоретическим зависимостям (7.41) и (7.42): tL~~misjwr (762) или tL~~\isjiar\ (763) где tL измеряется в секундах, a Isub/Wv мкА/мкм. Таким образом, на основании экстраполяционной формулы (7.62) можно оценить величину Isub/W, соответствующую режиму работы LDD-МОПТ и DDD — МОПТ со слабо легированной областью стока и со стоковой областью, полученной методом двойной диффузии, что обеспечивает срок службы tL = 10 годам (3,2 • 108 с), за который пороговое напряжение изменится не более чем на 10 мВ. Этот ток подложки равен -0,15 мкА/мкм, что, например, при W— 10 мкм соответствует 1шЬ « 1,5 мкА. По формуле (62) можно оценивать и начальную величину тока стока: Id0« 0,68 мА/мкм. Используя далее графики, подобные представленным на рис. 7.4 [20], - (Isub/Id= F(l/Vd)) и/или на рис. 7.5 - (IsJId(Vd- VdJ) [20], или же с помощью аналитического соотношения (7.146) можно оценить величину Vd, обеспечивающую I5Ub и Id, она была установлена близкой к Vd« 3,8 В. В общем случае соотношение для определения срока службы следует записать в виде tL~B(IsuJW)-\ (7.64)
7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 635]Ц tO7 г 10е \ Г \ \ о 105 10* 101 102 \ *\ \ Т..СЛ] о 250 л 250 L00 * 250 ODD -280 US1 --200 US2 •—100 НПАСЩ -230 NEC % 4 ч \ 4 -Л1 v 10е 10' 102 Isab / W ( МкА/мкм ) 10» ю» rf 4 ю •—V О н-1 4~> а «г »* ю' J ♦ \ \ Наклон» - 2,9 AVt=10MB ю- ю» I ю* . IV . * 10 ~ю4 о _i ^ rf Ю* ю1 I N-МОПТ | Vg = 3B ' \\\ ■ *ш \\ *п ^\ AVt= ЮмВ 5s tf !sab ( 1 ' ' *" » W/L Д 100/2 Vg=3B ♦ 100/2 Vg=7B ^ 0100/2 Vg=8B \ 0100/1,5 Vg=3B 4 л \ * А О \ \ • * « i ю4 в» й* sab (А) а) к Vi V \ Й* 1 О 100/1,8 0100/1,2 О 50/2 А 50/2 Ь50/2 Ь100/1,5 О 100/1,5 О 100/2 5* А) б) Рис. 7.73. Зависимость срока службы tL от тока подложки Isub/Wwin я-МОПТ с различной толщиной подзатворного окисного слоя Тох. Критерий выхода приборов из строя — AVt = ЮмВ где коэффициент В определяется многими конструктивно-технологическими параметрами (как, например, концентрацией водорода, механическими напряжениями и температурой [101], а также протяженностью участка наложения затвора на области стока/истока [76, 170—173]). Поэтому нужно установить величины В и v для каждой конкретной технологии, что легко осуществляется путем построения соответствующих графиков, подобных представленным на рис. 7.73, которые строятся по результатам ускоренных испытаний на срок службы при форсированных режимах. Реальная величина tL, соответствующая штатному режиму эксплуатации МОПТ, определяется далее путем экстраполяции. И наоборот, если заранее оговаривается, что срок службы должен составлять 10 лет, то с помощью соответствующей экстраполяции устанавливается допустимый режим работы (в данном случае Isub), который не должен быть превышен. Далее, с помощью рассмотренных выше процедур могут быть определены предельно допустимые величины Ido и Vd. Следует также отметить, Рис. 7.74. а) Зависимость срока службы tL от тока подложки Isub: tL ос (T2'9suh). Все экспериментальные данные совпали с аппрокси- мационной прямой несмотря на различную длину канала L и напряжение на затворе V. б) Зависимость коэффициента пропорциональности уравненая tL= В • /~2'9sub от качества Si02 и границы раздела Si/Si02. Приборные структуры изготавливались по шести разным технологиям
Глава 7. Надежность субмикронных МОП-транзисторов ю5 ю4 Зю3 ю2 ю'| г 1 10"6 "Ф Обычные Л\ Тох=40нм Y& ОLDD (*и\ Тох=17нм у\ a ldd ^Д Тох =20нм \ v8 . \ \6В ib*4 ал Т4В 1>^вД\ } \ Ю"5 Ю'4 Isab(A) 1 J ю-3 что в результате большого наклона зависимостей lg tL ос v • lg (Isub/ W), поскольку v « 3, даже относительно небольшие изменения коэффициента В могут приводить к значительному смещению величин tL. Так, например, на рис. 7.73 видно, что введение в структуру МОПТ LDD- или DDD-областей увеличивает tL почти на порядок. Как уже говорилось, коэффициент пропорциональности В в соотношении (7.64) в сильной степени зависит от используемой технологии. Это хорошо видно из рис. 7.74 [76], где приводятся результаты тестирования на tL (Isub) длин- ноканальных МОПТ, полученных: (а) в одной и той же лаборатории по единой Рис^Л5. Зависимость срока службы tL от технологии и (б) в двух лабораториях с тока подложки /sub для трех технологий. Все использованием трех технологий. В слу- прямые линии, представляющие функцию чае (а), несмотря на разную длину кана- К = В • Г]иЬ, имеют одинаковый наклон Ла (Lc = 2,0 и 1,5 мкм) и величину сме- v = 2,9, получены при Kg = 2 В и Kd = 6-9B, щения напряжения на затворе (V' = 3; 7 соответствующих/^^Ю^-^Ю-А и 8 В) все значения tL хорошо легли на единственную прямую, проведенную в двойных логарифмических координатах с наклоном v = -2,9. В случае (б) наклон соответствующих «экстраполяционных» прямых (число которых оказалось равным числу используемых технологий) сохранился прежним (v = -2 9) как и в случае (а). Однако при этом разброс величин В оказался весьма значительным несмотря на постояннство напряжения смещения затвора (V = 3 В), что соответствовало разбросу по tL более чем на два порядка. Такая разница tL свидетельствует о том, что увеличения срока службы МОПТ можно добиваться не только за счет уменьшения Ет или I5Ub, но и в результате улучшения качества подзатворного окисного слоя и границы раздела его с Si-подложкой (в смысле уменьшения плотности поверхностных состояний N;) В [76] предложено следующее обобщенное полуэмпирическое соотношение для определения срока службы: tL=Hi?ji;^v;*w (7.65) где Н— коэффициент, зависящий от технологии. В работе [43] также рассмотрена другая возможность представления срока службы «длинноканальных» МОПТ (Lc = 1,7 и 1,0 мкм) - рис. 7.75. В отличие от рис. 7.73, где критерием потери работоспособности являлась допустимая величина изменения порогового напряжения AVt = 10 мВ, на рис.7.75 критерием выхода из строя МОПТ выбрана величина относительного изменения тока стока AId/Id0 = 1%, что является довольно жестким условием по сравнению с ранее рассмотренными вариантами (обычно выбирается величина Md/Id0 = 10%). На рис.7.75 представлены результаты, соответствующие трем конструктивным особенностям я-МОПТ (табл. 7.7).
7.3. Прогнозирование срока службы М ОПТ методом ускоренных испытаний Табл. 7.7. Основные стркутурные характеристики я-МОПТ, используемые при тестировании HarL(/sub)[43] Структура Обычная LDD-20 LDD-17 Т Л ох (нм) 40 20 17 (СМ"2) 1016As 6- 10,5As 6- 1015As (см-2) — 1013P 10,3P ■^spacer (мкм) — 0,15 0,13 A;ff (мкм) 1,7 1,0 1,0 Данные трех структурных вариантов я-МОПТ хорошо аппроксимируются прямыми с одинаковым наклоном v = -2,9 и близко отстоящими друг от друга (т.е. с небольшим разбросом по коэффициенту В). На рис. 7.75 также приводится СУ-образная кривая (V), соответствующая обычной структуре я-МОПТ (без LDD-областей) и отражающая зависимость tL(Tsub) при фиксированной величине Vd = 8 В, когда Vg варьировались, как указано вдоль кривой (▼). Можно видеть, что минимальная величина tL достигается при V = 2 В, а максимальная величина Isub соответствует Vg « 4 В, что как раз и попадает в диапазон средних значений Vg« VJ2, в котором максимально активизируются эффекты проявления горячих носителей с точки зрения деградации приборных характеристик МОПТ. Это обстоятельство не лишне еще раз напомнить, поскольку оно является ключевым при объяснении деградации в режиме динамических стрессовых нагрузок. В подтверждение на рис. 7.76 [120] приводится зависимость tL от Vg (1—6 В) при фиксированной величине Vd = 6 В для 10* ■—| PQ S о if и* ^ 4. ^ ^10* о -J +-» 10» С Ъ 1 г \ . 1 t ' k ' i leff=0.9 / < Тох » 23 / / /о / ,0 , / 0 Х° \о ^/ О V =6 . 2 3 4 5 6 Vg(B) ш 10+ 101 4O0.S 1 з д #0.1 0 5В • зв Д2В 10«- 10 То 1Ь и 0.1 fo •* 6 0.1 1.3012» \0.7 иглп 1Л fIJ ■ L - оценивалось по методике 1И\ • 2.1 реверсивных измерений" при \ ф | j Vd=5B \ #ов ■* ю-8 ю~5 м-« Isab/Weff (А/МКМ) ю- Рис. 7.76. Зависимость времени жизни tL от напряжения на затворе V при фиксированном значении напряжения на стоке (Vd = 6 В) для л-МОПТ с Leff = 0,9 мкм; Тох = 23 нм. В качестве критерия выхода прибора из строя выбрана величина AV{ = 10 мВ. Можно видеть, что как и в случае рис. 7.75, минимальное значение tL наблюдается в районе V,«VJ2 Рис. 7.77. Результаты форсированных испытаний на срок службы tL как функции /sub/ Weff; п-МОПТ с фиксированной толщиной под- затворного окисного слоя Тох = 23 нм, напряжение на затворе Lctt-— 2, 3 и 5 В; длина канала Leff = 0,6—2,2 мкм. Критерий выхода прибора из строя AV, = 10 мВ. Оценка изменения величины порогового напряжения производилась путем перемены местами истока и стока
к(о38 Глава 7. Надежность субмикронных МОП-транзисторов to1 10* ^ о ^_^ bJ to4 10^ tc «ox e 8.5нм : ^ "^^4 \4\\ VOv\ О L%*r * О.Змкм ОЛ*\^ О 1^*0.5 мкм XvxVv * Ltff » 0.8 мкм ^v> О L^ » I.5mkm AId/AIdo-10% . . . 1 . ... >° 10' К ? Isab/Wefif ( МКА/МКМ ) я-МОПТ, изготовленного по технологии NEC Corporation без LDD-областей с Lc = 0,9 мкм и Тох = 23 нм, — опять же минимальное значение tL достигается при^К,/2. На рис. 7.77 [120] приводятся результаты форсированых испытаний на срок службы tL =AISubfW) в диапазоне величин tL, простирающемся более чем на шесть порядков и охватывающем почти три порядка величин Isub/W. Это соответствует диапазону эффективной длины канала Leff = 2,2—0,6 мкм (т.е. граница минимальных величин Leff уже относится к субмикронным МОПТ), а значения напряжения смещения затвора составляют Vg = 5; 3 и 2 В при варьировании напряжения смещения Vd = 3,8—7 В. Представленные на рисунке экспериментальные данные получены на образцах я-МОПТ, Рис. 7.78. Зависимость срока службы tL я-МОПТ от Isub/lVef{. Критерий вывода прибора из строя — относительная величина изменения тока стока на линейном участке имеющих обычную структуру (без LDD- А *)• J do о областей) и толщину подзатворного окисного слоя Тох = 23 нм, который получен термическим окислением в сухом кислороде при 900 °С. Образцы пассивировались слоем фосфорсиликатного стекла (PSG). Критерием потери работоспособности выбрана величина смещения порогового напряжения, равная AVt = 10 мВ. Наклон прямой линии, аппроксимирующей представленные данные со стороны больших величин tL9 составлял v = -2,5. На рис. 7.78 [174] приводятся экспериментальные данные форсированных испытаний по установлению времени сохранения работоспособности tL в зависимости от Isub/Wejr Критерием потери работоспособности выбрана величина относительного уменьшения тока стока на линейном участке выходных Id( JQ-характеристик: AId/Id=lQ%, измеряемая при Vd = 0,1 В и Vg = 3 В, Все я-МОПТ имели обычную структуру (без LDD-областей) и одинаковую толщину подзатворного окисного слоя Тох = 8,8 нм и глубину/?-я-переходов истока и стока ^ = 0,16 мкм. Однако они имели разную величину эффективной длины канала Leff= 1,5; 0,8; 0,5 и 0,3 мкм. Видно, что деградация тока стока AId, обусловленная горячими носителями, возрастала по мере уменьшения Leff Это объясняется главным образом немасштабируемостью области, повреждаемой горячими носителями и расположенной вблизи границы каната со стоком [175]. По мере уменьшения длины канала величина отношения протяженности вдоль канала повреждаемой области к ^возрастает, и при этом усиливается деградация МОПТ. Кроме того, в работе [174] было показано, что с уменьшением толщины подзатворного окисного слоя Тох темпы деградации уменьшаются. Что касается зависимости срока службы tL от Isub/Wejp представленной на рис. 7.78 для самой короткоканальной приборной структуры я-МОПТ (Leff= 0,3 мкм), то путем экстраполяции было установлено, что 10-летний период сохранения работоспособности для нее должен быть достигнут при величине Isub/Wefn не превышающей -2,8 • Ю-2 мкА/мкм. Такая линейная плотность тока
7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 639 j| подложки соответствует напряжению смещения стока Vd несколько менее 2,7 В. Между тем, в ряде предшествующих ранних прогностических работах, посвященных последствиям масштабирования МОПТ в глубокую субмикронную область, такая величина Vd = 2,7 В рассматривалась в качестве некоторого критического значения (Vdcr) порога. При подаче на сток напряжения меньше этой величины электроны, как считалось, не смогут набрать в канале энергию, достаточную для преодоления потенциального барьера на границе раздела Si-Si02. Минимально возможная величина этого барьера ФВт1п — с учетом эффектов максимального понижения его высоты - как раз и оценивалась равной ~2,7 эВ (Фв — 0,5 эВ). Однако уже в работе [176] было показано, что в МОПТ с Leff=0,3 мкм наблюдалась заметная деградация и при Vd = 2,5 В. В отношении такого показателя деградационного проявления горячих носителей, как ток затвора Ig, в МОПТ с Leff= 0,14 мкм можно было наблюдать заметные токи Ig > 10~15 А/мкм при напряжениях смещения стока вплоть до 2,35 В [174]. Это подтверждает, что электроны в канале п-МОПТ могут приобретать энергию, превышающую qVd. В работе [174] были проведены всесторонние исследования токов Isub и Ig, обусловленных горячими носителями в низковольтном режиме, и было установлено, что общепризнанная концепция деградационного проявления эффектов горячих носителей остается вполне приемлемой и для случая «-МОПТ с эффективной длиной канала Рис- 7Л9' Зависимость тока подложки Isub / Weffoj на- 4#вплотьдо0,15мкм, работаю- пряжения смещения стока Vd при фиксированной ве- т личине К = 1,0 В и разных величинах эффективной щихвнизковольтномдиапазоне длиныканала напряжений смещения стока. На рис. 7.79 и 7.80 [174] приводятся зависимости Isub / Weff от напряжения смещения стока Vd при различных значениях эффективной длины канала. Для «-МОПТ с Leff = 0,15 мкм минимальный измеряемый ток подложки (Ю-15 А/ мкм) наблюдался, начиная с Vd = 0,7 В, а ток затвора можно было регистрировать при Vd > 1,75 В. Следует подчеркнуть, что исследовались «-МОПТ с относительно толстыми подза- Рис. 7.80. Зависимость тока затвора Ig/Weff от напряже- творными окисными слоями, ния на стоке Vd при фиксированной величине Vg =4,0 В Все сказанное не относится к при разных величинах эффективной длины канала 12 U Vd(B)
/((640 Глава 7. Надежность субмикронных МОП-транзисторов Ald/Ido=10% 0.4 О.в 0.8 1.0 1.2 Leff (мкм) ю3 ю2 ^ ^ю1 1 10"1 10 l i ' | И ' | tL-AId/Id0=5% 0,5 мкм 0,2 мкм \f - v e\ A \ 0,3 мкм \V\ У& \ i 0,95 мкм 0,25 мкмЛ \ 1 NV \ \ 0,15 мкм ^\\ ■ \ : \ \\ : Тох=9,6нм \\ \ 0 WefT = 9,5MKM * \ \ . 1 . 1 "3 l(f2 Kf1 !sab / h Рис. 7.82. Зависимость произведения tL • /d (срока службы х ток стока) от нормированной величины тока подложки /sub//d. Срок службы /L определялся как период времени, за который относительная деградация тока стока достигала A/d//d0 = 5% (на линейном участке Id( Vd) -характеристик) при условии достаточно сильной инверсии V — Vx— 1,5 В Рис. 7.81. Допустимая величина напряжения питания Vcc, обеспечивающая 10-летний срок службы — как функция эффективной длины канала Leffn-MOUT (без LDD-областей) МОПТ с L < 0,1 мкм и с ультратонкими подзатворными окисными слоями (Тох « 1,5—2 нм), когда начинают доминировать эффекты туннелирования и роль эффектов горячих носителей практически становится малосущественной. На рис. 7.81 [174] приводятся полученные экстраполяцией величины максимально допустимого напряжения питания Vcc, обеспечивающие 10-летний срок службы как функции эффективной длины канала Leff МОПТ, у которых Vd — Vcc, a величина напряжения смещения затвора Vg обеспечивала максимальное значение Isub. Соответствующие зависимости Vcc(Le^ были построены для различных значений толщины подзатворного окисного слоя (Тох = 5,6; 8,6 и 15,6 нм). Критерием выхода из строя прибора служила величина AId/Id = 10%. Анализируемые на рис. 7.81 МОПТ имели обычную структуру (без LDD-областей), использование которых должно привести к увеличению Vcc не менее чем на 1 В в зависимости от оптимальности выбора параметров этих областей — по крайней мере при Leff> 0,3 мкм. В данном же случае разброс величин Vcc не превышал 0,3 В при значениях Leff= 0,3- 1,2 мкм и^ = 5,6-15,6 нм. На рис. 7.82 [178] показаны результаты анализа срока службы я-МОПТ, имеющих обычную структуру, фиксированные значения Тох = 9,6 нм, W= 9,6 мкм и NMub = 1018 см3, но варьируемую величину эффективной длины канала Leff=0,95; 0,5; 0,25; 0,2 и 0,15 мкм. Полученные данные представлены в двойных логарифмических координатах для величин (tL • Id) и (Isub/Id). Срок службы tL устанавливался как время, за которое относительная деградация тока стока AId / Id достигла 5% при условии фиксированного значения V*g= Vg— Vt= 1,5 В. Важно отметить, что аппроксимационные прямые линии для МОПТ с Leff= 0,30; 0,25; 0,20; и 0,15 мкм группируются близко друг от друга и имеют почти одинаковый наклон
7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 64 ГШ ю3 ю2 /^s ^ю1 тз нн J 1 ..-1 10 10 • I 0,2мкм\ 0,25мкм - Р~0,3мкм \ OF 0,5мкм \ ▼ \ - г ,-о | 0,15мкм \ Weff = 9,5мкм ^ Тох=9,6нм v LefT = \ р- 0,95мккг\-| - ! .1 i* "3 10~2 10М I sab /Id м 3.0 S 2.5 s S « 2.0 « X ►а § 1.5 О 1 s ° ( ~г~ ш constant ru——'"''* 1 ^^^ / МУв^ж9,5мкм ' Т0» * 9,6нм ) 0.2 0.4 0.6 0.6 1 L eff( мкм ) ю~3 10"4 ^> < О"5 о10 Рис. 7.84. Допустимая максимальная величина напряжения питания Vcc, обеспечивающая 10-летний срок службы — как функция эффективной длины канала ^определяласьв соответствии с двумя сценариями масштабирования: Isub/Idcc L]>2effH IJId = const (т.е. при постоянной напряженности электрического поля). Зачерненные маркеры соответствуют экспериментальным данным для я-МОПТ ■■ 9,5 мкм; Тох = 9,6 мкм, Nch = 1018 см": (v = 3) и при этом отстоят достаточно да- Рис. 7.83. Зависимость tL • IdOT I5Ub/Id. Срок службы /, определялся как период времени, „ /лгч леко от прямых линии, соответствующих за который ток накачки заряда (А/ ) достигал , „ ~ „^ r ЛГкГ ' „ J 3Q пА МОПТ с Z,^ = 0,95 и 0,5 мкм. Если же в качестве критерия выхода прибора из строя принять величину приращения тока накачки заряда А/ = 30 пА(3* 10~8 А), то все аппроксимирующие прямые (и для «длинноканальных» МОПТ (Ze#=0,95 и 0,5 мкм)) практически сливаются в одну линию — рис. 7.83 [178]. Получение такой универсальной зависимости tL • Id от IsuJId — при заданной величине Тох = 9,6 нм - объясняется авторами тем, что индикатором дефадации в данном случае служил ток накачки заряда 1ср, который наиболее чувствителен к изменению концентрации быстрых поверхностных состояний ANir На рис. 7.84 приводятся зависимости максимально допустимой величины напряжения питания Vcc от эффективной длины канала £е#для различных вариантов сценариев масштабирования, при которых выполняются условия: Isub/Id = const (случай AIcp = 30 нА) или Isub/Id ос LeffU2 (случай AId/Id = 5%). Приведенные данные оказываются примерно в два раза заниженными по сравнению с данными рис. 7.81 [174], что объясняется принятием примерно во столько же раз более жестких критериев выхода из строя МОПТ (на рис. 7.81 AId/Id = \0%, а на рис. 7.84 AId/Id = 5% или же А/ = 30 нА). 7.3.2. Статический подход к прогнозу срока службы (tL) методом ускоренных испытаний с использованием зависимости tL от (l/Vd) Ранее было показано, что связь lg Isub и \/Vd должна аппроксимироваться линейной зависимостью, откуда следует, что и lg tL также должен следовать линейной зависимости:
642 Глава 7. Надежность субмикронных МОП-транзисторов или tL=DL-exp<yL/Vd) WL=\gDL+VJVd (7.66а) (7.666) где коэффициент DL имеет размерность времени (такую же, как и у /L), а также является заметной функцией эффективной длины канала DL(Lef), a VM = VLM (М= \ge = 0,4343). Очевидно, что величина lg DL определяет сдвиг, slVm — наклон прямых линий, соответствующих функциональной зависимости lg tL = F(l/Vd) в полулогарифмических координатах, как это показано на рис. 7.85 [10]. Определение срока службы МОПТ, соответствующего рабочим значениям напряжения питания, осуществляется путем экстраполяции аппроксимационных прямых, получаемых экспериментально в результате непосредственных измерений tL(\/Vd) при заведомо форсированных величинах Vd. Это обеспечивает получение значений tL по заранее установленному критерию выхода приборов из строя (например, при Agm/gmo = 10%). При этом для заданного наперед срока службы (например, для tL = 10 лет (~3,2 • 108 с)) сразу же находится соответствующая ему максимально допустимая величина напряжения смещения стока Vd (или напряжения питания (Vcc). В то же время при использовании ^(/^-зависимостей необходимо проводить измерения достаточно слабых токов подложки и затем уже переводить, путем довольно сложных и не всегда надежных вычислений, величины lsub(tL) и со- ответстующие им значения Vd, что влияет на точность результатов. Между тем, в отличие от «универсальных» ^(/^-зависимостей, tL(\/fQ-зависимости чувствительны к изменению Leffn других параметров (например, Тох, Хг и VdsJ. В связи с этим уместно напомнить, что величина VdsaP в свою очередь, является функцией от EsaP которая соответствует насыщению скорости носителей, активной величине напряжения смещения на затворе (V* = Vg — V), а также эффективной длине канала Lejr Полезно также обратить внимание на то, что использование величин l/Vd(B-1) К - Vdsat вместо Рис. 7.85. Зависимость срока службы tL МОПТ от величины УИ tL( 1/ Vd) более «универсальными», как в случае построения графика Isub/Id '(Vd- Vdsat) vs. 1/(К,-^,)нарис.7.5[20]. Помимо сильной зависимости tL(\/Vd) от Leffom\ существенно зависят и от конструкции МОПТ. Кроме того, на результаты, получаемые при экстраполяции tL(l/ Vd), может оказывать сильное влияние выбор критерия выхода из строя МОПТ Последнее условие в большей степени относится к выбору допустимой величины изменения порогового напряжения AVt и к определению исходного значения Кю, поскольку пороговое напряжение является функцией координаты вдоль канала Vt(y). Поэтому в качестве Vt0 обычно выбирают величину Vt, соответствующую
7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 643 j|] 1год ЮОдней Юдней 1день 10* ^ X 10i Я ^ 1°° ИГ1 ю-* ю-3 ! 1 i I1 i 1 ' 1 ' » roVt=Vg(Id=10HA) ^aVt=Vg(Id=lMA) / Agm( 10% увеличения gm)// _ Ье{^=0,8мкм-^/>у / /I // /// /» " /// /i ' /// lit " // /Ж —. ' llf Leff=0»6MKM 1 i 1 i 1 i 1 i 1 i 1/10 1/9 1/8 1/7 1/6 l/VcCB-1) » _ ~ - -j I 1/5 L I ■ M I ' 1 I 1 1 r~ oVt =Vg (Id= ЮнА) °Vt =Vg (Id=lMA)' a g m( 10% увеличения gm] 'Lefp=0,6MKM Leff=0,4MKM i > I 1/101/9 1/8 1/7 1/Vd (В"1) Рис. 7.86. Зависимость срока службы tL от обратной величины напряжения стока \/Vd /ьМОПТ без слоя, препятствующего смыканию ОПЗ истока и стока. В качестве критерия потери работоспособности выбрана величи- Рис. 7.87. Зависимость срока службы tL от обратной величины напряжения стока \/Vd для p-MOUT со слоем, препятствующим смыканию ОПЗ истока и стока. В качестве параметра выбрана эффективная длина канала Z/efr= 0,8 мкм; 0,6 мкм и 0,4 мкм). Маркеры (□, О, А) аналогичны рис. 7.86 на Agm/gm — 10 % (А -маркеры). В случае дру гих маркеров (о, □) в качестве критерия для фиксированному значению тока стока. оценки срока службы выбрана величина сме- Все это наГлядно демонстрируется на щения порогового напряжения A Vt = 100 мВ величина порогового напряжения определялась как: Vf = Vg(Id = 10 мА) — о-маркеры V\ = V(Id= 1 мА) - □-маркеры примере деградации /7-МОПТ с погруженным каналом. На рис. 7.86 и 7.87 [179] приводятся зависимости tL(l/Vd) для /ьМОПТ. Препятствующий смыканию ОПЗ стока и истока стоппорный слой (punchthrough stopper) формировался имплантацией фосфора в расположенный под каналом слой. Эффективная длина канала в экспериментальных образцах составляла Leff= 0,8; 0,6 и 0,4 мкм; Тох = 21 нм; Х} = 0,35 мкм и W— 20 мкм. Исходная величина порогового напряжения перед стрессовым воздействием была равной Vt0 = -0,55 В и соответствовала Id = 10 нА (5-1010А/мкм) при Vd = -5 В. Стрессовое воздействие осуществлялось при V = -1,25 В, что соответствовало максимальным значениям тока подложки Isub и смещения порогового напряжения AVr В качестве критерия потери работоспособности была выбрана весьма «жесткая» величина AVt = 100 мВ. На рис. 7.86 и 7.87 [179] приводятся также зависимости tL(\/Vd) для двух других вариантов выбора критерия потери работоспособности: AgJgmo = 10% и AV( = 100 мВ (но при Vt0, соответствующем Id= 1 мА (5 • 109 А/мкм)). Как можно видеть, период сохранения работоспособности /ьМОПТ при переходе к более короткока- нальным приборным структурам (от Leff= 0,8 мкм к Leff— 0,6 мкм) в значительной степени (~ на три порядка) уменьшился. При переходе к структуре со стоппорным слоем (рис. 7.87) срок службы таких /?-МОПТ заметно возрос и соответственно увеличилась допустимая максимальная величина напряжения смещения Vd. На
(ff&44 Глава 7. Надежность субмикронных МОП-транзисторов рис. 7.87, по сравнению с рис. 7.86, показано изменение группировки и наклона прямых, соответствующих зависимости tL(l/Vd). При Leff= 0,4 мкм традиционный (линейный) характер зависимости \gtLccl/Vd сохранялся лишь тогда, когда в качестве критерия была выбрана величина AgJgmo =10%. В двух других случаях, когда критерием потери работоспособности явилась допустимая величина изменения порогового напряжения A Vt = 100 мВ, аппроксимирующие графики зависимостей lg tL (l/Vd) оказались не прямыми линиями. Таким образом, в результате анализа полученных результатов с использованием графиков tL(\/Vd) в [179] был сделан 0.1 0.2 0.3 1/Vd (ВГ) о.6 О.** н^О.2 О.О 1—I Г б") N-МОПТ 1.ро1у*0.5мкм Тох«12нм Область насыщения _Vg=VcH3.3B -| ~ Т20% Линейная область Vg*3.3B,Vd=0.5B Обычный SD Новый LDD -о.з •О.2 S W S -O.I о.о I I I Р-МОПТ tpoiy=0.7MKM Тох*12нм Область насыщения Обычный SD Новый LDD J L О .OS .20 Толщина спейсера, Lsp(mkm) О .OS .20 Толщина спейсера, Lsp(mkm) Рис. 7.88. а) Стойкостьр-МОПТ и я-МОПТ к воздействию горячих носителей; б) Зависимость тока стока я-МОПТ (Ь') и/?-МОПТ (Ь") в области насыщения (|^| = \V} =3,3 В) и в линейной области (\Vj =3,3 В и \VJ = 0,5 В) от толщины спейсера (Lsp)
7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 645 вывод о необходимости применения LDD-структур и снижения напряжения Vd = 5 В для обеспечения долговечности субмикронных ^-МОПТ (Ze#=0,6 мкм) с необходимым запасом (« 10 лет). В работе [ 180] представлена разработанная конструкция и технология изготовления КМОП-вентеля, в котором «-МОПТ имели Lg = 0,5 мкм, а р-МОПТ - Lg = 0,7 мкм, и одинаковую величину Тох = 12 нм. Особенности конструкции МОПТ состоят в следующем: 1) очень узкий спейсер (Lsp =50 нм), что позволило достичь компромисса между высоким уровнем тока стока в режиме насыщения Idsat и достаточно большим сроком работоспособности tL, превышающим 10-летний уровень при Vd = 5 В доя/ьМОПТ и при Vd* 3,3 В для «-МОПТ; 2) поперечный профиль легирования канала был ретроградным как для /?-МОПТ, так и для «-МОПТ, что также способствовало увеличению нагрузочной способности по току Idsat и позволило существенно ослабить короткоканальный эффект. На рис. 7.88 [180] приводятся соответствующие экспериментальные зависимости lg tL от l/Vd, которые экстраполируются до величин tL, превышающих 10-летний уровень. Критерием выхода приборов из строя была выбрана величина AIdsat/Idsat0 =10%. Для сравнения приводятся аналогичные зависимости для обычной структуры «-МОПТ и LDD-«-MOnT с сопоставимыми конструктивными параметрами. В работе [158] исследовались возможности LATID-технологии, основанной на применении метода имплантации лигирующей примеси под большим углом (0 = 45°) с целью (при создании слаболегированных «-областей) максимально отдалить область с наибольшей интенсивностью генерации горячих носителей (RG > 1028 см3/с) от границы раздела Si-Si02, а заодно отдалить линии тока от границы раздела — в результате ослабления латеральной составляющей напряженности электрического поля (рис. 7.89). Следствием такого подхода является существенное уменьшение деградационного влияния горячих носителей на приборные характеристики субмикронных LATID-МОПТ без снижения напряжения питания VDD, приводящего к уменьшению тока стока Id. При анализе полученных в работе [158] результатов успешно была применена методика использования зависимостей срока службы lg tL от обратной величины напряжения смещения стока (\/Vd) для различных вариантов приборных структур МОПТ. На рис. 7.90 [158] представлены в полулогарифмических координатах результаты измерений tL =Л^/К) для сопоставимых структур МОПТ (LeJf= 0,25 мкм; Т = 8,5 нм), а именно: для обычной конструкции МОПТ (C-S/D), LDD-МОПТ LATID-МОПТ без спейсера, LATID-МОПТ со спейсером (Lsp = 80 нм). Технологические режимы формирования слаболегированных областей МОПТ приведены в табл. 7.8. При получении результатов, представленных на рис.7.90, в качестве критериев потери работоспособности использовались: AId/Ido = 10% (светлые маркеры) или AgJgmo =10% (зачерненные маркеры). Экстраполяцией прямых линий зависимостей tL от l/Vd было установлено, что при Vd = 3,3 В срок службы LATID-я-МОПТ (Lcp = 80 нм) по сравнению с LDD-«-MOnT должен увеличиться более чем на три порядка и превысить 1000 лет (!), а по сравнению с LATID-структурой, имплантированной без спейсера, tL должен увеличиться более чем на порядок.
646 Глава 7. Надежность субмикронных МОП-транзисторов Рис. 7.89. Полученные компьютерным двумерным моделированием: пространственное распределение латеральной составляющей напряженности электрического поля (ЕХ линии максимальной плотности электрического тока, а также контурные линии, соответствующие концентрации электронов п = 1017 см 3 и потенциалу *F = О В - вблизи стока при Vd = 5 В и Vg = 2 В для 0,35-мкм я-МОПТ: а) обычная структура (без LDD), б) с LDD-областями; в) LATID-структура; г) LAT ID-структура без спейсера. Заштрихованные области соответствуют участкам, где скорость генерации горячих носителей /^ > 1028 см~3/сек На рис. 7.91 [158] в координатах lg tL и ld представлена возможность достижения компромисса между сроком службы tL и током стока Id (определяющим нагрузочную способность) для четырех рассматриваемых в работе типов я-МОПТ с 1^=0,25 мкм, VDD — 3,3 В и Lov — 0,1 мкм. Заштрихованная область на рисунке соответствует случаям, когда удовлетворяются одновременно условия: tL > 10 лет, Id > 510 мкА/мкм и Lov < 0,1 мкм. Последнее условие также важно с точки зре- Табл. 7.8. [211]. Режим имплантации при формировании Аг-областей Тип структуры LATID LATID без спейсера LDD Толщина спейсера Lco, мкм 0,08 - 0,15 Угол наклона, 0, град. 45° 45° 7° Доза имплантации, 4™ СМ"2 3-Ю13 4-1013 1-1013 Энергия, кэВ 50 80 40
7.3. Прогнозирование срока службы МОП Jметодом ускоренных испытаний 64 Шлет 101 10' МО- КТ 10J ю, . V"<B«> 3.5 Leff=l/4MKM LATID, 0.15 t з,зв 0.3 i/vd (B-1) Рис. 7.90. Срок службы tL, оцениваемый по критерию деградации AId/Id = 10% (светлые маркеры) или Agm/gm = 10% (зачерненные маркеры), как фикция обратной величины напряжения стока при стрессовом воздействии на 0,25-мкм л-МОПТ, имеющих: обычную структуру (без LDD-областей); LDD- структуру; LATID-структуру; LATID-структуру, формируемую без спейсера ния обеспечения достаточно высокого быстродействия, поскольку оно ограничивает величину паразитной емкости затвора Cgd. На рисунке также приводятся зависимости tL от Id (обозначенные штриховыми кривыми) для различной толщины спейсера (L = 0,15; 0,11; 0,08 Ov<0,, -Д'0,15мкм / N1? tQr" Ls=0,08mkmV-/ LDD юышеннои ■иниешрции электронов ■ LeH-= 1/4мкм Vd=3,3B р в: LATID • без спейсера -~а О Обычный ^Ald/Id,conv<10% 5S0 Id ( мкА/мкм) Рис. 7.91. Связь между сроком службы tL и током стока /d 0,25-мкм я-МОПТ, работающих при напряжении питания VDD = 3,3 В и имеющих структуру: обычную (без LDD- областей); LDD; LATID и LATID, формируемую без спейсера. Отмечен также случай возникновения «offset»-структуры, соответствующей данным (<), когда реализуется ситуация, показанная на рис.7.92г. Заштрихованная область соответствует возможности одновременного удовлетворения условиям: tL > 10 лет, Md/Idconv < 10% и Lov < 0,1 мкм (Lov — протяженность участка наложения затвора на LDD-область). В случае структур LATID и LDD при варьировании дозы им- плантиреумых атомов фосфора при формировании слабо легированных «-областей Nn. = 1, 2, 3, 4 и 6 х 1013 см2 используются маркеры: с зачерненной правой половиной, с зачерненной левой половиной, светлые, и 0,00 мкм). Последний случай соответ- полностью зачерненные, с зачерненной ниж- ствует структуре LATID-я-МОПТ без ней половиной спейсера. При этом важно отметить, что вдоль этих кривых увеличивается доза имплантируемого фосфора с 1 • 1013 до 6 • 1013 см2 (слева направо). Здесь же приведены данные для LDD-я-МОПТ (V) и для обычной структуры я-МОПТ (без LDD-областей) (□), а также — два результата для LATID при недостаточных дозе имплантации (Nn_ - cos 0), энергии имплантированных ионов и перекрытии слаболегированной я-области затвором, имеется опасность нарушения сплошности области с концентрацией электронов в диапазоне 1018 < п < 1019 см3, как это показано на рис. 7.92 [158]. Такое разделение (offset) приводит к заметному ухудшению приборных характеристик и катастрофическому снижению срока сохранения работоспособности.
Qs Глава 7. Надежность субмикронных МОП-транзисторов Рис. 7.92. Расчетные распределения концентрации электронов (п) и максимальной плотности электрического тока вблизи стока для 0,35-мкм я-МОПТ, работающих в режиме Vd= Vg = 3,3 В и имеющих структуру: а) обычную (без LDD-областей); b) LDD; с) LATID (Lsp = 80 нм); d) LATID (с протяженным спейсером Lsp = 150 нм). Заштрихованные участки соответствуют областям, где концентрация электронов находится в диапазоне 1018 < п < 1019 см3 Применение методики ускоренных испытаний МОПТ на надежность (с точки зрения их стойкости по отношению к деградационному воздействию горячих носителей) — с использованием зависимостей типа lg tL vs. l/Vd— позволяет также оперативно регистрировать влияние на их функциональные возможности различных технологических режимов, включая изменение температурно-временных бюджетов, использование альтернативных технологических сред и материалов, а также введение или исключение отдельных технологических процедур. На рис. 7.93 [181] показано влияние режимов термообработки подзатворного окисного слоя в N20 на срок службы tL МОПТ. Можно видеть, что использование термообработки в N20 в режиме 950°С/20 мин приводит к заметному увеличению tL относительно более умеренного режима термообработки. На рис. 7.94 [182] приводятся аппроксимационные линейные зависимости lg tL <x 1/Vd, полученные при исследовании влияния замены поликремниевых (Si*) затворов на силицидные (WSix) в «-МОПТ (с LATID-структурой) ир-МОПТ (с LDD-структурой), с Lg = 0,6 мкм; Тох=\4 нм. Можно видеть, что применение WSix -затвора в «-МОПТ увеличивает их долговечность (оцениваемую по tL) примерно на два порядка по сравне-
7.3. Прогнозирование срока службы МОПТметодом ускоренных испытаний 649^ нию со случаем использования Si*- затворов. И наоборот, применение WS^-затворов в /?-МОПТ вызывает противоположный эффект: их долговечность резко ухудшается. Улучшение надежности, обусловливаемой большей невосприимчивостью я-МОПТ с WS^-затворами к воздействию горячих носителей, объясняется появлением фтора во время проведения CVD-процесса с применением гексафторида вольфрама (WF6) при формировании WSix. Проникновение F до границы раздела Si-Si02 привело к уменьшению плотности поверхностных состояний Nir В /7-МОПТ с WSix-3aTBopoM инжекция электронов затвора вызывала, наоборот, увеличение Nir Таким образом, использование 81*-затворов при создании субмикронных КМОП-схем обеспечивает приемлемую их долговечность (не менее 10 лет) при напряжении питания не более Vd* 3,3 В. Нужноотметить,чтодальней- шее масштабирование МОПТ не опровергло применимости lg tL vs. 1/^-методики для оценки их долговечности. Так, например, в работе [183] исследовалась обусловленная горячими носителями деградация п- и/?-МОПТ с Leff = 0,18 мкм и Тох = 3,4 нм (подза- творный окисный слой легировался азотом при имплантации последнего в Si-подложку). В работе [184] также было продемонстрировано успешное применение аппроксимирующих линейных зависимостей lg h^ I/К У^ Л^ глубокосубми- кронных «-МОПТ с Lg =0,080 мкм и Тох = 2,6 нм. Применение суперкрутого ретроградного профиля распределения легирующей примеси (In) в 4000 1000 о 100 0. Vd(B) 4.4 4.2 4.0 3.8 Leff = 0,3мкм ' Vg = 0,425\£ / /А » : 7jf ; / / f о Окисел /у ■ 900°С.5мин ' ▲ 950°С, 20 мин i . i .. . i . ^ „ 22 0.23 0,24 0.25 0.26 0.27 l/Vd(B'1) Рис. 7.93. Увеличение срока службы tL я-МОПТ с Left = 0,3 мкм; Kg = 0,425 В в случае термообработки подзатворного окисного слоя в N20 Рис. 7.94. Зависимость срока службы tL от l/|Kd| для п- и/ьМОПТ с затворами из поликремния (Si*) или силицида вольфрама (WSi). Стрессовое воздействие на «-МОПТ и /7-МОПТ осуществлялось в режимах достижения Isub>maxwlgtmax, соответственно. Критерием потери работоспособности была выбрана 10%-ная деградация A/d//d0 (на линейном участке /d(Kd)- характеристик) или Agm/gm для «-МОПТ и /?-МОПТ, соответственно
((Г&50 Глава 7. Надежность субмикронных МОП-транзисторов 0.4 0.5 1/Vd(l/B) а) "0.0 0.01 0.02 0.03 0.04 0.05 0.06 Расстояние от середины канала(мкм) б) канале в сочетании с режимом динамического порога (когда затвор электрически соединяется с подложкой (DTMOS — Dynamic Threshold voltage MOS field-effect transistor) позволило получить исключительные приборные характеристики (Id « 0,35 мА/мкм; Ioff = 40 мА/мкм; gm = 1022 мСм/мм; S = 74 мВ/дек и VDD = 0,7 В) в сочетании с долговечностью, достигающей tL = 10 годам при ^ = 2 В. В этом можно убедиться на основании lg tL ос \/Vd- зависимостей, представленных на рис. 7.95 [184]. 7.3.3. Метод прогнозирования срока службы, основанный на реальных временных эпюрах - Vg(t) и Vd(t) В цифровых ИС подавляющее большинство МОПТ фактически не работают в режиме постоянных (статических) напряжений смещения. Поэтому значительный интерес представляют эффекты, обусловленные динамическим характером инжекции горячих носителей. Возникает вопрос — может ли надежность (долговечность) МОПТ, работающих в динамическом режиме в условиях переменных стрессовых воздействий (АС-режиме), предсказываться на осно- Рис. 7.95. (а) Зависимость срока службы tL от обратной величины напряжения смещения стока (\/Vd) ультракороткоканальных вании статических экспериментов и при «-МОПТ (4 = 80 нм; Тох = 2,6 нм), изготов- условии учета всех существенных стати- ленных по двум технологиям: имплантация ческих деградационных эффектов? /и(150кэВ; 1013см"2; ^ = 0,365 В), импланта- При функционировании МОПТ в ция BF2 (50кэВ; 6-Ю12см2; Vt = 0,425 В), (б) АС-режиме импульсы напряжений, по- Расчетные распределения вдоль канала ла- даваемые на затвор сток и исток, непре- теральной составляющей напряженности pbIBHo переключают МОПТ в состояния, электрического поля (ЕЛ на глубине 3 нм от когда в подзатворныи окисныи слои инжектируются либо горячие электроны, либо горячие дырки или же те и другие, что приводит к появлению последовательности доминирования различных деградационных механизмов — в зависимости от типа канала — в я-или/ьМОПТ. Таким образом, необходимо проанализировать большое количество возможных вариантов стрессовых воздействий в статическом режиме (DC-режиме) для того, границы раздела Si/Si02. Испытания проводились как в обычном режиме (standard- mode), так и в режиме «динамического порога» (DT-mode)
7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 651 Табл. 7.9. [121]. Перечень деградационных механизмов — в дополнение к общему эффекту генерации поверхностных состояний во время стрессового воздействия Эффект 1. Перемещение дырок в окисле. 2. Эффекты высвобождения носителей с ловушек. 3. Пост-стрессовые эффекты. 4. Инициируемая дырками генерация электронных ловушек. 5. Реакция приборной структуры на изменение напряжений на внешних электродах. 6. Время нарастания и спада фронтов управляющих импульсов | напряжения. Ъш инжектируемого носителя Дырки Дырки или электроны Дырки Дырки или электроны - - Временная шкала 10-100 не Секунды-часы Секунды-часы - 1-10 пс >50пс чтобы корректно предсказывать последствия деградационного воздействия горячих носителей в АС-режиме. При этом следует учитывать ряд ключевых обстоятельств (табл. 7.9). 1. Первый эффект - дырки инжектируются в Si02, захватываются на лову- шечные центры, а инжектируемые впоследствии электроны рекомбинируют с этими дырками. Известно, что одновременно весьма эффективно могут создаваться новые (дополнительные) поверхностные состояния в зависимости от локализации захваченных дырок. Подвижность дырок в Si02 очень мала [185, 186], что приводит к «диффузионно-дрейфовым» эффектам, развивающимся в течение десятков наносекунд. В зависимости от величины ориентации пространственных составляющих напряженности электрического поля у границы раздела Si- Si02 вдоль канала инжектируемые дырки могут захватываться на ловушки или же удаляться из Si02 в сторону одного из электродов (затвора или подложки), так и не будучи захваченными на ловушки. При этом необходимо отметить, что картина электрического поля под воздействием динамически изменяющихся условий функционирования МОПТ может изменяться в течение наносекунд. При захвате дырок на ловушки следует ожидать изменения приборных электрических характеристик МОПТ. В случае же их удаления из Si02 электрические параметры МОПТ остаются неизменными. Этот эффект обычно оказывается незначительным. В статических экспериментах устанавливается квазистационарная и относительно высокая концентрация дырок, тогда как в случае периодического импульсного воздействия (АС-режим) концентрация дырок оказывается зависящей от кратковременных постстрессовых условий. Высокие напряжения смещения стока в этой фазе приводят к быстрому удалению дырок из подзатворного окис- ного слоя, и таким образом ослабляется эффект захвата дырок на ловушки, что не может быть обнаружено в DC-режиме. 2. Второй эффект также связан с дырками: дырки, инжектируемые в под- затворный окисный слой, захватываются на ловушки, образуя при этом положительный встроенный фиксированный заряд. В основном это происходит в пределах узкой области, отстоящей от границы раздела Si-Si02 на расстоянии 2—3 нм, что способствует проявлению эффекта освобождения дырок туннелированием в
652 Глава 7. Надежность субмикронных МОП-транзисторов подложку (канал) за время от нескольких секунд до нескольких часов. Поэтому этот эффект не может проявиться заметным образом во время стрессовых воздействий, характерных для реального АС-режима функционирования МОПТ. Квазистатические стрессовые воздействия в DC-режиме, за которыми следует относительно продолжительный период подачи высокого напряжения смещения затвора и низкого напряжения смещения стока, могут приводить к конфигурации электрического поля, способствующей высвобождению положительного заряда на ловушках в подзатворном окисном слое. Аналогичным является процесс высвобождения захваченных на ловушки электронов (что может оказаться весьма существенным в случае р-МОПТ) [187]. При этом термическая эмиссия электронов с ловушек может быть существеннее их туннелирования. 3. Третий вариант деградационных явлений связан с инжекцией дырок в я-МОПТ, имеющий в качестве верхнего защитного (пассивирующего) слоя нитрид кремния. В такой приборной структуре наблюдался процесс, продолжающийся уже после окончания стрессового воздействия генерации быстрых поверхностных состояний. Интенсивность этого послестрессового эффекта в сильной степени зависит от условий проведения стрессового и послестрессового воздействия. Простая модель, предложенная для объяснения этого эффекта [188], была основана на высвобождении водорода с границы раздела Si-Si02 (с образованием поверхностных состояний) под влиянием эмиссии дырок, захваченных ранее на ловушки в Si02. Наличие достаточного количества водорода в подзатворном окисном слое обусловлено использованием в качестве верхнего пассивирующего слоя Si3N4 [188, 189]. Основная особенность постстрессовых эффектов в том, что их характеристическое время может находиться в диапазоне от нескольких секунд до нескольких часов. В ряде случаев инжекция дырок сопровождается инжекцией электронов, например, когда МОПТ подвергается стрессовому воздействию горячих носителей, что приводит к их взаимодействию (интерференции). В других случаях более важным оказывается взаимодействие поверхностных состояний и/или носителей из канала (путем туннелирования) с дырками, захваченными на ловушечные центры в Si02, отстоящими от границы раздела Si-Si02 на расстоянии в несколько нанометров. Эффект послестрессового воздействия определенно не связан с переходными процессами прикладываемых импульсов напряжений, например, с временем нарастания tr или спада tf переднего или заднего их фронтов, соответственно. 4. Четвертый эффект обусловлен последовательным приложением низкого и высокого напряжения затвора. Низкий уровень Vd приводит к большой величине продольной составляющей напряженности электрического поля в канале в области его отсечки (разумеется, при достаточно большой величине Vg). Доминирующим эффектом при этом оказывается инжекция дырок. При высоком уровне Vg доминирует инжекция электронов [190]. Такая последовательность условий ин- жекции является типичной для целого ряда схемотехнических приложений, когда напряжение смещения затвора Vg возрастает, тогда как напряжение смещения стока находится все это время tx на высоком уровне и только затем резко спадает (уже после выхода Vg на «полку»). На фазе нарастания переднего фронта tr импульса Vg происходит инжекция дырок и их захват на ловушки, а также создание свободных электронных ловушек, что практически не сказывается на параметрах МОПТ. Только последующая инжекция электронов (когда Vg« Vd) приводит к на-
7.3. Прогнозирование срока службы М ОПТ методом ускоренных испытаний 653 коплению в подзатворном окисном слое отрицательного заряда, обусловленного захватом электронов на ловушки, что уже и вызывает заметную деградацию приборных характеристик (этот эффект также усиливается в результате нейтрализации электронами ранее захваченных на ловушки дырок). Важно при этом отметить, что данный процесс не имеет какую-либо характеристическую собственную постоянную времени, поскольку определяется только последовательностью импульсов VgwVd. Все представленные в табл. 7.9 деградационные механизмы связаны с инжек- цией дырок. Временные постоянные этих механизмов изменяются в широких пределах. Медленный же механизм перемещения дырок в подзатворном окисном слое характеризуется небольшой величиной постоянной времени (10—100 не) и не может быть проявлен в режиме DC-измерений. Даже если нет гарантий, что перечень приведенных в табл. 7.9 деградационных механизмов является исчерпывающим, можно быть уверенным, что он охватывает все наиболее важные эффекты проявления горячих носителей, обнаруженные и исследованные к настоящему времени. На этой основе можно сделать следующие выводы: 1. Проявление нестационарных эффектов в я-МОПТ можно в сильной степени ослабить, если существенно предотвратить инжекцию дырок. Действительно, инжекция дырок уже сама по себе заметно ограничена по сравнению с инжекцией электронов, поскольку дырки характеризуются намного меньшей подвижностью и их инжекцию в Si02 ограничивает значительно более «высокий» барьер (4,8 эВ по сравнению с барьером для электронов 3,1 эВ). Уменьшение рабочих напряжений должно приводить к ослаблению электрических полей и таким образом снижать вероятность инжекции дырок в значительно большей степени, чем для инжекции электронов. Снижение стандартной величины напряжения питания до 3,3 В для КМОП-схем с характеристическим минимальным топологическим размером, равным 0,5 мкм и менее, должно приводить к заметному ослаблению инжекции дырок. Это же утверждение справедливо и для последующих поколений КМОП-ячеек с субмикронными размерами эффективной длины канала и с соответственно пониженными напряжениями питания VDD. 2. Все деградационные механизмы, приведенные в табл. 7.9, обусловлены процессами в подзатворном окисном слое и/или на границе раздела Si-Si02. Между тем, характеристическое время, связанное с перемещением (дрейфом и диффузией) носителей в объеме кремния, как показали исследования [191], находится в пикосекундном диапазоне (1—10 пс). То есть носители заряда практически мгновенно реагируют на изменения внешних напряжений, поскольку в типичных случаях внешние напряжения изменяются намного медленнее (не быстрее чем за 50 пс). Поэтому процесс накопления деградационных повреждений можно описать как сумму результатов процессов, независимо инициируемых каждым из деградационных механизмов (/), периодически повторяющихся с периодом Тр и имеющих каждый раз одинаковую продолжительность Тг При этом для результирующей «квазистатической» величины срока службы tAC можно записать (используя при этом подход, аналогичный правилу Матиссена): — = Х:—7", (7.67) lAC lDC,i lp
654 Глава 7. Надежность субмикронных МОП-транзисторов где tDCi — срок службы (/£), обусловленный отдельным механизмом деградации в статическом режиме (DC), a TJTp представляет «коэффициент заполнения» периода импульсом, соответствующим данному механизму. Если теперь определить срок службы tL(A) как время, необходимое для того, чтобы в подзатворный окис- ный слой был инжектирован заряд для деградации какого-либо параметра на заданную величину А (т.е. в соответствии с выбранным критерием выхода прибора из строя), то следует записать: 'L(A) Q,,j= j I*№. (7.68) О Далее, в соответствии с работой [76], можно представить инжектируемый в подзатворный окисный слой ток как функцию тока подложки (Isub) и тока стока (Id) в следующем виде: hj = C,C /'Г', (7.69) где С j — константа, т — показатель степени (в первом приближении можно считать не зависящим от напряжений смещения). С учетом интегральной зависимости (7.68) можно сделать вывод: tL(A) = C2i:ub //Г. (7.70) Это соотношение было получено на основании простой модели проявления горячих носителей (электронов). Оно также может быть обосновано, исходя из более строгих обобщенных моделей при единственном допущении, что Isub и Iinj обусловлены единым ансамблем горячих носителей (электронов). Их энергетический «хвост» может быть описан экспоненциальной функцией [76]. Для случая динамических (периодических) стрессовых нагрузок, когда доминирует какой- либо один механизм воздействия, в квазистатическом приближении можно записать: ( . тп V1 *ь=Съ 1 р -—•[Im IIm~ldt rp J l SUb I l d Ш (7.71) где число циклов должно неявно учитываться константой С3, которая в сильной степени зависит от конструкции и технологии прибора. Показатель степени m может варьироваться в относительно узком интервале от 2,7 до 3,1. Формула (7.71) может использоваться независимо в случаях как статических, так и динамических стрессовых воздействий, как показано на рис. 7.96 [192], на котором lg tL представлен как функция lg <Imsub/Im-1d>. Здесь выражение, взятое в ломаные скобки, соответствует усредненному по времени интегральному члену, взятому в круглые скобки в соотношении (7.71). В случае динамических стрессовых воздействий интеграл в соотношении (7.71) оценивается исходя из данных для зависимостей Id( Vg9 Vd) и Isub( Vg, Vd), измеренных предварительно, а также с учетом временных эпюр прикладываемых импульсов. Величина показателя степени m была установлена равной 2,8 (для конкретной технологии изготовления приборных структур) с помощью серии статических экспериментов при постоянной величине Id.
7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 655 Все это позволило вычислять усредненные по времени значения P,8sub/F'8d. Представленные на рис.7.96 экспериментальные и теоретические данные хорошо аппроксимируются прямой линией с наклоном, равным 1. В том случае, когда не представляется возможным выделить какой-либо доминирующий механизм стрессового воздействия, соответствующий конкретным условиям наложения импульсов напряжений смещения, можно прибегнуть к серии DC-экспериментов при напряжении смещения, соответствующих каждому деградационному механизму, с целью оценки входящей в соотношение (7.67) величины tDCi — срока службы, обусловленного /-механизмом деградации, исходя из зависимости: 1 Г 1 sub 1 DC,/ q-w i: (7.72) После этой процедуры для получения результирующей величины срока службы tAC в условиях динамического (импульсного) стрессового воздействия можно уже воспользоваться соотношением (7.67) и записать в наиболее общем виде (в квазистатическом приближении): 10* 10* я* II нЗ>Ю« J?10* ^ 10* о ~ 102 10< 10° а Статический режим Vg = 3BJ ^ а Инверторная цепочка (Ic) J N. « 1с - с выбросами напряжения! N. а NAND-инвертор I " ^v • NOR-инвертор J * \^ 4i Вариации Ьдля V<j -импульса! Знв-Vy ..• ИариациШг для Vg-импульса! 10н&\_ ffla>2.8 X >2i I \ Vd = 8B • X I 8Bo>w о\ J • - i • • i i » -» - i ю-12 ю" ю*Л ю-» ю*1 ю-7 ю*« ю-5 {iSb/Id1"1^), (А/мкм) Рис. 7.96. Зависимость срока службы tLD от усредненной по времени величины отношения <Imsub/ I"1^ наклон т = 2,8. Помимо экспериментальных данных, относящихся к инвертор- ной цепочке, приводятся также результаты измерений /LD для NAND (И-НЕ)-инвертора и NOR (ИЛИ-НЕ)-инвертора. Разброс данных Id(Vg9 Vd) и /sub(Fg, Vd) объясняется погрешностью вычислительных процедур. Приводятся также результаты, полученные при варьировании времени спада tf для Vd- импульса (tT = 0,8 не; Vd amp = 8 В) и нарастания tf для импульса (Vd amp = 5,5 В) - при условии: tf=tr= 1;3; 10нс ' 1 =2 1 С, W 1 \\ т-\ d dt (7.73) Практически проверить справедливость подобного «квазистатического» подхода можно, например, путем проведения экспериментов в режиме «постоянства формы импульсов», когда (в случае варьирования периода следования импульсов) времена нарастания и спада переднего и заднего фронтов импульсов изменяются пропорционально — так, чтобы сохранить неизменным коэффициент заполнения (duty cycle ratio). В этих условиях следует ожидать, что результаты мониторинга деградационных явлений должны быть независимыми от частоты следования импульсов [193]. Обычно АС-инжекция носителей в подзатворный окисный слой приводит к более усиленной деградации приборных характеристик, чем в случае DC- инжекции [55—62]. На рис. 7.97 [194] приводится типичная картина поведения
ЪБ6 Глава 7. Надежность субмикронных МОП-транзисторов Рис. 7.97. Оценки срока службы tL как функции усредненной по времени величины <7^ub//h11d • W> для приборов, работающих в динамическом (о) и статическом режимах (•): а) обычные приборные структуры л-МОПТ (Z,eff = 1,7 мкм; Гох = 40 нм), Ь) LDD-я-МОПТ (LejT= 1 мкм; Гох = 20 нм). В случае тестирования в DC-режиме Vd = 8 В; в АС-режиме импульс напряжения смещения Vd изменяется от 0 до 8 В - при этом продолжительность tw наложения (overlap) импульсов Vd и Vg указана для каждой экспериментальной точки или групп точек зависимостей lg tL от <Imsub/Im~1d' W> для АС- и DC-стрессовых воздействий в двух случаях: а) обычной структуры МОПТ (Тох = 40 нм, Leff= 1,7 мкм) и б) LDD-МОПТ (Тох = 20 нм, Leff= 1 мкм). Видно, что в случае обычной структуры МОПТ (без LDD-областей) срок их службы в динамическом режиме (tAC) оказывается (при частоте следования импульсов/= 25 МГц) в восемь раз меньше, чем в статическом режиме (tDC). При этом в качестве критерия выхода прибора из строя была выбрана величина AId/Id = 1%. В случае LDD-МОПТ различие между tAC и tDC увеличивалось еще больше (более чем в 16 раз). В [194] увеличение деградации в динамическом (импульсном) режиме объяснялось опустошением дырочных ловушек во время периода отключения инжекции, что способствует увеличению Ет в первые несколько наносекунд при наступлении следующего периода включения инжекции перед тем, как дырочные ловушки заполняются снова. Между тем, при проведении экспериментов, связанных с исследованием деградации МОПТ в АС-режиме, необходимо принимать меры предосторожности, направленные на предотвращение емкостных и/или индуктивных всплесков (overshoots) напряжения на краях импульсов, приводящих к заниженным величинам tAC. Использование соответствующих предупредительных мер позволяет исключить искажение результатов наблюдений вплоть до частоты следования импульсов напряжений, примерно равной 100 МГц, при характеристических временах нарастания/спада фронтов вплоть до 3 не в случае исследования деградации МОПТ с длиной канала Ьс > 0,5 мкм [186].
7.3. Прогнозирование срока службы МОПТ методом ускоренных испытаний 65"fj| 2.0 S 1.° N-MOITT0,5mkm < 0.0 ОД 0.4 Время (мкс) 0.6 21.3 21.4 21.5 Время ( не) Рис. 7.98. Временные эпюры напряжений (Kd и Kg) и токов (/sub и 7d) л-МОПТ (Lc = 0,5 мкм), работающего в схеме инвертора Рис. 7.99. Скорость деградации л-МОПТ как функция времени на фоне переходных процессов, формирующих задний фронт импульсов Vd и передний V. Эффективная продолжительность стрессового воздействия ограничена заштрихованной областью и составляет примерно 25% от характеристического времени tT нарастания переднего фронта импульса V Ряд исследовательских групп, между тем, располагает данными [122], интерпретация которых показывает, что АС-инжекция не приводит к интенсификации деградации или даже может обусловливать ее ослабление по сравнению с режимом DC-инжекции. В связи с этим были исследованы явления, лежащие в основе подобных деградацион- ных проявлений горячих носителей. Например, после того, как дырки инжектируются в подзатворный окисный слой (Si02) во время низковольтной части переднего фронта импульса напряжения смещения затвора, они медленно перемещаются между ближайшими атомами кислорода (прыжковый механизм) с результирующей подвижностью -2-105 см2/В-с [185]. Поэтому им требуется, к примеру, -10 не для того, чтобы преодолеть (продрейфовать) расстояние в 1 нм при наличии электрического поля Еох « 0,5 В/см. В случаях, когда МОПТ работают в ячейках инверторного типа, деградация осуществляется почти полностью в течение подъема переднего и спада заднего фронта импульса Vg, т.е. во время прохождения той части фронта, которой отвечает более высокое напряжение смещения стока Vd (рис 7.98). Таким образом, если частота следования импульсов достаточно велика (время подъема или спада фронтов оказывается менее 10 не), т.е. если дыркам не хватило времени на преодоление толщины подзатвор- ного окисного слоя Тох и они могут быть захвачены на глубокие ловушки, то они начинают перемещаться в обратном направлении к границе раздела Si-Si02 за отрезок времени, когда реализуется высокое напряжение Vg при достаточно низком напряжении Vd. Таким образом, дырки перестают эффективно участвовать в процессе деградации [122]. В работах [121, 195] на основе простых моделей были обоснованы аппрокси- мационные формулы для оценки величины отношения сроков службы в динамических (АС) и статических (DC) режимах стрессовых нагрузок: / 4 NTF=^ = — ±- длял-МОПТ; tn А (7.74)
/но 5 8 Глава 7. Надежность субмикронных МОП-транзисторов PTF = t AC _ 19. ftr <r- для/?-МОПТ, (7.75) где/— частота следования импульсов, обусловливающих стрессовые воздействия, а /ги tf— характеристические времена нарастания переднего и спада заднего фронтов импульсов напряжения смещения затвора Vg. Использованная при выводе формул (7.74) и (7.75) модель попросту заменяет реальную функцию зависимости скорости деградации от времени на эквивалентную ей прямоугольную область, как это показано на рис. 7.99 [ 195] для я-МОПТ, работающего в инверторной ячейке. На рис. 7.100 [195] демонстрируется хорошее совпадение экспериментальных результатов с расчетными зависимостями NTF = tAC/tDC от tr (времени нарастания) для двух значений частоты следования импульсов (/*= 50 и 100 МГц) в довольно широком диапазоне основных параметров приборной структуры {Leff = 0,3- 0,95 мкм; Тох = 8,6—21 нм; VDD = 3—5 В). Это свидетельствует о вполне приемлемой универсальности формул (7.74) и (7.75). Для случаев tr < 0,2 не и частот/> 500 МГц формулы (7.74) и (7.75) нуждаются в корректировке. Для оценки более сложных ситуаций (например при оценке деградации времени задержки распространения сигнала (tpd) в более сложных схемотехнических ячейках, нежели простой инвертор), были разработаны специальные компьютерные программы, например универсальная программа BERT (Berceley Reliability Tools). Однако, как было показано в [195], в ряде случаев можно получить простые аналитические соотношения, позволяющие делать оценки последствий АС-деградационных процессов, исходя из данных по DC-стрессовому воздействию. 6 ^ 5 CQ ^ 4 К Ж * 3 а 5 2 я 1 0 21 1 — Vd~ * Эффективное время ' стресса 1 Vd/4 , .1 21.2 sS^ vg - V .v_ 21.3 21.4 21.5 Время ( не) 21.6 21 о о о 5 с Скорость деградации (относит, ед.) 7 Рис. 7.99. Скорость деградации я-МОПТ как функция времени на фоне переходных процессов, формирующих задний фронт импульсов Vd и передний Vg. Эффективная продолжительность стрессового воздействия ограничена заштрихованной областью и составляет примерно 25% от характеристического времени tT нарастания переднего фронта импульса V II н из К иг S й 450 400 350 ЧОП 250 200 150 100 50 I Г Т l-eff Tox Vd | hi D О.ЗОмкм 8,6нм 3.0В 1 1 О О.ЗОмкм 8.6нм 4.0В \^^.50МГц ^ О.ЗОмкм 8.бнм 4.5В А^ ♦ 0.95мкм 21.0нм 5.0B А \ • 0.50мкм 17.5 нм 5.0В • д\ j ^^ощ%^--Д_А • 100МГц г Ш || m ц . . i , , „ n Li i " ▼ 1 0 0.5 1.0 1.5 2.0 Время переднего фронта импульса Vg, tr(Hc) Рис. 7.100. Зависимость NTF от tv. Хорошее согласование экспериментальных данных и расчетных (модель) в широкой области /г, /, VDD и параметров структуры указывает на универсальность используемой модели
7.4. Микроструктурная природа и механизмы возникновения дефектов в МОПТпод воздействием горячих носителей 7.4. Микроструктурная природа и механизмы возникновения дефектов в МОПТ под воздействием горячих носителей 7.4.1. Дефекты на границе раздела Si-Si02 Исследование физико-химических аспектов в пограничных областях у границы раздела Si-Si02, в особенности — поверхностных состояний, или ловушек, продолжает оставаться весьма актуальной проблемой. Поверхностные состояния на границе раздела разных материалов интенсивно исследуются уже более 60 лет. Самая ранняя работа [196], выполненная И. Там- мом, носила чисто теоретический характер и предсказывала на основе кванто- механического подхода возникновение специфических энергетических уровней (получивших наименование «таммовских состояний») на границе раздела между двумя различными кристаллическими средами. Граница моделировалась скачкообразным изменением периодичности (и других параметров) линейной последовательности потенциальных барьеров прямоугольной формы, имитирующих кристаллические решетки материалов. Позднее В. Шокли [197] также обосновал существование поверхностных состояний на основе концептуально аналогичного подхода, используя при этом свою модель нарушения непрерывности потенциальной функции. Однако эти пионерские физические модели оказались весьма трудными для использования в практике экспериментальных исследований. Более простой подход, основанный на полуклассической физике и идеях химической физики, оказался полезнее с практической точки зрения. Одним из таких удачных подходов явилась модель оборванных (незадействованных) орбиталей (daugling orbital model), применимая к ковалентным кристаллам, так что свободная поверхность материалов (пластин) должна быть «покрыта» множеством свободных орбиталей. Однако парадоксом модели незадействованных орбиталей является то, что они обычно не наблюдаются на свободных (intrinsic) поверхностях, поскольку такие поверхности стремятся реконструироваться так, чтобы максимально устранить незадействованные орбитали. Подобная реконструкция свободной поверхности, в частности на кремнии, наблюдалась экспериментально и была теоретически смоделирована [198]. Важным обстоятельством между тем является то, что в случае, например, поверхности кремния, покрытой каким-либо другим материалом, т.е. на несвободной поверхности (extrinsic surface), вероятность существования незадействованных орбиталей существенно возрастает. Так, например, на хорошо сопряженной границе раздела между Si и Si02 не происходит интенсивной ее реконструкции, что способствует сохранению достаточно большого числа незадействованных орбиталей на границе раздела. Поэтому естественно было предположить, что последние являются источником экспериментально наблюдаемых на границе раздела Si-Si02 поверхностных состояний [198]. Исследование поверхностных состояний в структурах МОПТ началось с изготовления первых функционирующих образцов МОПТ, затем стали применяться тестовые МОП-структуры. К середине 1970-х годов было установлено и общепризнано [198], что энергетический спектр плотности поверхностных состояний на чистой границе раздела Si-Si02 представляет собой U-образный континиум в за-
uf&60 Глава 7. Надежность субмикронных МОП-транзисторов прещенной зоне кремния между ее границами, на который накладываются слабо выраженные пики, величина и расположение которых изменяется в зависимости от технологии изготовления МОП-структур. Термическое окисление монокристаллического кремния приводит, как было установлено [199], к образованию парамагнитных дефектов вблизи границы Si- Si02, которые получили наименование Ргцентров. Исследования по электронному парамагнитному резонансу (EPR — electron paramagnetic resonance) позволили установить, что /^-центры локализуются на кремниевой поверхности у границы раздела Si-Si02 и являются амфотерными дефектами, т.е. состояниями с двумя типами электронных переходов в запрещенной зоне кремния. Характерный вид распределения по энергии плотности поверхностных состояний, соответствующих этим /^-центрам (дефектам) на (1 Неориентированной границе Si-Si02, представлен на рис. 7.101 [199]. Центр одного доминирующего пика поверхностных состояний акцепторного типа расположен примерно на 0,3 эВ выше верхней границы валентной зоны, а центр менее выраженного пика донорной природы находится примерно на 0,25 эВ под нижней границей зоны проводимости. Расстояние между этими пиками примерно равно 0,6 эВ, что соответствует величине корреляционной энергии для /^-центров, которая равна разности энергий, требующихся для присоединения электрона к однократно оккупированной орбитал и и к незанятой орбитал и (незадейство ванной связи). Локализация /^-центров именно на границе раздела Si-Si02 подтверждается, во-первых, тем, что амплитуда /^-сигнала не зависит от толщины слоя Si02 (вплоть до Тох = 10 нм) и исчезает с удалением (стравливанием) последних 5 нм Si02 [200]. Во-вторых, отсутствуют какие- либо объяснения возможности существования ориентационно зависимых центров в объеме Si или Si02. И наконец, различная анизотропия величины ^-фактора (соотносимого с характером магнетизма дефекта) для (111), и (100)- ориентации границ раздела Si-Si02 свидетельствует, что EPR-сигнал определяется ориентацией поверхности монокристаллического кремния и соответствующие PQ CN О 0.5 0.S Энергия (эВ ) Рис. 7.101. Распределение плотности поверх ностных состояний по энергии в запрещенной Р6-центры находятся именно на границе раздела Si-Si02. Поверхностная локали- зоне кремния. Образцы кремниевых пластин 3a4™ /У Центров была позднее оконча- (111) подвергались термическому окислению тельно подтверждена исследованиями без последующей термообработки. Измерения взаимодействия Р^-орбиталей с электро- проводились с помощью DLTS-техники, нами или дырками, притягиваемыми к Для составления полного спектра Д((г) ис- границе раздела под воздействием поло- пользовались МОП-структуры п- и /нгипа, жильного или отрицательного напря- проходившие одинаковую технологическую жершя смещения [200] обработку. Расстояние между двумя пиками ш схематично по- Ueff соответствует эффективной корреляцион- ^ L J ной энергии для ^-центров казаны структура и расположение /»ь- центров на окисленных кремниевых
7.4. Микроструктурная природа и механизмы возникновения дефектов в МОПТ под воздействием горячих носителей пластинах для трех основных случаев ориентации их поверхности: (111), (110) и (100). Видно, что при ориентации (100) наблюдаются два типа /^-центров: Рьо и РЪ1. 7^-центр обычно принято обозначать как Si3 = Si», где жирная точка соответствует оборванной (незавершенной) связи. Этот тип дефектов характерен для (111)- и (ПО)-поверхностей [201]. Другой тип поверхностного дефекта (РьГ центр) соответствует случаю, когда одна из трех связей «центрального» (дефекто- образующего) атома кремния заменяется на связь с атомом кислорода, образуя при этом как бы «частично окисленный 7^-центр», обозначаемый как Si20 = Si«. В отличие от Ръо Рй/-центры не имеют аналогов среди дефектов в объеме кремния или Si02. С точки зрения влияния /^-центров на характеристики МОПТ важно отметить, что эти дефекты пассивируются водородом. В связи с этим обстоятельством возникает вопрос: инжекция Рис 7.102. Структура и расположение Ръ- горячих носителей депассивирует Рг центров на окисленных кремниевых пласти- ' /1114/11 Г\\ /1 АЛ\ центры или же они создают новые де- нах ^ '' ^ ' и ^ ' фекты других типов, т.е. какой из указанных процессов является доминирующим и при каких условиях? Большинство опубликованных результатов было получено с использованием техники гомогенной (равномерной) инжекции горячих носителей в МОП- или МОПТ-структурах большого размера. Наиболее эффективным методом, позволяющим адекватно устанавливать микроскопическую природу дефекта, является метод электронного парамагнитного (спинового) резонанса — EPR(ERS)-MeTC^, который требует использования экспериментальных образцов большой площади. Между тем определение природы создаваемых дефектов с помощью только какой-либо другой электрофизической техники является очень трудной задачей. Однако в начале 1990-х годов появилась модернизированная EPR-техника, которая успешно была применена для исследования МОПТ-структур, имеющих небольшие размеры. Эта техника получила название «спин-зависимая рекомбинация» (SDR — Spin-Dependent Recombination) или же «электрически детектируемый магнитный резонанс» (EDMR — Electrically Detected Magnetic Resonance). Она позволила установить, что Рь-центры действительно создаются в МОПТ небольших размеров в результате инжекции горячих носителей при низких значениях Vg, а в ряде случаев — при однородной инжекции электронов. Положительные заряды также образуются на границе раздела Si-Si02 под влиянием инжекции электронов, когда напряженность электрического поля в окис- • Кремний о Кислород Si02 Si02 Si02
662 Глава 7. Надежность субмикронных МОП-транзисторов ном слое оказывается достаточно большой (> 7 МВ/см) [202, 203]. Эти положительные заряды в основном локализуются в Si02 в пределах З-нм зоны от границы раздела Si-Si02 [204] и обусловливаются наличием дефектов донорной природы, локализованной вблизи границы раздела Si-Si02. Поскольку эти дефекты могут обмениваться носителями с объемом кремниевой подложки туннелированием, то они также получили наименование медленных поверхностных состояний (или «бордюрных ловушек») [205]. Постоянные времени захвата и эмиссии этих состояний (ловушек) находятся в диапазоне от нескольких миллисекунд до нескольких сотен секунд. Эти «бордюрные ловушки» могут быть исследованы с помощью различных модернизируемых методов, используемых для изучения быстрых поверхностных состояний: туннельной переходной спектроскопии глубоких уровней (Т — DLTS — Tunnel-Deep Level Transient Spectroscopy) и метода накачки заряда (СР — charge pumping). В работе [206] было установлено наличие линейной корреляции между концентрацией медленных и быстрых состояний, создаваемых в результате инжекции, что, возможно, свидетельствует о единстве их физико- химической природы и механизме создания. Для объяснения формирования дефектов на границе раздела Si-Si02 под влиянием инжекции носителей были выдвинуты две модели. Одна из них основана на признании основной роли водорода (или водородосодержащих частиц), поэтому получила название HRS-модели (HRS — Hydrogen-Related Species) [122]. Согласно этой модели, горячие электроны, инжектируемые в окисный слой, могут под воздействием электрического поля в Si02 (Eox) набрать достаточную энергию для высвобождения некоторых водородосодержащих частиц, присутствующих в самом Si02 и на границе раздела окисел/анод (затвор). Эти частицы (главным образом, протоны) диффундируют/дрейфуют через Si02, создавая при этом поверхностные дефекты в соответствии с химической реакцией: РЬН + [Н]^РЬ+[Н*], (7.76) где РЪН представляет пассивированный водородом i^-центр, [Н] — любая форма водородосодержащей частицы (Н, Н+, ОН и т.п.); [Н*] — любая форма комбинации [Н] с атомарным водородом, высвобожденным с Рь-центра [205]. Также были предложены и другие, тщательно разработанные в деталях химические реакции [207], однако соотношение (7.76) может считаться основополагающим. Эта модель оказывается эффективной в случаях, когда напряженность электрического поля (Еох) превышает примерно 1,5—2 МВ/см. Вторая модель, исторически более ранняя, связывает деградацию с генерацией дырок в результате ударной ионизации (II — Impact Ionization) в Si02, характеризуемой шириной запрещенной зоны ~ 9 эВ [208]. Позднее эта модель была предана забвению, поскольку средняя энергия электронов в Si02 никогда не превышает 5—6 эВ [209]. Однако относительно недавно было установлено, что в хвостовой части распределения электронов по энергии могут находиться в заметном количестве электроны, обладающие энергией в диапазоне 9—12 эВ. Такая ситуация обычно наблюдается в достаточно толстых окисных слоях (> 20 нм) и в полях высокой напряженности (>7 МВ/см) [203]. Эта модель, основанная на связи между генерацией дырок в результате ударной ионизации и созданием дефектов, была реанимирована как теоретически, так и экспериментально [203, 210]. Было доказано, что данная модель (II) полностью объясняет формирование положительных зарядов и созда-
7.4. Микроструктурная природа и механизмы возникновения дефектов в МОПТпод воздействием горячих носителей ние поверхностных состояний [203] в окисных слоях толщиной более -20 нм. Дырки, генерируемые в результате ударной ионизации в Si02, могут захватываться на границе раздела Si-Si02. Затем инжектируемые электроны рекомбинируют с ними, высвобождая при этом энергию, достаточную для образования поверхностных состояний, если при этом захваченные на ловушки дырки локализованы вблизи границы раздела Si-Si02 на расстоянии, не превыщающем ~3 нм. Модель «ударной ионизации» (И-model) требует большой напряженности электрического поля Еох в окисном слое, поскольку генерация дырок возможна только в случае, когда инжектируемые носители достигают пороговой энергии, необходимой для осуществления междузонной ударной ионизации. С другой стороны, в случае HRS-модели энергия депассивации /^-центра почти соответствует энергии связи SiH и варьируется в диапазоне от ~0,8 до 3,1 эВ [122] в зависимости от наименьшей величины энергии, необходимой для ослабления связи SiH. Были выполнены многочисленные эксперименты с целью установления роли каждого их этих двух механизмов возникновения поверхностных дефектов [202, 203, 210]. В табл. 7.10, [112] приводятся данные, характеризующие зависимости обсуждаемых основных механизмов (HRS и 11) от параметров, определяющих условия инжекции горячих носителей (электронов) в подзатворный окисный слой (Тох, Г, Q, и Еох). HRS-механизм обнаруживает активность независимо от толщины окис- ного слоя. Н-механизм проявляется в достаточно толстых окисных слоях, позволяющих электрону набрать энергию, превышающую ширину запрещенной зоны Si02 (г > 9 эВ), что необходимо для генерации электронно-дырочных пар. Поэтому Н-механизм также требует наличия достаточно сильного электрического поля (Еох > 7,5 МВ/см), тогда как HRS-механизм может реализовываться при существенно меньшей напряженности электрического поля (>1,5 МВ/см), что достаточно для того, чтобы электрон у анода обладал энергией, превышающей ~2 эВ [203]. Также было установлено, что HRS-механизм не зависит от температуры и характеризуется энергией активации в диапазоне 0,05—0,2 эВ, тогда как создание ловушек с помощью П-механизма слабо зависит от температуры либо не зависит от нее совсем. П-механизм доминирует при низком уровне суммарной Табл 7.10. Зависимость основных деградационных механизмов (HRS и II) от толщины окисного слоя, напряженности электрического поля и суммарной плотности инжектированных носителей *} Параметр Толщина Si02 (Tox) Температура (7) Просуммированная по времени плотность потока инжекции (флюенс) (Qlt) Напряженность электрического поля (Еох) HRS-механизм, обусловленный водородосодержащими частицами Не зависит Сильная зависимость >(10-3-10-2)Кл/см2 > 1,5 МВ/см (или энергия, превышающая 2 эВ) 11-механизм, обусловленный ударной ионизацией в окисном слое Зависит (Гпх>20нм) Не зависит или слабая зависимость >(10-3-10-2)Кл/см2 > 7,5 МВ/см (или энергия, превышающая 9 эВ) *) Таблица может помочь установлению природы деградационного механизма при изучении надежности МОПТ
^64 Глава 7. Надежность субмикронных МОП-транзисторов плотности инжектированного заряда, составляющем обычно величину менее (10"3—10"2) Кл/см2, тогда как HRS-механизм в существенной степени начинает проявлять себя в качестве доминирующего механизма создания ловушек при более высоком уровне плотности инжектированных электронов. Однако в случае HRS-механизма критическая величина необходимой плотности зависит от конкретной технологии изготовления МОПТ или МОП-структуры. Так, например, при использовании А1-затвора требуется более низкий уровень плотности (по сравнению с использованием поликремниевого затвора), поскольку технологический процесс с применением А1-затвора, как известно, привносит большее количество водорода в подзатворный окисный слой. В работе [211] показано с использованием EDMR-техники (электрически детектируемого магнитного резонанса), что образуются только Рьо-центры, когда доминирует HRS-механизм генерации ловушек. Однако количество создаваемых при этом /^-центров оказывается недостаточным для того, чтобы объяснить полную концентрацию поверхностных центров, экспериментально измеряемых с помощью С(У)-техники или же с использованием метода накачки заряда (Ср- метода). При плотности прошедшего через подзатворный 8Ю2-слой заряда, составляющей менее ~103 Кл/см2, когда доминирует механизм ударной ионизации (II) при создании ловушек, парамагнитный сигнал не наблюдается, хотя другие электрофизические методы свидетельствуют о достаточно эффективном создании поверхностных состояний. Формирование Р^-центров при этом не наблюдалось даже при использовании EDMR-метода в широком диапазоне температуры при попытках усилить его чувствительность путем учета различия в эффективности захвата носителей обоими типами дефектов (Рьо и Pbl). Таким образом, остается неясным — при HRS-воздействии Р^-центры не формируются или же они попросту не регистрируются EDMR-техникой? 7.4.2. Дефекты, создаваемые в объеме подзатворного слоя Si02 Как уже отмечалось, при инжекции горячих электронов в подзатворный окисный слой я-МОПТ, даже при низком напряжении смещения затвора V в объеме Si02, создаются ловушки с достаточно большим сечением захвата электронов (1014- 10~15 см2) [212]. Было обнаружено, что ловушки в слое Si02, создаваемые в результате инжекции горячих электронов при высоких напряжениях смещения затвора Vg, имеют аналогичные электрические свойства с ловушками, образующимися при низком уровне Vg [212]. Эти ловушечные центры соотносятся с некоторыми типами дефектов, которые формируются в результате создания оборванных связей как в объеме Si02, так и вблизи границы раздела Si02-Si. ESR-измерения показали, что наиболее распространенными дефектами подобного типа являются так называемые i^-центры, или кислородные вакансии (Оэ = Si • • Si = 03), которые, как подтвердили EDMR- измерения, могут создаваться в результате инжекции горячих носителей при низких уровнях V. На рис. 7.103 [213] представлена схема процесса трансформации кислородной вакансии в активный £"-центр в соответствии с FFY-моделью (FFY — Feigl, Fowler, Yip). После захвата дырки происходит асимметричная релаксация структуры кислородной вакансии (Оэ= Si — Si = 03) в активный iT-центр (см. верхнюю
7.5. Пробой подзатворных окисных слоев 66 S^ половину рис. 7.103). Согласно FFY- модели, неспаренный электрон локализуется у одного из атомов кремния (Si), a не «объединяется» с ними обоими. При этом другой атом Si оказывается положительно заряженным ионом и уменьшает свою энергию, распределив ее часть между тремя своими ближайшими атомами кислорода, образуя планаризован- ную гибридизированную ^-структуру. После захвата дырки и образования положительно заряженного iT-центра последний начинает функционировать в качестве кулоновского притягивающего Рис. 7.103. Процесс захвата дырки с после- электроны центра (электронной ловуш- дующим захватом электрона в соответствии с ки). Если такой активный iT-центр за- моделью проявления кислородной вакансии хватывает электрон, то он превращается в кислородную вакансию (см. описание электротермохимической модели в раз- а) деле 7.5). Помимо присутствия в Si02 собственных дефектов, какими являются Е'-центры, в подзатворных слоях МОПТ могут присутствовать дефекты б) (ловушечные центры) обусловленные наличием примесных атомов, попадающих в SiO при проведении технологических операций или же специально вводимых в качестве легирующих ато- п 71П, а плиг ^ ^J ^ Рис. 7.104. Эволюция РОНС-центров и мов. Такими дефектами в Si02 являются BOHC-центров в результате захвата дырки соответственно ВОНС-центры (boron- oxygev-hole-centers) и РОНС-центры (phosphorus-oxygev-hole-centers), которые проявляют себя в качестве спин-активных центров в результате появления неспа- ренных электронов у атомов кислорода, непосредственно связанных с атомами бора и фосфора (рис. 7.104) [213]. Было также установлено, что дырки весьма эффективно захватываются в SiOz, легированной как бором так и фосфором. Однако электроны более эффективно захватываются в SiOz, легированной бором. 7.5. Пробой подзатворных окисных слоев В работе [214] была установлена предельно допустимая минимальная толщина подзатворного окисного слоя, равная -2,2 нм (оптическая толщина) при комнатной температуре, однако улучшение однородности подзатворных диэлектрических слоев позволило сместить предел толщины окисных слоев, отвечающий допустимой надежности, до величины Т in = 1,5 нм [215]. Модель поведения кислородной вакансии Появление Е'-центра (положительный) Н: Н-Ч"Ь Исчезновение Е'-центра (нейтральный) h+ + I ® у e^*fC -1 h+ © (§/ Чес;
666 Глава 7. Надежность субмикронных МОП-транзисторов 7.5.1. Модели пробоя диэлектрических слоев У//////А^ЛЖ^^^ Анод В начале 1990-х годов была предложена модель «наиболее слабого звена» (weakest Olink) [216], согласно которой рассматриваемая конденсаторная структура разбивается на множество небольших ячеек. Предполагалось, что во время стрессового воздействия образуются нейтральные электронные ловушки, распределенные случайным образом по площади структуры. В какой-то момент количество ловушек в одной из ячеек может достичь критической величины, т.е. оказаться достаточно большим, чтобы обеспечить образование проводящего пути между катодом и анодом, что и должно привести к пробою. Недостаток этой модели [216] заключается в ее двумерном характере. Поэтому следующим шагом была разработка модели на основе перколяционного принципа (percolation — просачивание) [217]. Такой усовершенствованный вариант модели «наиболее слабого звена» рассмотрен в работе [218]. Эта модель пробоя имеет две версии: 1)«сферическая модель», когда каждый образующийся дефект в окисном слое характеризуется сферой, имеющей радиус 0,9 нм; 2) «кубическая модель», согласно которой каждому дефекту соответствует куб с ребром, равным 1,3 нм в трехмерной решетке. Обе эти модели обеспечивают почти идентичные результаты. Сферическая модель схематично показана на рис. 7.105 [219]. Согласно этой модели, электронные ловушки (представляемые сферами) хаотичным образом распределяются по всему объему окисного слоя. При этом предполагается, что радиус сфер г имеет фиксированную величину и является единственным параметром модели. Если сферы, соответствующие двум соседним ловушкам, накладываются друг на друга, то это событие интерпретируется как образование проводящего пути между этими ловушками. Далее обе границы раздела между окис- ным слоем и «электродами» интерпретируется как бесконечный сплошной набор ловушечных (дефектных) центров, образующих идеально проводящие плоскости. Процесс генерации и объединения (коалесценции) сфер продолжается до тех пор, пока не образуется проводящий путь между электродами, что интерпретируется как наступление момента пробоя (рис. 7.105, в). При компьютерном моделировании может быть рассчитана и критическая величина суммарной плот- та _ 1АС ~ ности электронных ловушек (Nmrr), не- Рис. 7.105. Ступени эволюционного развития - ах,сг» процесса пробоя тонкого окисного слоя в обходимая для запуска процесса пробоя, соответствии с перколяционной моделью Было установлено, что смоделированное (percolation model). Увеличение концентра- распределение Noxcr может быть описано ции нейтральных ловушек в итоге приводит вейбулловской функцией [220]. к созданию между катодом и анодом прово- Перколяционная модель пробоя спо- дящего пути и к пробою собна количественно объяснить два важ- а) Электронные ловушки У/////////////////^^^^ Катод О) л ч^ ~~~р Кластеры Проводящий трек тттж
7.5. Пробой подзатворных окисных слоев 66Тт ных экспериментально наблюдаемых обстоятельства: 1) при уменьшении толщины окисного слоя суммарная приведенная плотность ловушек, необходимая для запуска процесса пробоя, также уменьшается [218]; 2) по мере уменьшения толщины окисного слоя уменьшается наклон вейбуловского распределения дня кумулятивной суммы актов пробоя р, т.е. при этом должен наблюдаться большой разброс величины так называемого времени до пробоя (tBD) [218, 221]. Последний эффект иллюстрируется рис. 7.106 [218]. Важным следствием уменьшения наклона вейбу- -J-2 5-3 4 1 Mill 1 1 Г h Т **2.4 0.01 0.1 1 (^(нормализованная величина) Рис. 7.106. Вейбуловские распределения для нормализованной величины заряда gBD перед пробоем при различной толщине 7^=2,4; 3,4; ловского распределения для более тонких 4,6; 7,5 и 11 нм. С уменьшением Тох заметно окисных слоев является значительное уси- уменьшается и наклон вейбуловских зависи- ление зависимости tBD и QfD (плотность за- мостей (р) ряда до пробоя): QBD = j • dt) от площади А. Действительно, как следствие случайного характера локализации пробоя было показано, что для достаточно толстых окисных слоев (Тох> 10 нм) величина прошедшего через них заряда (СО практически остается неизменной, как это иллюстрируется рис. 7.107 [218], что справедливо для случая «собственного пробоя» окисного слоя, т.е. пробоя, не осложненного влиянием привнесенных дефектов и/или примесей, не обусловленных стрессовым воздействием, предшествующим пробою. Между тем, в случаях тонких окисных слоев величина Qj% перестает быть неза- 100 Ъ 10 о I 1 с со °*0.1 0.01 г : г Г Г i i А Тох«6.3 • Т>4.3 1 1 1 I -У тптп—рптт / 1 I J юв ю5 ю"4 ю'3 ю2 ю'' Площадь (см2) висимой от площади. Это обстоятельство становится весьма важным, когда Q^ используется в качестве критерия оценки качества окисных слоев. Естественно, что в случае достаточно тонких окисных Рис. 7.107. Заряд до пробоя QBD(63%) (соответствует пробою 63% образцов) как функция площади тестовых МОП-структур. По мере уменьшения толщины окисных слоев наблюдается усиление зависимости QBD (63%) от площади исследуемых образцов (fl), слоев становится бессмысленным специфицирование величины QB^ без указания соответствующей ее величины А (при заданной толщине окисного слоя Тох). 7.5.2. Мягкий пробой Пробой окисных слоев с толщиной Тох > 5 нм инициируется созданием локальных проводящих путей, что приводит к развитию процесса термического воздействия и к формированию проводящей закоротки между электродами. В случае ультратонких окисных слоев может обнаруживаться явление аномального их повреждения [222], которое характеризуется созданием проводящих путей с большим электрическим сопротивлением. Данный феномен получил наименование
((ffc68 Глава 7. Надежность субмикронных МОП-транзисторов «мягкий (квази, ранний или бездеструктивный) пробой», а также «В-типа SILC- деградация» (Stress Induced Leakage Current). Эта разновидность пробоя привлекла к себе повышенное внимание при исследовании окисных слоев толщиной Тох< 4нм [223-228]. Мягкий пробой проходит без латерального развития точечного пробоя, что обычно обусловлено последствиями термического воздействия [223]. Обычно считается, что мягкий и тяжелый пробои своей первопричиной имеют один и тот же механизм первичного зарождения, обусловленного наличием одних и тех же дефектов [226, 229], что подтверждается одинаковым характером зависимости от тока стрессового воздействия [227] и возможностью описания с помощью одинаковой вейбуловской статистики [229]. Хотя последнее обстоятельство подвергнуто сомнению [230], было показано, что ток в цепи затвора Ig после мягкого пробоя характеризуется уникальной зависимостью от Vg\ он оказывается не зависящим от площади, т.е. мягкий пробой является локальным эффектом [225]. На рис. 7.108 [218, 225] приводятся типичные зависимости Ig(Vg) — в том числе и после мягкого пробоя. По сравнению с SILC- токами переход к SBD-токам выглядит весьма драматичным, что также можно сказать и при переходе к тяжелому пробою. При этом SBD-пробой оказывается значительно менее деструктивным по сравнению с HSD-пробоем, что подтверждается экспериментами с использованием эмиссионной микроскопии. Однако в приборных структурах с очень маленькой площадью наблюдается нестабильный ток низкого уровня [225]. Обычно, когда прикладываемое напряжение регистрируется как функция времени (в течение стрессового воздействия в режиме постоянного тока (CCS — Constant Current Stress)), можно наблюдать небольшие скачки напряжения (эквивалентно, небольшие выбросы тока во время стрессовых испытаний в режиме постоянного напряжения (CVS — Constant Voltage Stress)) с последующим возникновением шумоподобных сигналов («телеграфные шумы»). Однако небольшие скачки напряжения можно наблюдать и при стрессовых туннельных токах по Фаулеру—Нордгейму, которые нельзя считать характерным явлением при возникновении мягкого пробоя. Было предложено несколько методик идентификации мягкого пробоя. Наиболее эффективным оказалась регистрация внезапного увеличения шумовой составляющей тока затвора [231], что было подвергнуто интенсивному исследованию [225, 227, 236]. На рис. 7.109 [225] приводится наблюдаемая последовательность многоуровневых случайных «телеграфных» сигналов, амплитуда которых зависит от напряжения смещения затвора К. Появление подобных сигналов объяс- < а Он о « н со * £ Ю"4 10е 10е 1<У,и ю-'2 — * " / и / L / if/ L ^~" i ' "'' ' i ' HBD SBD _3-—■ s^**~ ■—г 1 __ ' 1 ' SILC ^ 1 г —1 - , - ~~~~- - Л. ^~~*~"—""/- Fresh 1 J 12 3 4 Напряжение на затворе ( В ) Рис. 7.108. Зависимость /g( Vg) МОП-структур с Тох = 5 нм. Ig( Vg) - характеристики соответствуют: исходному образцу; после «слабого) няется локальными флуктуациями элек- стрессового воздействия (SILC - stress induced трического поля, обусловленными захва- leakage current); после «мягкого» пробоя том/эмиссией электронов с ловушечных (SBD — soft breakdown); после «жесткого» центров, располагающихся на пути раз- пробоя (HBD - hard breakdown) вития пробоя. В соответствии с моделью,
7.5. Пробой подзатворных окисных слоев 669Щ Он о «2 зооЬ 200 1 1 1 г Vg= 3,25В J L. 40 60 Время (с) Рис. 7.109. Появления двухуровневых случайных телеграфных сигналов на фоне тока затвора МОП после мягкого пробоя (SBD) сечение «трубки» следования мягкого пробоя может быть оценено величиной 2- Ю-13см2 (-4,5x4,5 А2). Механизм формирования токопро- водящей трубки в окисном слое при мягком пробое может моделироваться. Однако физическая картина развития мягкого пробоя остается все еще неясной. Предложено несколько базовых моделей, основанных на таких физических механизмах: прыжковый механизм перемещения носителей с варьируемой величиной перескока [224]; механизм проводимости с преобладанием схемы точечных контактов [232]; механизм формирования «энергетической трубки» [233]; механизм резонансного туннелирования с участием ближайших ловушеч- ных центров [234]; механизм прямого туннелирования через утоньшенные области окисного слоя [235]; механизм проводимости, контролируемый электродами [236]. Некоторые исследователи утверждают, что мягкий пробой не должен наблюдаться в короткоканал ьных М ОПТ, в которых обычно сразу же развивается жесткий (сильно деструктивный) пробой (HBD — Hard Break-down) [226]. Между тем возникновение мягкого пробоя было продемонстрировано в приборных структурах с длиной затвора Lg = 50 нм [237] и было показано [228], что развитие мягкого пробоя зависит от прикладываемого напряжения Vg9 а не определяется длиной затвора. Утверждалось, что после мягкого пробоя обычно не наблюдаются какие-либо заметные изменения 4( ^-характеристик. Поэтому для ряда приложений мягкий пробой подзатворного окисного слоя МОПТ не обязательно должен приводить к выходу прибора из строя [231]. Для фиксации выхода МОПТ из строя при мягком пробое важным условием является определение его месторасположения. Было показано, что локализация мягкого пробоя в месте перекрытия затвором области стока оказывает более значительное деградационное влияние (вплоть до выхода прибора из строя), чем если мягкий пробой реализуется в средней части канала [227]. Однако, как было установлено в ряде последних исследований, МОПТ сохраняет работоспособность после мягкого пробоя подзатворного окисного слоя, если он функционировал в режиме достаточно низкого напряжения смещения и небольших токов, что обычно реализуется в современных субмикронных КМОП- схемах [238]. 7.5.3. Модели ускоренных испытаний на пробой Наиболее важной проблемой, возникающей при анализе данных, относящихся к продолжительности стрессового воздействия до момента возникновения пробоя (TDBD — time dependent breakdown), с целью предсказания надежности окисного слоя, является правильный выбор экстраполяционной закономерности. Это может быть, например, зависимость продолжительности стрессового воздействия
|f& 70 Глава 7. Надежность субмикронных МОП-транзисторов от напряженности электрического поля или же от величины падения напряжения на подзатворном окисном слое. Действительно, надежность подзатворного окисного слоя с точки зрения возможности его пробоя является одним из наиболее возможных факторов, ограничивающих дальнейшее уменьшение его толщины [229]. Между тем, предсказание возможности пробоя обычно базируется на данных по TDBD (или tBD), получаемых на основании измерений в режиме очень сильных электрических полей, тогда как фактически приборные структуры работают в условиях намного более слабых напряжений смещения и величинах напряженности внутренних электрических полей. Таким образом, корректность предсказания надежности подзатворных окисных слоев в случае штатных режимов их эксплуатации полностью зависит от правильного выбора экстраполяционной закономерности. Однако на практике приходится сталкиваться с весьма противоречивыми моделями, предлагаемыми для объяснения зависимости tBD от напряженности электрического поля в окисном слое Еох. Некоторые исследовательские группы утверждают, основываясь на модели инжекции носителей из анода, что лучшим образом и в более широком диапазоне реализуется зависимость типа lg tBD ос \/Е0Х, тогда как их оппоненты считают, что в определенных условиях наблюдаемые экспериментальные результаты совпадают с зависимостью типа lg tBD ос Еох. Недавно для объяснения поведения ультратонких подзатворных слоев, в которых наблюдается баллистический перенос электронов, была предложена зависимость lg tBD ос Vg. В соответствии с моделью инжекции дырок из анода [239—241], зависимость вероятности генерации дырок от Еох, могущих туннелировать обратно в окисный слой, должна выглядеть следующим образом: /> = 7>0ехр Е0х j (1.11) Плотность прошедшего через слой окисла заряда перед пробоем может быть выражена как Qbdj = Go • ехР| Y~ I. (7.78) В соотношениях (7.77) и (7.78) величины Р0и (^являются константами (при фиксированном значении Тох — толщине окисного слоя). Если далее принять справедливым QQ = Qpxr/P09 то tBD для 1/£ох-модели будет равен: Qbdj Qbdj (В* ) Q0 (В*+Н) , (б —-1--—т^ехр —- h-т-ехр —-— Ып-ехр - '-=^Г—**И-7-Т~чГТ* °Лк, (7.79) где t0 — константа. Опубликованные величины для G= В* + Н находятся в диапазоне 290—300 МВ/см в зависимости от Тох и режима стрессового воздействия (при постоянстве напряжения смещения затвора Vg или же при постоянстве тока в цепи затвора Ig). Что касается «£ох»-модели, предсказывающей линейную зависимость lg tBD ос Еох, то обычно используют запись [242] tBD=t0-exp(-y-Eox) , (7.80)
7.5. Пробой подзатворных окисных слоев 6 7 njj где /0 и у являются константами. «^ох»-модель использовалась задолго до того, как появились физические данные для ее обоснования. Здесь же отметим, что существуют публикации, посвященные сопоставлению «£»-модели с «1/2^-моделью, (например, [243]), в которых приводятся соответствующие аргументы в пользу той или иной модели наподобие тому, как это показано на рис. 7.110. Ниже более подробно будут представлены соответствующие экспериментальные данные и рассмотрена так называемая «термохимическая» модель — в обоснование линейной зависимости lg (tB/t0) ос уЕ0Х. Все попытки обоснования «£»-модели на основе достоверных физических представлений допускают существование прямой корреляционной связи между напряженностью электрического поля Еох и деградацией окисного слоя. Последователи этой модели игнорируют роль инжектируемых электронов, рассматривая их в качестве промежуточной ступени при генерации ловушек в окисном слое. Последние эксперименты ясно продемонстрировали, что «движущей силой» процесса деградации подзатворного окисного слоя является плотность проследовавших через него заряженных но- сителей тока [244, 245]: Q = Г у dt 0.05 0.10 0.15 0.20 1/Е (см/МВ) Кроме того, подробный анализ, проведенный с использованием компьютерного моделирования процессов, связанных с инжекцией дырок из анода поликремниевого затвора, включая процесс генерации неосновных носителей (дырок) в результате ударной ионизации [246], показал возможность не связывать «1/Еох»-модель непосредственно с АНI-концепцией инжекции дырок из анода (AHI — anode hole injection). Напомним, что согласно АН1-модели [246] горячие электроны, инжектируемые в анод (затвор) в результате туннелирова- ния по Фаулеру—Нордгейму генерируют в последнем путем ударной ионизации горячие дырки. Часть этих дырок инжектируются обратно в подзатворный окисел, где они способствуют образованию ловушек (вероятнее всего, в результате их рекомбинации с электронами). Это приводит к «старению» окисного слоя (интенсификации SILC-эффекта: увеличению тока утечки через слой (SILC - stress induced leakage current)), Рис- 7Л1°- Данные, поддерживающие (а) что, в конце концов, вызывает пробой !^;^^^ последнего. 16 5 МВ/см 12 10 106< 104 ^ ю2 о ~ 10° ю-2 10м ф 4 5 6 7 в 9 10 11 12 13 Е(МВ/см) Еох(МВ/ш) 10 12 М 16 [\J<- У «fc ► О-350 I v-lxKr" L^l HtbW^L -Vg ^^* n+- Si* на р-подложке it 52A О 59A i Д 73A | О 84А -i V ЮЗА .06 .08 .1 1/Еох (см/МВ) .12 двух зависимостей
672 Глава 7. Надежность субмикронных МОП-транзисторов В результате появилась необходимость в создании смешанной модели, аппроксимирующей 1 /is-зависимость при больших напряжениях смещения Vg и is-зависимость при малых напряжениях. Поэтому ряд исследовательских групп предложили «универсальные» модели [247, 248]. «£»-модель (по сравнению с «1/£»-моделью) в большей степени справедлива для окисных слоев толщиной Тох > 5 нм, когда инжекция электронов доминирует. Поскольку существует однозначная связь между плотностью Fn-тока (тунне- лирования по Фаулеру—Нордгейму) и напряженностью электрического поля Еох, (fBD Qbdji - J JpN^t) необходимо измерять при о стрессовом воздействии в режиме постоянства тока затвора, т.е. в CCS-режиме (CCS -constant current stressing). В случае же ультратонких слоев характер перемещения инжектируемых электронов становится все более баллистическим по мере уменьшения Тох, так что практически они не взаимодействуют с решеткой Si02. Инжекция электронов при этом может осуществляться либо путем Fn-туннелирования при напряжении смещения затвора V > 3,5 В, что реализуется обычно при толщинах Тох — 5—3,5 нм, либо путем прямого туннелирования при Vg < 3,5 В, что наиболее характерно при Тох < 3,5 нм. Энергия электронов у анода, при достаточно тонких под- затворных слоях, определяется падением напряжения между катодом (подложкой) и анодом (затвором), которое практически задается величиной напряжения смещения затвора относительно истока Vg. Как следствие этого обстоятельства, величина Qbdji должна измеряться в CVS-режиме (CVS — constant voltage stressing) [244]. Это также означает, что в случае ультратонких окисных слоев величина Vg определяет время наступления пробоя tBD, a CCS-методология должна быть заменена анализом данных, получаемых в режиме CVS-стрессового воздействия. 7.5.4. Температурная зависимость пробоя В современных СБИС на основе субмикронных КМОП-структур особенно актуальной становится оценка температурной зависимости деградации под- затворного окисного слоя и его пробоя, поскольку температура в таких микросхемах в режиме непрерывной работы может достигать 150°С и более. Температурная зависимость tBD в случае ультратонких окисных слоев оказывается особенно сильной, как это можно видеть на рис. 7.111 [237]. В большинстве исследовательских работ допускалось, что температурные зависимости tBD отвечают закономерности по Аррениусу, когда в полулогарифмических координатах зависимости lg tBD от обратной абсолютной температуры 1/Гпредстав- Рис. 7.111. Аррениусовские графики зависимости стрессового воздействия до наступления пробоя (/BD) окисных слоев различной толщины. Полученные результаты свидетельствуют об отсутствии одинаковой энергии активации для все более тонких образцов
7.5. Пробой подзатворных окисных слоев 67? ляются прямыми линиями, наклон которых соответствует энергии активации Еа. Однако следует признать, что энергия активации, определяемая по наклону ар- рениусовских зависимостей, оказывается зависящей: от толщины окисных слоев Тох [249], от диапазонов напряжений смещения Р^или напряженности электрического поля Еох [250] и от температурного диапазона [251], используемых при измерениях. В виду большого разброса величин Еа вполне естественно сделать вывод, что использование аррениусовской закономерности не адекватно подходит для описания температурных зависимостей tBD [251]. Однако концентрация (или приведенная поверхностная плотность) ловушек при пробое, наряду со скоростью создания ловушек, зависит от температуры [252], а ловушки, генерируемые в окисном слое при разной температуре, не являются полностью эквивалентными. Как следствие, дефекты в окисном слое, инициируемые во время стрессовых воздействий при разных условиях (в том числе и при разной температуре), не обладают свойством кумулятивности, т.е. простым суммируемым влиянием на предпро- бойные свойства окисных слоев [251, 252]. 7.5.5. Термохимическая модель деградации тонких слоев Si02 под воздействием электрического поля В работе [253] показано, что именно напряженность электрического поля, а не протекающий поперек слоя Si02 ток, является основной причиной так называемого времязависимого диэлектрического пробоя (TDDB) при сравнительно небольших значениях напряженности электрического поля (т.е. при Еох< ЮМВ/см). При условии небольших величин Еох достаточно хорошо работает следующее соотношение для времени «наработки на пробой» (time-to-breakdown): ln(W~#-Y^, (7-81) где АН— энергия активации пробоя, Еох — напряженность электрического поля в слое Si02 и у — «коэффициент усиления влияния электрического поля». Это соотношение было впервые (1979) использовано в качестве эмирической закономерности [254—255], позднее ему было дано термохимическое обоснование в работе [256] и показано, что рассмотрение диэлектрика как ансамбля диполей позволяет представить свободную энергию активации его пробоя (т.е. скорости развития соответствующего дефадационного процесса) в виде разложения Епо степенному ряду. Ограничиваясь линейным членом разложения, можно получить зависимость вида (7.81), которую обычно называют термохимической или £-моделью [257,258]. Между тем в раде других работ, например [259], утверждается, что пробой обусловливается именно электрическим током, так что член \n(tBD) должен обнаруживать зависимость вида \/Е по аналогии с зависимостью туннельного тока по Фаулеру—Нордгейму. Эта модель обычно именуется «1/^»-моделью. Практическое использование «1/£»-модели предполагает, что данные, полученные для TDDB в микросекундном диапазоне, могут экстраполироваться до величин, соответствующих 10-летнему сроку наработки до пробоя. Такая экстраполяция предполагает неизменность физических процессов деградации электрической прочности во всем диапазоне tDB.
%74 Глава 7. Надежность субмикронных МОП-транзисторов Противопоставление этих двух моделей («Е» и «\/Е») продолжается в течение многих лет и обусловлено тем, что каждая из них отвечает экспериментальным данным в определенном достаточно узком диапазоне напряженности электрического поля. Для того чтобы четко дифференцировать соответствующие области «наилучшей применимости» этих двух моделей, необходимо собрать и проанализировать TDDB-данные, полученные в более широком диапазоне напряженности электрического поля (и в других условиях проведения экспериментов), обращая при этом особое внимание на результаты, полученные в условиях, максимально приближенных к рабочим режимам функционирования СБИС. Однако получение TDDB-данных при малых электрических полях требует большой продолжительности тестирования. Между тем уже к середине 1990-х годов появился ряд публикаций с результатами продолжительных низкополевых режимов исследования [260-262]. Они показали лучшую достоверность ^-модели. В работе [261] было также показано, что представленные TDDB-данные, полученные в условиях небольшой напряженности электрического поля, весьма хорошо согласуются с моделью деградации электрической прочности Si02-arcoeB, основанной на наличии кислородных вакансий, и взаимодействия ассоциируемого с ними дипольного момента с локальным электрическим полем. Это взаимодействие приводит к снижению энергии активации, требующейся для термического устранения (разрыва) относительно слабой связи между атомами кремния (Si—Si). Термохимическая модель предсказывает, что коэффициент у должен быть обратно пропорционален абсолютной температуре, что в свою очередь приводит к тому, что энергия активации должна линейно изменяться с напряженностью электрического поля. Хотя многие исследования, посвященные TDDB-проблеме [254—256, 261], и подтверждают эти предсказания «^-модели, имеется ряд работ, например [262], в которых сообщается о независимости у от Ги АН от Е. В работе [253] предполагается, что совместное проявление двух или более разновидностей молекулярных структурных дефектов должно приводить к кажущейся температурной независимости у и кажущейся независимости энергии активации АН0 от напряженности электрического поля. Здесь же обосновывается положение, что TDDB-данные, полученные в условиях сильного электрического поля, не могут надежно экстраполироваться в область небольшой напряженности электрического поля, поскольку при этом меняются физические механизмы деградации и ее кинетика. Это весьма важно знать при оценке (предсказании) долговечности МОПТ-структур, используемых в СБИС. Микроструктура и энергия связи атомов в слоях аморфного SiOz. Образование кислородных вакансий На рис. 7.112 представлена исходная структурная единица всех форм Si02, которая характеризуется определенным сопряжением соседних тетраэдальных структур (Si04), имеющих центральные атомы Si. Угол между связями во всех цепочках (звеньях) О—Si—О должен составлять величину Ф = 109° для всех форм Si02: кристаллической, стеклообразной или аморфной. Во всех этих модификациях Si02 любая общая вершина в тетраэдрах Si04 всегда принадлежит только двум соседним тетраэдрам (что отвечает составу — Si02). Однако взаимное расположение связанных тетраэдров в кристаллах трех известных основных форм (кварца, тридимита и кристобалита) оказывается совершенно различным [262]. Что касается аморфной фазы Si02 (которая представляет наибольший интерес), то угол 0
7.5. Пробойподзатворныхокисныхслоев 67ЬШ = 109° \Т 0 = 120* -180' чЗ Кислород О Кремний Кислород О Кремний Рис. 7.113. Структура кислородной вакансии (03 = Si — Si = 03) -«предшественника» диэлектрического пробоя Рис. 7.112. Локальное сопряжение двух со- между связями в звеньях О—Si—О при седних тетраэдрических структурных эле- вершинах сопрягающихся тетраэдров ментов Si04, характеризуемых углом 0= 109° обычно варьируется в пределах 120-180° в цепочке О - Si - О. В случае аморфной (рис 7ЛП), что характерно для модели двуокиси кремния a—Si02, угол 0 в цепочке Si — О — Si может варьироваться в диапазоне 120°— 180°. При отклонении утла в за пределы этого диапазона усиливается тенденция образования кислородной вакансии «непрерывной хаотически сопряженной пространственной структуры» аморфного Si02 [263]. Сила связи между атомами в цепочках O-Si—О существенно ослабевает, когда угол 0 отклоняется от своего среднего значения, примерно равного 150°. Обычно углы 0 больше 180° или менее 120° наблюдаются весьма редко [263]. При этом обнаруживается тенденция к устранению атома кислорода с вершины тетраэдра, что приводит к образованию так называемой «кислородной вакансии» (^"-центра) — рис. 7.113. Образование таких кислородных вакансий легко наблюдается с помощью электронного спинового резонанса. Появление подобного «молекулярного дефекта» (кислородной вакансии) соответствует замене цепочки О—Si—О на простое звено Si—Si при вершинах двух сопрягающихся тетраэдров. Естественно предположить, что процесс разрыва ослабленных связей между атомами в цепочке О—Si—О (при любых углах 0) может являться «затравочным» деградационным механизмом, определяющим TDDB в собственном Si02, не имевшем каких-либо структурных или примесных дефектов. Поэтому важно оценить энергию связи между отдельными атомами (а также ковалентную и ионную составляющие этой связи). Энергия молекулярной ковалентной связи между атомами «А» и «В» (U(A_B)e) может быть записана в виде [264]: и = lu U , (7.82) U(A-B)e УиА-А U B-B' V ' где UA_A и UB_B — энергии простой (ординарной) электронной (ковалентной) связи между одинаковыми атомами А и В, соответственно. Однако, если при этом электроотрицательности атомов А и i? достаточно сильно отличаются, то возникает необходимость учета дополнительной составляющей энергии связи, а именно, ионной составляющей U(A_B)i, которую можно оценить с помощью эмпирического соотношения: Ut (А-В) = l,3-(*„-*,)\[eV] (7.83)
/(f&76 Глава 7. Надежность субмикронных МОП-транзисторов где хА и хв — электроотрицательность (по Л. Паулингу [265]) для атомов А и В, соответственно. Таким образом, для общей энергии простой связи между атомами А и В можно записать: Ut (A-B)t '' U(A-B)e ~*~U(A-B)i (7.84) В табл. 7.11 представлены данные по электроотрицательности и энергии связи между парами различных атомов, представляющих наибольший интерес при рассмотрении диэлектрических свойств подзатворных изолирующих слоев на основе Si02. В верхней части таблицы приводятся сведения для взаимодействия (образования простых связей) между парой (Si—Si) с помощью простого ковалентного взаимодействия. Энергия связи при этом оказывается равной примерно 1,8 эВ. Между тем, в случаях, когда атомы кремния образуют связь с другими атомами (с заметно отличающейся электроотрицательностью), то появляющаяся при этом ионная составляющая приводит к увеличению общей энергии связи. Так, например, ионная составляющая для случая образования связи между парой атомов кремний—кислород (Si-O) достигает 70% от общей энергии связи, которая оценивается величиной U(Si_0)/t =5,4 эВ (что в три раза больше U(Si_0)/t = 1,8 эВ). Количество эффективного суммарного заряда, перемещающегося от атома кремния к атому кислорода при образовании связи между ними (Si—О), может быть оценено, исходя из формулы: (Z*qf и, (Si-0)i 4тс80г (7.85) где г = 1,7 А — среднее расстояние между атомами Si и О в случае образования ординарной связи Si—О ; Z*q — эффективный заряд, перемещенный от атома Si к атому О; <е0 = 0,886 • 1013 Ф/см (диэлектрическая проницаемость вакуума). Используя приведенное в таблице 7.11 значение U(Si_Si)/i = -3,8 эВ, можно получить Z* = 0,7, что хорошо согласуется с опубликованными данными [263]. Табл. 7.11. Энергия одиночных связей в структурных молекулах [253] Связь О-О F-F N-N CI-C1 н-н Si-Si H-Si N-Si Cl-Si O-H O-Si F-Si Разница электроотри- цательностей 3,5-3,5 4,0-4,0 3,0-3,0 3,0-3,0 2,1-2,1 1,8-1,8 2,1-1,8 3,0-1,8 3,0-1,8 3,5-2,1 3,5-1,8 4,0-1,8 Энергия ко- валентной связи Ue), эВ 1,4 1,6 1,7 2,5 4,5 1,8 2,9 1,8 2,1 2,5 1,6 1,7 Энергия полнительной ионной связи U0, эВ 0,0 0,0 0,0 0,0 0,0 0,0 0,1 1,9 1,9 2,6 3,8 6,5 Суммарная энергия связи yt) = ye) + Uj\ эВ 1,4 1,6 1,7 2,5 4,5 1,8 3,0 3,7 4,0 5,1 5,4 8,0 Доля ионной связи от суммарной энергии связи 0 0 ! 0 0 0 0 3 51 48 51 70 79
7.5. Пробой подзатворных окисных слоев 6 7' Диэлектрические свойства аморфного SiOz. Локальное электрическое поле. Как уже говорилось, аморфные слои Si02 характеризуются наличием тетраэдрических связей, когда каждый атом Si оказывается связан с четырьмя ближайшими атомами кислорода. В случае приложения внешнего электрического поля происходит искажение этих локальных тетраэдрических структур (сопровождающееся смещением электронного облака в окрестности каждого ядра атомов). Эти искажения приводят к поляризации, характеризуемой векторной величиной Р (суммарным дипольным моментом, приходящимся на единицу объема). Поскольку Si02 является линейным и изотропным диэлектриком, то его поляризацию можно представить в виде: Р = Х^оЕоХ , (7.86) где х — электрическая восприимчивость (для Si02 % = 2,9), а Еох — вектор средней напряженности электрического поля, создаваемой внешним падением напряжения на слое Si02 толщиной Тох, так что Еох = VJT0X. Каждая молекула в диэлектрическом слое Si02 испытывает воздействие как этого внешнего поля Еох, так и поля диполей, образующегося в результате поляризации Р. Это совместное влияние приводит к появлению локального электрического поля Е1ос, которое может существенно превышать Еох и может быть записано в виде: Ehc = Eox + FL-(P/e0) = (l + \FL)-Eox, (7.87) где FL —коэффициент Л орентца (Lorentz). Такую запись локального электрического поля часто именуют соотношением Лорентца или полем Моссотти (Mossotti) [468]. Для кубической симметрии FL =1/3. Тогда из (7.81) следует, что локальное электрическое поле (которое искажает связи Si—О) может примерно в два раза превышать напряженность внешнего электрического поля Еох. Отклонение симметрии от кубической к тетрагональной может несколько увеличивать коэффициент Лорентца на несколько большую величину, чем 1/3. Однако, несмотря на это обстоятельство, обычно для Si02 принимают FL =1/3. Макроскопическая поляризация Р может иметь место в твердотельных диэлектриках только тогда, когда молекулы в решетке (соответствующие стехиоме- трическому составу диэлектрика) обладают заметной поляризуемостью а, которая является мерой искажения их «молекулярного каркаса» (сопровождаемого смещением электронного облака и/или перемещением ионных остовов), т.е. когда имеется заметное локальное электрическое поле в сочетании с индуцированным суммарным дипольным моментом. Величина молекулярной поляризуемости (понимаемой в «микроскопическом» смысле) может быть определена на основе данных, получаемых в результате «макроскопических измерений», — с помощью соотношения Клаузиса—Моссотти: 3(ег-1)-€0 (7М) (er+D-Nv где ег - относительная диэлектрическая постоянная (для Si02 er = 3,9) и Nv — число молекул, приходящееся на единицу объема. Таким образом, для молекулы Si02 имеем 007 = 3,54 • 10~17 см2/В, где q — элементарный заряд (q = 1,602 • 10~19 Кл).
678 Глава 7. Надежность субмикронных МОП-транзисторов Суммарная поляризуемость имеет две компоненты: электронную компоненту а„ которая обусловлена смещением заряда облака электронов относительно ядер атомов кислорода, и ионную компоненту а„ обусловленную смещением ионов кислорода вдоль оси Si—О относительно их стационарного положения: а, -а Л+а,. (7.89) Поскольку в диапазоне оптических частот а, = а, и er = eopt = я2, где п — показатель преломления (для Si02 n = 1,5), то можно оценить относительную долю ае от а„ используя формулу а аеор{ = 3(п2 — 1) е0 /(я2 + 2) • Nv: о^=(ег+2)(я2-1) ос, (7.90) (ег-1)(я2+2)' что для ае дает величину, составляющую 60% от общей поляризуемости а,. Отсюда следует, что ионная составляющая поляризуемости дает 40%-ный вклад. Эти оценки очень хорошо согласуются с последними публикациями [266]. Ионная компонента индуцируемого электрическим полем молекулярного ди- польного момента Д*/; может быть представлена в виде: Ас1,=а^Е1ос=0,4аг (7.91) Величину Дй?; можно записать как функцию изменения длины связи на величину Д/ (рис. 7.114): Ad, = 2(Z'q) ■ (А£) ■ cos(109° / 2) При сравнении (7.91) и (7.92) получено: а,(ЗС + 3)£м А£ = - 15(Ztf)-cos(109°/2) (7.92) (7.93) Эта формула для искажения протяженности линии связи (А/) дает значение 0,04 А при Еох =10 МВ/см, что составляет более 2% от ее номинальной величины, равной 1,7 А. Принимая во внимание, что твердотельный Si02 при искажении протяженности связей между атомами Si и О (достигающего -7%) подвергается механическому разрушению, а при ~8% происходит плавление Si02, то можно допустить, что 2%-ное искажение в электрическом поле (достигающем, например, 10 МВ/см) может оказаться вполне достаточным для внесения в структурную решетку Si02 сильного ангармонизма. Подобный ангармонизм Рис. 7.114. Индуцируемый электрическим между связями должен способствовать полем дипольный момент, образующийся в появлению эффективного взаимодей- результате изменения нормальной длины ствия меЖду искаженными связями и связи Si+ - О в молекуле Si02 термическими фононами. В результате
7.5. Пробой подзатворных окисных слоев 679" этого возрастает вероятность накопления тепловой энергии, достигающей величины «энергии активации» и приводящей к разрыву отдельных связей. Ниже будет показано, как подобный механизм успешно может быть использован для обоснования так называемой «термохимической ^-модели», позволяющей объяснить деградацию диэлектриков (Si02) при небольших электрических полях. Нарушенные связи одинакового типа в термохимической модели При ориентации диполя параллельно электрическому полю можно записать [253]: ual=u0-(d0+Ad0) E + ^-(-Al)2 = = u0-d0E-(aE)E+^^^=u0-d0E-^E2, (7.94) где и0— энергия в невозмущенном состоянии (в отсутствие внешнего электрического поля), d0 — постоянный дипольный момент, Ad0 — индуцированное полем приращение дипольного момента. При окончательной записи (7.94) использовалось обычное соотношение между поляризуемостью а и коэффициентом упругости ks: ks = (-^>. (7.95) а Аналогично, в случае ориентации диполя «против поля» можно записать: uag=u0+d0E~E2. (7.96) На рис. 7.115 показана наиболее вероятная картина образования дырочной ловушки из кислородной вакансии, которая создается в результате формирования связи Si—Si, инициированного удалением связующего (мостового) атома кислорода из цепочки Si—О—Si, характерной для обычной (нормальной) картины сопряжения соседних тетраэдрических структурных элементов (Si04) в аморфном Si02 (см. рис. 7.112 и 7.113). При поляризации Si02 диполи, ориентированные по полю, должны вытягиваться в направлении общей оси структурного элемента 03 = Si—Si = 03 (отображающего кислородную вакансию) — это замечание касается нижней его половины. Наоборот, диполи, ориентированные против поля (это касается верхней половины структуры, отображенной на рис. 7.115л, должны сокращать свои размеры (для них А/ < 0, см. рис. 114л. При этом для потенциальной энергии верхней и нижней половин структурного элемента кислородной вакансии (03 = Si — Si = 03) можно записать, соответственно: U2=U,-d.ElM-^-El, (7.97) tf,=£WAc-yC (7.98) где d — перманентный дипольный момент Si = Оэ (d = 3(Z*#)( l ,2 А)), который ориентируется либо по полю, либо против локального электрического поля (относи-
680 Глава 7. Надежность субмикронных МОП-транзисторов -Позиция В -Позиция А а) Перед разрыво м связи б) Образование дырочной ловушки Рис. 7.115. (а) Структура кислородной вакансии, характеризуемая наличием связи Si — Si, которая образуется после удаления атома кислорода из цепочки Si — О — Si. Постоянный дипольный момент в нижней части молекулярной цепочки 03 = Si — Si = 03 ориентирован против локального электрического поля. Энергия взаимодействия этого диполя с локальным электрическим полем может приводить к уменьшению энергии активации разрыва связи между атомами кремния (Si-Si) и коллапсированию нижней части (Si = 03) к плана- ризованной £/?2-КОН-фигурации. (Ь) Структура дырочной ловушки, которая может образовываться при коллапсировании Si-Si связи к 5/?2-гибридизированному состоянию. Равновесный заряд, соответствующий этому состоянию, должен зависеть от энергетического уровня, определяемого оборванной связью и отсчитываемого относительно уровня Ферми. Положительно заряженный ион кремния в сколлапсированной ^-конфигурации может проявлять себя в качестве слабой электронной ловушки l»i(r) 1 А "SAgf *1\§/ Ыт i i i BCD Позиция Ua(r) Рис. 7.116. Атомы кремния (обозначаемые цифрами 1 и 2) не могут долгое время находиться в условиях одинаковой потенциальной энергии из-за локального электрического поля (Е1ос). Наличие энергии взаимодействия Е1ос с дипольным моментом приводит к уменьшению энергии активации, необходимой для коллапсирования атома кремния «1» из состояния, соответствующего ^-конфигурации (позиция В), в планаризованную sp2- конфигурацию его химических связей с ближайшими атомами кислорода (позиция А), что приводит к созданию дырочной ловушки
7.5. Пробой подзатворных окисных слоев 681 jjj тельно величины 1,2 А, см. вставку на рис.7.117), а — молекулярная поляризуемость, а Е1ос можно представить в виде: = 0+f)-3« (7.99) 0.8 «Данные Кимуры Необходимо отметить, что оба атома кремния, обозначенные на рис.7.115а номерами «1» и «2», не могут уже далее находиться в эквивалентных энергетических по зициях, когда прикладывается внешнее электрическое поле. При разрыве связи Si—Si нижняя половина структурного элемента 03 = Si — Si = 03 коллапсирует в близкую копланарной гибридизирован- ную 5/?2-конфигурацию (как показано на рис.7.1156). При этом энергия активации процесса разрыва связи Si-Si уменьшается на величину энергии дипольного взаимодействия (d-Eloc), которая в случае разрыва связи Si—Si переходит к решетке (рис. 7.116). Эта физическая модель согласуется с ранее постулированным механизмом разрыва связи Si—Si, сопровождаемого локальной перестройкой атомной конфигурации [267]. Таким образом, исходная величина активации процесса разрыва связи Si — 0.1 + 0 •+- AW-(A").-P*u>c II I I I I I I I I 5 10 15 Электрическое поле ( МВ/см) Рис. 7.117. Сравнение термохимической ^-модели по зависимости энергии активации АН от напряженности электрического поля с экспериментальными данными Si, обозначаемая как АН0, в случае приложения электрического поля должна быть уменьшена на величину dEl0C\ AH = AH,-dEloc^AH,-3(Z"qy(\,2k)\\^\Eox (7.100) При таком представлении АН пренебрегается квадратичным членом по полю (0,5а • Е21ос), который учитывался ранее (например, соотношения (7.97) и (7.98)), т.к. энергия, обусловленная индуцируемым дипольным моментом, оказывается много меньше энергии, связанной с перманентным дипольным моментом. Действительно, относительный вклад в энергию линейного и квадратичного по полю членов молено оценивать с помощью соотношения Клаузиса-Моссотти (7.88). Даже в случае относительно большой напряженности электрического поля, например при Еох =10 МВ/см и с учетом того, что a/q = 3,54 • 1017 см2/В и d0 = = 3(Z*q) • (1,2 А), можно показать, что всегда выполняется неравенство: °'5a-^o,oi. dn • Е^ (7.101) В соответствии с термохимической ^-моделью скорость термического разрыва связей dN/dt может быть представлена соответствующим уравнением первого порядка:
uf&82 Глава 7. Надежность субмикронных МОП-транзисторов ™- = -bk-'N(t) , (7.Ю2) где N(i) — количество (в единице объема) ослабленных связей, предрасположенных к их термическому разрыву, а ок — постоянная скорости протекания соответствующего «термохимического процесса», задаваемая соотношением: AH0-d*Eox 4t = г>,„, exp °kT 9 (7.103) где oint — характеристическая частота актов взаимодействия (столкновений) связей с тепловыми фононами, a d* — эффективный дипольный момент: d'=(l + FL-x)d . (7.104) Физическая интерпретация величины ок постоянной скорости реакции dN/df весьма проста: uint — представляет число актов (приходящихся на единицу времени) взаимодействия ослабленных (искаженных) связей соответствующих структурных элементов (молекул) с тепловыми возмущениями решетки (фононами). Экспоненциальный член отражает (по Больцману) вероятность того, что при этих актах взаимодействия молекула может получить энергию, достаточную для разрыва ослабленной связи. Из соотношения (7.103) видно, что наличие электрического поля в слое Si02 должно приводить к уменьшению эффективной величины энергии активации процесса разрыва связей, приводящего к образованию дефектов — кислородных вакансий и дырочных ловушек (см. рис. 7.113 и 7.115), способствующих ускорению процесса диэлектрического пробоя и, следовательно, сокращению времени tBDjxo появления TDDB. Кроме того, из уравнения (7.102) следует, что скорость разрыва связей должна уменьшаться со временем, что согласуется, например, с данными работы [268], где было установлено, что скорость генерации ловушек уменьшается со временем тестирования на TDDB. Если постулировать, что разрыв химической связи Si—Si является элементарным (на молекулярном уровне) актом деградации Si02 в результате термического воздействия на эту связь фононами (при условии ее предварительного ослабления под воздействием внешнего электрического поля), то: , Л Aff0-0,072-(ffJMB/CM]) tBD = А, • exp ^ -^ ш (7. Ю5) При выводе приведенной формулы было допущено, что Z* « 1, поскольку связь Si—О является очень полярной (разница электроотрицательностей атомов Si и О составляет 1,7) и становится еще более полярной при ее существенном искажении. Так как при этом в случае конфигурации, соответствующей кислородной вакансии, связь Si—Si безусловно растягивается, то величина АН0 (энтальпия активации) может быть оценена как среднее значение энергии связи между атомами в объемных образцах и определена равной 450 кДж/моль [269] или на одну связь можно положить ее равной 1,15 эВ, что соответствует данным в работе [261]. Необходимо отметить, что в формуле (7.105) в случае, когда Еох берется в МВ/см (как это и рекомендуется), то все величины, входящие в показатель экспоненты, должны быть представлены в электрон-вольтах, в связи с чем нужно напомнить, что постоянную Больцмана тогда следует выбирать равной к = 0,86 • 10~4 эВ/ град.
7.5. Пробой подзатворных окысных слоев 683 jl На рис. 7.117 и 7.118 сравниваются данные работы [261] с результатами, предсказываемыми моделью. Можно видеть хорошее согласие теории с экспериментальными данными по TDDB, что выглядит особенно убедительно, если принять во внимание отсутствие подгонки параметров модели. Кроме того, следует отметить не только наличие хорошей корреляции (предсказание линейной зависимости энергии активации от напряженности электрического поля) и наличие обратной температурной зависимости для у — коэффициента усиления влияния электрического поля. РЭ ^ 7 о Is 6- I «- i *: к i 3^ 4) К J Я 1 J •е ' 1 О Л «J 2 j- 1 1 О Модель 1 •Данные Клмуры] i i i i 1 1 1 1 3 4 ( 1000/Т(К) Рис. 7.118. Сравнение термохимической ^-модели по зависимости эффективной величины коэффициента усиления влияния электрического поля yefr от температуры Тс экспериментальными данными Прогностические возможности «термохимической Е-модели» / / / / На рис. 7.119 на фоне зонной диаграммы Si02 приводится характерная картина расположения в запрещенной зоне Si02 энергетических уровней, соответствующих ряду искаженных и разорванных связей между атомами в структурной решетке Si02. Эти искаженные и оборванные связи должны быть предвестниками развития электрического пробоя. Таковыми могут являться: Si—Si (кислородные вакансии [257]), искаженные связи Si—Si, Si—H, нормальные и искаженные связи О—Н или же искаженные (по углу или протяженности связей) в цепочках Si-Si [263]. Энергетические уровни таких искаженных связей должны находиться над валентной зоной (поскольку они являются более слабыми связями, нежели нормальная связь Si-O), но ниже зоны проводимости (поскольку электроны проводимости все еще остаются локально связанными даже в случае искаженных связей). Уровни состояний, соответствующие разорванным связям, оказываются вблизи середины запрещенной зоны Si02 [263]. На рис. 7.120 представлены смоделированные температурные зависимости для yeff в случаях, когда к образцам Состояние с ослабленными связями { Состояние с, разорванной связью / / / £j=eoj+d34x dj=dj(l+X.FL) Рис. 7.119. Схема расположения уровней, соответствующих ослабленным связям (е,, е2, ... Ej), и уровня, соответствующего разорванной связи (еь)
|ffc84 Глава 7. Надежность субмикронных МОП-транзисторов ь & 5i 3i 2-1 4 1 \ 3 \ \ \ i \ \ d?/kT 4 d*2/kT—^~~ 4 1 \~-. 1 »— 6МВ/см 7МВ/см 8МВ/см — «-9МВ/СМ — — ЮМ В/см —! 1 1 Л 1 200 400 600 Т(К) 800 1000 прикладывается разное напряжение, создающее в слоях a-Si02 напряженность электрического поля, находящуюся в диапазоне от 6 до 10 МВ/см. Можно видеть, что при низких значениях температуры и при малых напряженностях электрического поля доминирует верхний уровень (состояние «2») и следует ожидать простой зависимости yeff ос \/Т. При более высокой температуре и достаточно большой напряженности электрического поля начинает доминировать уже состояние, соответствующее Рис. 7.120. Комбинированное воздействие нижнему уровню, и поэтому также еле- двухуровневой системы ослабленных связей дует ожидать простой обратной зависи- на формирование эффективной (наблюдае- мости у<,#от Т. Однако в промежуточной мой) величины коэффициента усиления области температуры и электрического влияния электрического поля на энергию поля в результате совместного влияния активации yeff=(d\n(tBD/t0)/dEJT- в зависи- обоих состояний должен наблюдать- мости от температуры v ся участок, на котором yeff оказывается почти независимым от температуры. Можно предположить, что именно это обстоятельство лежит в основе наблюдаемой в работах [262, 269] практической независимости у от температуры в достаточно широком диапазоне, простирающемся от 50 до 400°С. Эта «очевидная» независимость у от температуры инициировала разработку [270] «£»-модели, которая по существу является специализированным случаем более общей термохимической модели и может давать полезные результаты только в случае сильного совместного проявления состояний (уровней), соответствующих ослабленным связям, — в полном диапазоне напряженности электрического поля и температуры, представляющих практический интерес. Обычно коэффициент у не обнаруживает такой «очевидной» температурной независимости в большинстве работ, посвященных TDDB-проблеме [254, 256, 261], которые осуществлялись при относительно более низкой температуре и более слабых электрических полях, при которых эффект кумулятивного проявления различных состояний выражается более слабо. Интересно также отметить, что температура выращивания слоя a-Si02 (в диапазоне Tgr =800—1000°С) оказыва- 2 3 4 5 6 7 Еох(МВ/см) ет малое влияние на предсказываемое Рис. 7.121. Комбинированное воздействие (см.рис. 7.120) поведение у [258]. двухуровневой системы ослабленных связей на формирование эффективной (наблюдаемой) величины энергии активации kHeff— в зависимости от напряженности электрического поля Ет На рис. 7.121 приводятся зависимости эффективной энергии активации от напряженности электрического поля при разной температуре, выступающей в качестве параметра, для случая кумуля-
7.5. Пробой подзатворных окисных слоев 685 тивного (смешанного) проявления двух разных состояний (уровней), соответствующих ослабленным связям и характеризуемых такими же величинами d и АН0, что и представленные на рис. 7.120. При более низкой температуре и более слабых электрических полях верхний уровень («2») доминирует и эффективная энергия активации линейно уменьшается с ростом напряженности электрического поля. С повышением температуры и увеличением напряженности электри- 6 7 8 9 Еох( МВ/см ) Рис. 7.122. Сопоставление результатов термохимической Zs-модели (случай учета ком- ческого поля начинает доминировать бинированного воздействия «двухуровневой нижний уровень («1»). В промежуточном системы» ослабленных связей) с экспери- диапазоне напряженности электрическо- ментальными данными по зависимости эф- го поля можно наблюдать практическую фективной энергии активации A#eff от на- независимость АН*0 от Еох, при этом про- пряженности электрического поля Еох. тяженность этого участка увеличивается Параметры двухуровневой системы приво- с ростом температуры. дятся на вставке Для подтверждения рассмотренной выше «термохимической ^-модели» на рис. 7.122 приводится ее сравнение с экспериментальными данными по зависимости энергии активации A#eff от напряженности электрического поля (Еох)9 полученными в работе [269]. По результатам работы [269], линейная зависимость АЕГ0 от Еа при уменьшении Еа довольно резко выходит на плоский участок насыщения в диапазоне 7-9 МВ/см для случая Тох= 15 нм. Такое поведение не поддавалось ранее простому адекватному объяснению, тогда как использование в термохимической «£»-модели всего двух типов состояний (уровней), соответствующих ослабленным связям, позволило получить хорошее согласование. При этом для представленной на рис. 7.122 модели были выбраны следующие параметры: для верхнего уровня d2/q= 7,4 А и АН02= 1,3 эВ, а для нижнего уровня было выбрано djq = 13,0 Аи АН01=1,95 эВ. Следует заметить, что верхний энергетический уровень оказывается весьма близким к уровню, успешно использованному в работе [264], тогда как нижний уровень также оказывается очень близким к уровню, успешно использованному в работе [271]. В качестве меры, характеризующей совместное (кумулятивное) проявление двух типов состояний (уровней), соответствующих ослабленным связям, предлагается ввести параметр 4mix, определяемый как: •^(Q)=-(£ ТТ) ■Щ0) ох' ' *" . (7.106) Л, В случае, когда в течение комбинированного действия обоих состояний доминирующим оказывается верхний уровень «2», то ц(Е0Х, 7)>> 1. Если же доминировать начинает нижний уровень, то тогда л\{Еох, 7) « 1 и m\mtx=- (ЛЯ01-ЛЯ02)-Ц-</2)-£од кТ ля01 - ля02 кТ (7.107)
МЧ&86 Глава 7. Надежность субмикронных МОП-транзисторов С помощью (7.107) можно получить соотношение между критическими величинами E0XiCr, Tcr, при которых оказывается r|mix = 1, т.е. выполняется условие «максимально эффективного» комбинированного действия обоих уровней (в случае «двухуровневой термохимической ^-модели»): АЯ, Ad 1- 1,2 (7.108) где АН0]= АН01 — AH02nAd}2= d} — d2, a Tgr — температура выращивания термического подзатворного 8Ю2-слоя. На рис. 7.123 представлены в полулогарифмических координатах зависимости r\mix от Еох при четырех различных значениях температуры (Тох= 100; 125; 200 и 300°С) — для тех же самых величин = AH0I9 AH02, dh d2, которые были использованы в случае рис. 7.123, для Tgr = 850°С. Можно видеть, что «максимально эффективное» комбинированное действие обоих уровней (r|mix =1) в указанном температурном интервале (100—300°С) должно наблюдаться в диапазоне Еох от 5 до 8 МВ/см. Интересно также отметить то, что кривые зависимости lg r|mix = Е(Е0Х) сходятся в одной точке, соответствующей Д#12 £1 =- ' Adu: (7.109) в которой должна исчезать температурная зависимость цт[х(Т), поскольку при такой напряженности электрического поля в результате диполь-полевого взаимодействия соответствующие энергетические уровни должны занять одинаковые положения. В рассматриваемом примере такая ситуация должна реализовывать- ся при Еох = Eoxinv = 0,65 эВ/5,6 [q-A] = 11,65 МВ/см. При Еох >E0Xinvуровни «1» и «2» должны «поменяться местами» (при прочих равных условиях). Так или иначе, в районе Eoxinvi = 11,65 МВ/см следует ожидать максимально ослабленной температурной зависимости для AHejr Необходимо также отметить, что выше везде предполагалось, что концентрация разорванных связей (дефектов) является пространственно-однородной функцией, т.е. независящей от координат х, у, z. Однако следует признать недостаточную обоснованность такого подхода, поскольку в этом случае не учитываются два серьезных фактора. Во-первых, концентрация ослабленных (искаженных) связей должна возрас- Рис. 7.ПзУЗависимость коэффициента «ком- тать по мере приближения к границе бинированного воздействия» (г|) от напря- раздела Si02-Si-подложка в результате женности электрического поля Еох при раз- рассогласования геометрических (про- личной температуре. Случай «двухуровневой странственных) параметров между кри- системы» ослабленных связей сталлической решеткой Si-подложки и
структурным каркасом аморфного слоя Si02, а также под воздействием механических напряжений, возникающих из-за разницы их коэффициентов термического расширения. Во-вторых, вследствие наличия в объеме слоя Si02 заряженных центров, создаваемых ионами различной природы, а также дырками и/или электронами, захваченными на ловушки, фактическая напряженность электрического поля как функция координаты х (направленной по нормали к границе раздела Si-Si02) должна существенно отличаться от среднеарифметической величины ЛИТЕРАТУРА 1. Ни С. «Hot-carrier effects», VLSI Electronics Microstructure Science, 1989, v. 18 (Advance MOS Device Physics), Ch.3, 119-160. 2. El Mansy Y.A., Coughey D.M., «Modeling weak avalanche multiplication currents in IGFETs and SOS transistors for CAD», IEDM Tech. Dig., 1975,31-34. 3. Sing Y.W., Sudlow В.,«Modeling and VLSI design constraints of substrate currents», IEDM Tech. Dig., 1980, 732-735. 4. Matsunaga J. et al., «Characterization of two step impact ionization and its influence on NMOS and PMOS VLSI's», IEDM Tech. Dig., 1980, 736-739. 5. Chatterjee P.K., «VLSI dynamic NMOS design constraints due to drain induced primary and secondary impact ionization», IEDM Tech. Dig., 1979, 14—17. 6. Tarn S., Ни С, «Hot electron induced photon and photo-carrier generation in silicon MOSFET's», IEEE TED, 1984, v.31, N9, 1264-1273. 7. Childs PA, Eccleston W, Stuart R.A., «Alternative mechanism for substrate mimory currents injection in MOS devices operating in low level avalanche», EL, 1981, v. 17, 281—282. 8. Cotrell RE., Troutman R.R., Ning T.N., «Hot electron emission in n-channel IGFET's», IEEE TED 1979, v.26, N4, 520-533. 9. Gesch H., Leburton J.P, Dorda G.E., «Generation of interface states by hot-hole injection in MOSFET's», IEEE TED, 1982, v.29, N5, 913-921. 10. Takeda E., Suzuki N., «An empirical model for device degradation due to hot-carrier injection», IEEEEDL, 1983, v.4, N4, 111-113. 11. Hsu EC, Tarn S., «Relationship between MOSFET degradation and hot-electron induced interface-state generation», IEEE EDL, 1984, v.5, N2, 50-52. 12. El Mansy YA., Boothroyd A.R., «A simple-two-dimensional model for IGFET operation region», IEEE TED, 1977, v.24, 254-262. 13. Ко Р.К. et al. «A unifield model for hot-electron current in MOSFET's», IEDM Tech. Dig., 1980,600-603. 14. Ни С, «Hot electron effects in MOSFET's» IEDM Tech. Dig., 1983, 176-181. 15. Nguyen T.N., Plummer J.D.«Physical mechanism responsible for short channel effects in MOS devices»,IEDM Tech. Dig., 1981, 596-599. 16. Selberherr S., Schutz A., Potzl H.W., «MINIMOS -A Two-dimensional MOS transistor analyzer», IEEE TED, 1980, v.27, 1540. 17. Chan T.Y., Ко Р.К., Ни С, «Dependence of channel electric field on device scaling», IEEE EDL, 1985, v.6, N10, 551-553. 18. SodiniC, KoRK., MollJ.L., «The effect ofhigh fields on MOS device and circuit performance», IEEE TED, 1980, v.27, 1540. 19. Sze S., Physics of Semiconductor Devices, Wiley N.Y., 1981; Зи С, «Физика полупроводниковых приборов», Кн.2, Пер. с англ., 2 издан. — М., Мир, 1984; Гл.8, МОП-транзисторы, 5-93.
ЪВВ Глава 7. Надежность субмикронных МОП-транзисторов 20. Chan T.Y., Ко Р.К., Ни С, «A simple method to characterize substrate current in MOSFET's», IEEE EDL, 1984, v.5, N12, 505-507. 21. Ong T.C., Ко Р.К., Ни С, «Modeling of substrate current in p-MOSFET's», IEEE EDL, 1987, v.8, N9, 413-416. 22. Mar J., Li S.S., Yu S.Y, «Substrate current modeling for circuit simulation», IEEE TCAD, 1982,v.l,N(Oct.), 183-186. 23. Sakurai T, Nogami K., M.Iizuka T, «Hot-carrier generation in submicrometer VLSI environment», IEEE J.SSC, 1986, v.21, N2, 187-192. 24. Кио М.М., Seki K, Lee P.M., Choi Y.C., Ко Р.К, Ни С, «Simulation of MOSFET lifetime under ac hot-electron stress», IEEE TED, 1988, v.35, N7, Pt.I, 1004-1011. 25. Arora N.D., Sharma M., «MOSFET substrate current model for circuit simulation», IEEE TED, 1991, v.38, N6, 1392-1398. 26. Sonoda K., Taniguchi K., Hamaguchi C, «Analytical device model for submicrometer MOSFET's», 27. Wong H., Poon M.C., «Approximation ofthe lengh of velocity saturation regionin MOSFET's», IEEE TED, 1997, v.44, N11, 2033-2036. 28. Kolhatkar J.S., Dutta A.K., A new substare current model for submicron MOSFET's», IEEE TED, 2000, v.47, N4, 861-863. 29. Fischetti M.V., Laux S.E., «Monte Carlo analysis of electron transport in small semiconductor devices including band-structure and space-charge effects», Phys. Rev. -B, 1988, v.38, 9721. 30. Iacoboni C, Lugli P., The Monte Carlo Method for Semiconductor Device Simulation, Springer-Verlag, Vienna, Austria, 1989. 31. Hess K. (Editer), Monte Carlo Device Simulation: Full Band and Beyond , Kluwer, Norwood, MA, 1991. 32. Bude J., Mastrapasqua M., «Impact ionization and distribution function in submicron NMOSFET technologies», IEEE EDL, 1995, v. 16, N10, 439-441. 33. Fischetti M.V, Laux S.E., Crable E., «Understanding hot-electron transport in silicon devices: Is there a shortcut?», JAP, 1995, v.78, N2, 1058-1087. 34. Duncan A, Ravaioli U., Jakumeit J., «Full-band Monte Carlo investigation of hot carrier trends in the scaling of metal-oxide-semiconductor field-effect transistors», IEEE TED, 1998, v.45, N4, 867-876. 35. Fiegna C, Iwai H., Wada T, Sato M., Sangiori E., Ricco В., «Scaling the MOS transistor below 0,1 мт : Methodology, device structures and technology requirements», IEEE TED, 1994, v.41, N6, 941-950. 36. Takeda E., Kume H., Toyabe T, Asai S., «Submicrometer MOSFET structure for minimizing hot-carrier generation» IEEE TED, 1982, v.29, N4,611-618.. 37. Tarn S., Ко Р.К., Ни С, «Lucky-electron model of electron injection in MOSFET's», IEEE TED, 1984, v.31, N9, 1116-1125. 38. Berglund C.N., Powell R.J., «Photoinjection into Si02, electron scattering in the image force potental well», JAP, 1971, v.42, 573-579. 39. Shockey W, «Problems related to p-n junction in silicon», SSE, 1961, v.2, 35-67. 40. Ни С,«Lucky-electron model for hot-electron emission», IEDM Tech. Dig., 1979, 22—25. 41. Ning T.H, Osburn СМ., Yu H.N., «Emission probability of hot electrons from silicon into silicon dioxide», JAP, 1977,v.48, N1, 286-293. 42. Takeda E., Suzuki N., Hagiwara T, «Device performance degradation due to hot-carrier injection at energies below the Si-Si02 energy barrier», IEDM Tech. Dig., 1982 . 43. Weber W,. Werner C, «Lifetimes and substrate currents in state and dynamic hot-carrier degradation», IEDM Tech. Dig., 1986, 390-393. 44. Ng K.K., Tayler G.M., «Effects of hot-carrier in n and p channel MOSFET's», IEEE TED, 1983, v.30, N8, 871-876. 45. Lo S.-Y, Buchanan A, Taur y, Wang W, «Quantum-mechanical modeling of electron tunneling current from iversion layer of ultra-tnin-oxide nMOSFET's», IEEE EDL, 1997, v. 18, N5, 209-211.
46. Momose H.S., Nakamura S.-I., Ohguro T, Yoshitomi T, Morifuii T, Morimoto T, Katsumata Y, Iwai H., «Study of the manufacturing feasibility of 1,5-nm durect-tunneling gate oxide MOSFET's : Uniformity, reliability, and dopant penetration of the gete oxide», IEEE TED, 1998, v.45, N3,691-699. 47. Momose H.S., Nakamura S.-I., Ohguro T, Iwai H., Ono M., «1.5 nm direct tunneling gate oxide Si MOSFET's», IEEE TED, 1996, v.43, N8, 1233-1241. 48. Momose H.S., Ono M., Nakamura S.-I., Ohguro T, Yoshitomi T, Iwai H., Sato M., «Prospects for low-power, high-speed MPUs using 1,5 nm direct-tunneling gate oxide MOSFET's» SSE, 1997, v.41, 707-714. 49. Cassan E.,Galdin S., Dollfus P., Hesto P., «Comparison between denice simulatiors for gate current calculation in ultrathin gate oxide n-MOSFET's», IE1CE ТЕ, 2000, v.83, N8, 1194— 1202. 50. Depas M., Heyns M., «Relation between trap creation and breakdown during tunneling current stressing of sub 3 nm gate oxides», Microelectron Eng.,1997, v.36, 21—24. 51. Wu J., Register L., Rosenbaum E., «Trap-assisted tunneling current through ultra-thin oxide», Proc. IRPS Symp., 1999, p.389. 52. Ghetti A., Sangiorgi E., Bude J., Sorsch T.W, Weber G., «Tunneling into interface states as reliability monitor for ultrathin oxides», IEEE TED, 2000, v.47, N12, 2358-2364. 53. Miura Y, Fujieda S., Bude J., «Spin-dependent trap-assisted tunneling current in ultra-thin gate dielectrics», JAP, 2001, v.40, Pt.l, N4B, 2840-2843. 54. Fischetti M.V., Laux S.E., «Monte Carlo study of electron transport in silicon inversion layers», Phys.Rev.-B,1993, v.48, N4, 2244-2274. 55. OhkuraY, Kimura S., Ho S., Ihara S.,«Monte Carlo study of Si-n-MOSFET's including the quantization of carriers», SSE, 1998, v.42, 1997-2004 56. Rana F, Tiwari S., Buchanan D., «Self-consistent modeling of accumulation layers and tunneling currents through very thin oxides», APL, 1996.V.69, N8, 1104—1106 57. Cassan E., Galdin S., Dollfus P., Hesto P., «Semiclassical and wave-mechanical modeling of charge control and direct tunneling leakage in MOS and H-MOS devices with ultrathin oxides», IEEE TED. 58. Huang C, Wang T, Chen C.N., Chang M.C., Fu J., «Modeling hot-electron gate current in Si MOSFET's using a coupled drift-diffusion and Monte Carlo method», IEEE TED, 1992, v.39, N11,2562-2568. 59. Fiegna C., Sangiorgi E., «Modeling of high-energy electrons in MOS devices at the microscopic level», IEEE TED, 1993, v.40, N3, 619-627. 60. Fiegna C, Sangiorgi E., Selmi L., «Oxide-field dependence of electron injection from silicon into silicon dioxide», IEEE TED, 1993, v.40, N11, 2018-2022. 61. Hasnat K., Yeap C.-F, Jallepalli S., Shih W.-K., Horeland SA., Agostinelly V.M., Tasch A.F, Maziar СМ., «Thermoionic emission model of electron gate current in submicron NMOSFET's», IEEE TED, 1997, v.44, 129-137. 62. Hasnat K., Yeap C.-F, Jallepalli S., Shih W.-K., Horeland S.A.,«A pseudo-lucky electron model for simulation of electron gate current in submicron NMOSFET's», IEEE TED, 1996, v.43, N8, 1264-1273. 63. Fiegna C, Venturi F, Melanotte M., Sangiorgi E., Ricco В., «Simple and efficient modeling of EPROM writing», IEEE TED, 1991, v.38, N3, 603-610. 64. Tarn S., Hsu F.-C, Ни С, Muller R.S., Ко Р.К., «Hot-electron currents in very short-channel MOSFET's», IEEE EDL, 1983, v.4, N7, 249-251. 65. Toyabe T, Kodera H., «A theory for inter-valley transfer effect in two-valley semiconductors», JAP, 1974, v. 13, 1404-1413. 66. Hofmann K.R., Weber W, Werner C, Dorda G., «Hot carrier degradation mechanism in n-MOSFET's», IEEE TED, 1985, v.32, 691. 67. Wolf PA, «Theory of electron multiplication in silicon», Phys.Rev, 1967, v.95, 1415. 68. Kane E.O., «Electron scattering by pair production in silicon», Phys.Rev, 1967, v. 159, 624.
690 Глава 7. Надежность субмикронных МОП-транзисторов 69. Deal B.E., «Barrier energies in metal-silicon dioxide-silicon structures», J. Phys.Chen.Solids, 1971, v.27, 1873. 70. Sohenk A, Advanced Physical Models for silicon device simulation, 1998, Springer Series -XVIII. 71. Hess K, Sah C.T, «Hot carriers in silicon surface inversion layers», JAP, 1974, v.45, 1254. 72. Eitan В., Frohman-Bentchkowsky D., Shappir J., «Impact ionization at very low voltage in silicon», JAP, 1982, v.53, 1244. 73. Henming AK, Chan N.N., Watt., «Substrate current at cryogenic temperatures: Measurements and two-dimensional model for CMOS Technology», IEEE TED, 1987, v.34, N1, 64. 74. Takagi S.I., Toriumi A., «New experimental findings on hot carrier transport under velocity saturation regime Si MOSFET's», IEE EDL Tech.Dig, 1992, 711-714. 75. Balestra F, Matsumoto T, Tsuno M., Nakabayashi H., Koyanagi M., «New experimental findings on hot carrier effects in sub-0,1 Mm MOSFET's IEDL», 1995, v. 16, 433-435. 76. Hu C, Tam S., Hsu EC, Ко Р.К., Chan T.Y, Terril K.W, «Hot-electron-induced MOSFET degradation -Model, monitor and improvement», IEEE TED, 1985, v.32, 375. 77. Esseni D., Selmi L., Bez R., Sangiori E., Ricco В., «Bias and temperature dependence of gate and substrate currents in n-MOSFETs at low drain voltage», IEDM Tech.Dig, 1994, 30 7-310. 78. Szelag В., Dutoit M., Balestra F, «Hot carrier effects in deep submicron bulk silicon MOSFETs», SSE,1998,v.42, N1, 43-48. 79. Bude I.D., «Monte Carlo simulations of impact ionization feedback in sub-micron MOSFET technologies», Proceedings SSDM'95, 228. 80. Nisan-Cohen Y, Shapir J., Brohman-Bentchkowski D.J., «Trap generation and occupation dynamics in Si02 under change injection stress», JAP, 1986, v.60, N6,2024-2035. 81. Heremaus P., Bellens R., Groeseneken G., Schwerin A, Weber W, Brox M., Maes H.E., «The mechanisms of hot carrier degradation», Hot Carrier Desing Considerations in MOS Devices and Circuits, 1992, Van Nostrand Reinhold, N.Y, Ch.l. 82. Groeseneken G., Bellens R., Van den Bosch G., Maes H.E., «Hot-carrier degradation in submicrometre MOSFETs: From uniform injection towards the real operating conditions», SCST, 1995,v.lO,N9, 1208-1220. 83. Di Maria D.J., Stasiak J.W, «Trap creation in silicon dioxide produced by hot electrons», JAP, 1989, v.65, 2342. 84. Von Schwerin A., Heyns M.M., «Oxide field dependence of bulk and interface trap generation in Si02 due to electron injection», Insulating Films on Semiconductors, Eds.: Eccleston W, Uren M.J., (Adam Hilger, Bristol), 1991, p.263. 85. Nishida T, Thompson S.E., «Oxide field and temperature dependences of gate oxide degradation by substrate hot electron injection», Proc. Int. Reliability Physics Symp. (IRPS), (New York: IEEE Press), 1991, p.310. 86. Heyns M.M., Krishna D.M., De Keersmaecker R.F., «Oxide field dependences of Si-Si02 interface state generation and charge trapping during electron injection», Appl.Surf.Science, 1989, v.39, 327. 87. Heyns M.M., Von Schwerin A., «Charge trapping and of thin dielectric layers», Insulation Films on Semiconductors, 1991, (Eds: Eccleston W, Uren M.I.,), Adam Hilger, Bristol, p.73. 88. Di Maria D.J., «Temperature dependence of trap creation in silicon dioxide», JAP, 1990, v.68, 5234. 89. Van den Bosch G., Groeseneken G., Maes H.E., «Direct and postinjection oxide and interface trap generation resulting from low-temperature electron injection», JAP, 1993, v.74, 5582. 90. Von Schwerin A, Heyns M.M., Weber W, «Investigation on the oxide field dependence of hole ltrapping and interface state generation in Si02 layers using homogeneous nonavalanche injection of holes», JAR, 1990, v.67, 7595. 91. Lipkin L., Reisman A, Williams C.K., «Hole trapping phenomena in the gate insulator of as- fabricated insulated gate field effect transistor», JAP, 1990, v.68, 4620-4633.
92. Van den Bosch G, Groeseneken G., Maes H.E., Klein R.B., Saks N.S. «Oxide and interface degradation resulting from substrate hot-hole injection in metal-oxide-semiconductor field- effect transistors at 295 and 77 K», JAP, 1994, v.75, 2073. 93. Van den Bosch G., Groeseneken G, Heremans P., Maes H.E., Heyns M.M., «Hole trapping and hot-hole induced interface trap generation in MOSFETs at different temperatures», Proc. ESSDERC, 1992, (Ansterdam: Elsevier), p.477. 94. KJiosru Q.D.M, Yasuda N., Maruyama A., Taniguchi K, Hamaguchi C, «Spatial distribution of tapped holes in the oxide of metal-oxide-semiconductor field-effect transistors after uniform hot-hole injection», JAP, 1991, v.30, 3652. 95. Khosru Q.D.M, Yasuda N., Taniguchi K, Hamaguchi C, «Oxide thickness dependence of interface trap generation in a metal-oxide-semiconductor during substrate hot-hole injection», APL, 1993, v.63, 2537-2539. 96. VonSchwerinA, HeynsM.M., «Homogeneoushole injectioninto gate oxide layersof MOSFETs: injection efficiency, hole trapping and Si-Si02 interface state generation», Insulating Films on Semiconductors, 1991, (Eds : Eccleston W, Uren M.J.), Adam Hilger, Bristol, p.283. 97. Hu C, «Hot electron effects in VLSI MOSFETs», Proc. IEEE Int.Symp.VLSI Technol.Syst. Appl., 1987, 79-84. 98. Takeda E., Shimizu A., Hagiwara T, «Role of hot-hole injection in hot-carrier effects and the small degraded channel region in MOSFET's», IEEE EDL, 1983, v.4 N8, 329-331. 99. NingT.N., Cook P.W., Dennard R.H., OsburnC.M., Schuter S.E., Yu H.N., «1мт MOSFETs VLSI technology, Pt .IV: Hot-electron desing constraints», IEEE TED, 1979, v.26, 246-253. 100. TsuchiyaT, KobayashiT, Nakajima S., «Hot-cattier degradation mechanism in Si nMOSFETs», ExtAbstr., Couf. (17th) Solid State Devices Mater., 1985, p.21. 101.Takeda E., Ohji Y, Ките Н., «High field effect in MOSFETs», IEDM Tech.Dig., 1985, 60- 63. 102.Hofman K.R., Weber W, Werner C, Dorda G., «Hot carrier degradation mechanism in n-MOSFET's», IEDM Tech.Dig., 1984, 104-107. 103. Fair R.B., Sun R.C., «Threshold-voltage instability in MOSFET's due to channel hot-hole emission», IEEE TED, 1981, v.28 , 83-94. 104. Choi J.Y, Ко Р.К., Ни С, «Effect of oxide field on hot-carrier-induced degradation of metal- oxide-semiconductor field-effect transistor», APL, v.50, 1188—1190. 105. Kuo M., Seki K, Lee P.M., Choi J.Y, Ко Р.К., Hu C, «Simulation of MOSFET lifetime under AC hot electron stress«», IEDM Tech.Dig., 1987. 106. Hsu F.-C, Hui J., Chen K.Y., «Effect of final anneal on hot-electron- induced MOS-FET degradation», IEEE EDL, 1985, v. 6 N7, 369-371. 107. Hsu F.-C, «Hot-carrier-resistant structures», VLSI Electronics Microstructure, 1989, v. 18 (Advanced MOS Dev.Phys.), Ch. 4,161-188. 108.Nicollian E.H., Brews J.R., MOS Physics and Technogi, Wiley, New-York, 1983. 109.Nicollian E.H., «Electrochemical charging of thermal Si02 films by injection electron currents», JAP, 1971, v.42, 5624-5664. 110. Jeppson K.O., Svenson СМ., «Negative bias stress of N MOS devices», JAP, 1977, v.48, 2004- 2014. lll.Gerardy G.J., Poindexter E.N., Harmatz M., Warren W.L., Nicollian E.H., Edwards A.H., «Depassition of damp-oxide Pb centers by thermal and electric field stress», JECS, 1991, v. 138, N12,3765-3770. 112. Sah C.T., «Models and experiments on degradation of oxidized silicon», SSE, 1990, v.33, N2, 147-167. 113. Lai S.K., «Two carrier nature of interface state generation in hole trapping and radiation damage», APL, 1981, v.39, 58-60. 114.Tokuda Y, «Deep-level transient spectroscopy of hydrogen-related traps formed by wet chemical etching in electron-irradiated n-type silicon», JAP, 1998, v.37, Pt.l, N4A, 1815— 1816.
692 Глава 7. Надежность субмикронных МОП-транзисторов 115. Yamasaki S., Dae U.K., Ishikawa K., «Direct observation of surface dangling bonds during plasma process: chemical reactions during H2 and Ar plasma treatments», Thin Solid Films, 2000,v.407, 139-143. 116.Tokuda Y, Hasebe Y, Ito A., Iwota H., Usami A., Terashima A., Ohchima H., Hottori Т., «Hydrogen-implantation —induced-activation in phosphorus-implanted silicon», SCST, 1996, v.ll,N12, 1821-1825. 117. Meng X.-T, Kong A.-G., Boi S.-R., «Hydrogen-defect shallow donors in Si», Jap.JAP, 2001, v.40, Pt.l, N4, 2123-2116. 118. Chang C.Y, Sze S.M., VLSI Technology, Mc Grow-Hill BookCo., 1996. 119. Hess K., Kizilyalli I.C., Lyding J.W., «Giant isotope effect in hot electron degradation of metal oxide silicon devices», IEEE TED, 1998, v.45, N2, 406-416. 120. Horiuchi T. , Mikoshiba H., Nakamura K., Hamano K., «A simple method to evaluate device lefetime due to hot-carrier effect under dynamic stress», IEEE EDL, 1986, v.7, N6, 337—339. 121. Weber W., Thewes R., «Hot-carrier-related device reliability for digital and analogue CMOS circuits», SCST, 1995, v.10, 1432-1443. 122.Vuillaume D., Bravaix A., Goguenheim D., «Hot-carrier injection in Si02», Microelectron. Reliability, 1998, v.38, N1, 7-22. 123.Chan D.S.H, Leang S.E., Chim W.K., «Invenstigation of the role»,SCST, 1998, v.13, 976-980. 124. Pananakakis G., Ghibaudo G., Papadas C, Vincent E., Kies R., «Generalized trapping kinetic model for the oxide degradation after Fowler-Nordheim uniform gate stress» , JAP, 1997, v.82, N5, 2548-2557. 125. Mahapatra S., Parikh CD., Rao V.R., Viswanathan C.R., Vasi J., «A comprehensive study of hot-carrier induced induced interface and oxide-trap distributions in MOSFET's using a novel charge pumping technique», IEEE TED, 2000, v.47, N1, 171-177. 126. Mahapatra S., Parikh CD., Rao V.R., Viswanathan C.R., Vasi J., «Device scaling effects on hot-carrier induced interface and oxide-trapped charge distributions in MOSFET's», IEEE TED, 2000, v.47, N4, 789-796. 127. Lee R.G.-H., Wu J.P., Chung S.S., «An efficient method for characterizing time-evolutional interface states and its correlation with device degradation in LDD n- -MOSFET's», IEEE TED, 1996, v.43, N6, 898-903. 128.Melik-Martirosian A., Ma ТР., «Improved charge-pumping method for lateral profiling of interface traps and oxid change in MOSFET devicess», IEDM Tech.Dig., 1999. 129.Bauza D., Maneglia Y, «In-depth exploration of Si-Si02 interface traps in MOS transistors using charge pumping technique», IEEE TED, 1997, v.44, N12, 2262—2266. 130.Chen C, Ma-T.-P, «Direct lateral profiling of hot-carrier-induced oxide charge and interface traps in thin gate MOSFET's», IEEE TED, 1998, v.45, N2, 512-520. 131. Fair .R.B., Sun R.C, «Threshold-valtage instability in MOSFET's due to channel hot-hole imission», IEEE TED, 1981, v.28, N1, 83-94. 132.Curtis O.L., Srour J.R.,«The multiple-trapping model and hole transport in Si02»,JAP, 1997, v.48, 3819-3828. 133.Svensson СМ., «The defect structure of the Si-Si02 interface : a model based on trivalent silicon and its hydrogen compounds», The Physics of Si02 and Its Interfaces (Ed: Pantelides ST.), Pergamon Press, N.Y, 1978, 328-332. 134. Di Maria D.J., Buchanan D.A., Stathis J.H., Stahlbush R.E., «Interface states induced by the presence of trapped holes near the silicon-silicon dioxide interface», JAP, 1995, v.77, N5, 2032-2040. 135.Di Maria D.J., Carter E., Arnold D., «Impact ionization, trap creation, degradation, and breakdown in silicon dioxide films on silicon», JAP, 1993, v.73, N7, 3367—3384. 136.Di Maria D.J., Stathis J.H., «Anode hole injection, defect generation and breakdown in ultrathin silicon dioxide films», JAP, 2001, v.89, N9, 5015-5024.
ЛИТЕРАТУРА 693' 137.Rasras M., DeWolf J., Groeseneken G., Kaezer В., Degraeve R., Maes H.E. «Photo-carrier generation as the of Fowler-Nordheim-induced substrate hole current in thin oxide», IEDM Tech.Dig., 1999,465-468. 138. Chen J.C., Holland S., Hu C, Chang C, «Substrate hole current and oxide breakdown», APL, 1986, v.49, N11, 669-671. 139. Degraeve R., Ogier J.L., Bellens R., Roussel P.J., Groeseneken G., Maes H.E., «A new model for the field dependence of intrinsic and extrinsic time-dependent dielectric breakdown». IEEE TED, 1998, v.45, N2, 472-481. 140. Di Maria D.J., Carter E., Buchanan D.A., «Anode hole injection and trapping in silicon dioxide»,JAP, 1996, v.80, N1, 304-312. 141.Cartier E., Tsang J.C., Fischetti M.V., Buchman D.A., «Light emission during direct Fowler- Nordheim tunneling in ultra thin MOS tunnel juctions», Microelectron. Eng., 1977, v.36, N1-4, 103. 142.Uraoka Y, Tsuji K., «A new technique for evaluating gate oxide reliability using a photon emission method», IEICE Trans. Electron., 1993, v.76, N4, 519-524. 143. Bourcerie M., Marchetaux J.C., Boudou A., Vuillaume D., «Optical spectroscopy and field- enhanced emission of an oxide trap induced by hot-hole injection in a silicon metal-oxide semiconductor field-effect transistor», APL, 1989, v.55, N21, 2193-2195. 144.Tsuchiya T. , Nakajima S., «Emission mechanism and bias-dependent emission efficiency of photons induced by drain avalanche in Si MOSFETs», IEEE TED, 1985, v.32, N2, 405-412. 145.Matsuda T , Matsuyama N., Hosoi K., Ohzame T , Kameda E., «A study on hot-carrier- induced photo-emission in n-MOSFETs», IEICE Trans. Electron., 2002, v.85, N5, 1125- 1133. 146.Matsuda T. , Funada M., Ohzame T. , Kameda E., Odanaka S., Yamashita K., Koike N., Tasuuma K.-L, «Anew test structure for precise location measurement of hot-carrier-induced photoemission peak in subquarter-micron MOSFETs», IEICE Trans. Electron., 2002, v.85, N5, 1125-1133. 147. Mountain D.J., Buruell D.M., «An evaluation of conventional and LDD devices for submicron geometries», SSE, 1990, v.33, N5, 565-570. 148. Di Maria D.J., «Defect generation in field —effect transistors under channel-hot-electron stress», JAP, 2000, v.87, N12, 8707-8715. 149.AbbosS.A., DockertyR.C.,APL, 1975, v.27, 147-149. 150. Chen C.L., ChaoT.S., Lai C.S., Huang TY, «High-quality native-oxide-free ultra-thin oxide», Electronics Letters, 2000, v.36, N11, 981-983. 151. Choi J.Y, Ко Р.К., Hu C, Scott W.F., «Hot-carrier-induced degradation of metal-oxide- semiconductor field-effect transistors: Oxide charge versus interface traps», JAP, 1989, v.65, N1,354-360. 152. Doyle В., Bourcerie M., Marchetaux J.-C, Boudou A., «Interface state creation and charge trapping the medium-to-high gate voltage range (Vd/2 = Vg =Vd) during hot-carrie stressing of n-MOS transistors», IEEE TED, 1990, v.37, N3, 744-754. 153. Ling C.H., Samudra G.S., Seah B.P, «Simulation of logarithmic time dependence of hot carrier degradation in PMOSFETs», SCST, 1995, v. 10, N12, 1659-1666. 154. Momose H.S., Nakamura S.-L, Ohguro T , Iwai H., Ono M., «1.5 nm direct tunneling gate oxide Si MOSFET's», IEEE TED, 1996, v.43, N8, 1233-1241. 155. Hsu W.-J., Shen B.J., Gowda S.M., Hwang C.-G., «Advanced inted-rated circuit reliability simulation including dynamic stress effects»,IEEE J SSC, 1992, v.27, N3, 247-258. 156. Ang D.S., Ling C.H., «A new assessment of the self-limiting hot-carrier degradation in LDD NMOSFETs by charge pumping measurement»,IEEE EDL, 1997, v. 18, N6, 299-301. 157. Chung S.S., «A new approach for characterizing structure-dependent hot-carrier effects in drain -engineered MOSFET's», IEEE TED, 1999, v.46, N7, 1371-1377. 158. Hori T., Hirase J., Odake Y, Yasui T., «Deep-submicrometer large-angle-tilt implantad drain (LATID) technology»,IEEE TED, 1992, v.39, N10, 2312-2324.
^94 Глава 7. Надежность субмикронных МОП-транзисторов 159. Chen К., Wann H.C., Duster J., Pramonik D., Nariani S., Ко Р.К., Hu C, «An accurate semi- empirial saturation drain current model for LDD n-VOSFET», IEEE EDL, 1996, v. 17, N3, 145-147. 160. Doyle B.S., Bourcerie M., Bergonzoni C, Benechi R., Bravis A., Mistry K.R., Boudou A., «The generation and characterization of electron and hole traps created by hole injection during low gate voltage hot-carrier stressing of n-MOS transistors», IEEE TED, 1990, v.37, N8, 1869-1876. 161.Tsuchiya T. , «Trapped-electron and generated interface-trap effects on hot electron-induced MOSFET degradation», IEEE TED, 1987, v.34, N11, 2291-2296. 162. Doyle B.S., Mistry K.R., Faricelli J., «Examination of the time power low dependencies in hot carrier stressing of n-MOS transistors», IEEE EDL, 1997, v.18, N2, 51-53. 163.Vuillaume D., Marchetaux J.C, Lippens RE., Bravaix A., Boudou A. «A coupled study by floating-gate and charge-pumping techniques of hot-carrier-induced defects in submicrometer LDD n-NOSFET's», IEEE TED, 1993, v.40, N4, 773-781. 164.Reimbold G., Paviet-Solomon F, Haddara H., Guegan G., Cristoloveanu S., Proc. ESSDERC88, Eds. Nougier J.P, Gasguet D., Les editionz de Physidue, Paris, 1988, p.665. 165.Acovic A., Dutoit M., Ilegems M., IEEE TED, 1990, v.37, p.1467. 166. Vuillaume D., Marchetaux J.C, Boudou A. «Evidence of acceptor-like oxide defects created by hot carriers injection in n-MOSFET's : a charge-pumping study», IEEE EDL, 1991, v. 12, N2, 60-62. 167. Marchetaux J.C, Bourcerie M., Vuillaume D., Boudou A. «Application of the flating-gate technique to the study of n-MOSFET gate current evolution due to hot-carrier again», IEEE EDL, 1990, v.ll, N9, 406-408. 168.Koyamagi M., Lewis A.G., Zhu J., Martin R.A., Huang T.Y., Chen J.Y., «Investigation and reduction of hot electron induced punchthrough (HEIP) effect in submicron PMOSFETs», IEDMTech.Dig., 1986, 722-725. 169. Choi J.K., Ко Р.К., Ни С, «Hot-carrier-induced MOSFET degrsdation: AC versus DC stressing», IEEE/JSAP Symp., VLSI Technol., Tech.Dig.Pap, 1987, 45-46. 170. Hsu F.-C, Grinolds H.R., «Structure-enhanced MOSFET degradation to hot-electron injection», IEEE EDL, 1984, v.5, N3, 71-74. 171. Mayaram K., Lee J.., Chan T.Y., Hu C, Symp. VLSI Technol., Dig. Tech. Pap, 1986, 61-62. 172. Hu J., Moll J., «Submicrometr device design for hot-electron reliability and performance», IEEE EDL, 1985, v.6, N7, 350-352. 173. Chan T.Y., Wu AT, Ко Р.К., Hu C, «Effects of the gate-to-drain/source overlap on MOSFET characteristics», IEEE EDL, 1987, v.8, N7, 326-328. 174. Chung J.E., Jeng M.-C, Moon J.E., Ко R-K., Hu C, «Low-voltage hot-electron currents and degradation in deep-submicrometer MOSFET's», IEEE TED, 1990, v.37, N7, 1651-1657. 175 Takeda E., Jones G.A.C, Ahmed H., «Constraints on application of 0,5 —Mm MOSFET's to VLSI systems», IEEE TED, 1985, v.32, N2, 322-327. 176.Takeda E., Shimizu A., Hagiwara T, «Device performance degradation due to hot-carrier injection at energies below the Si-Si02 energy barrier», IEDM Tech.Dig., 1983, 396-399. 177.Toyoshima Y, Matsuoka F, Hayashima H., Iwai H., Kanzaki K., «A study on gate oxide thickness dependence of hot-carrier induced degradation for n-MOSFET's», Symp. VLSI Technol., Dig. Tech. Pap, 1988, p.39. 178.Hazama H., Iwase M., Takagi S., «Hot-carrier reliability in deep submicrometer MOSFET's»,IEDM Tech.Dig., 1990, 569-572. 179. Koyanagi M., Lewis AG., Martin R.A., Huang T.-Y, Chen J.Y, «Hot-electron-induced punchthtough (HEIP) effects in submicrometer PMOSFET's»,IEEE TED, 1987, v.34, N4, 839-844. 180. Ida J., Ishii S., Kajita Y, Yokoyama T, Ino M., «A highly drivable CMOS design with very narrow sidewall and novel channel profile for 3,3 V high speed logic application», I EI С Е Trans. Electron., 1993, v.76, N4, 525-531..
ЛИТЕРАТУРА 695" 181. Schuegraf K.F., Ни С, «Hole injection Si02 breakdown model for very low voltage lefeOtime extrapolation», IEEE TED, 1994, v.41, N5, 761-767. 182. Lou C.L., Chim W.K., Chan D.S.H., Pan Y, «Hot-carrier induced degradation of polysilicon and tungsten polycide gate MOSFETs under maximum substrate and gate current stresses», SCST, 1996, v.l, N10, 1381-1387. 183. Liu C.T., Lloyd E.J., Chang C.P., et al., A new mode of hot carrier degradation in 0,18 Mm CMOS Technologies», Symp. VLSI Technol., Tech .Dig., Pap, 1998, 176-177. 184.Chang S.-L, Chang C-Y,Chao T.S., Lee Y.-J., Hyang YY, «High-performance and high- reliability 80-nm gate-length DTMOS with indium super steep retrograde channel», IEEE TED, 2000, v.47, N12, 2379-2384. 185. Hughes R.C, «Time-resolved hole transport in Si-Si02», Phys. Rev.B, 1977, v.15, N4, 2012— 2012. 186. Brox M., Weber W., «Hole kinetics in metal-oxide semiconductor oxides investigated by a hot- carrier experiment», JAP, 1994, v.75, N8, 4046-4054. 187. Brox M., Schwerin A, Wang Q., Weber W, «A model for the time-and bias-dependency of p- MOSFET degradation», IEEE TED, 1994, v.41, N7, 1184-1196. 188. Bellens R, De Schrijver E., Van den Bosch G., Groeseneken G., Heremans P., Maes H.E., «On the hot-carrier-induced post-stress interface trap generation in n-channel MOS transistors», IEEE TED, 1994, v.42, N3,413-419. 189. Brox M., Weber W, «Annealing of fixed oxide charge induced by hot-carrier stressing», Proc. ESSDERC, 1990,295-298. 190. Weber W, Brox M., Thewes R, Saks N.S., «Hot-hole-induced negative oxide charges in n- MOSFETs», IEEE TED, 1995, v.42, N8, 1473-1480. 191. Hansch W, Weber W, «The effect of transients on hot-carriers», IEEE EDL, 1989, v.10, N6, 252-254. 192. Weber W, Brox M., Kbnemund T, MbhlhofTH.M., Landsiedel D.S., «Ddynamic degradation in MOSFET's-Part II: Application in the circuit environment», IEEE TED, 1991, v.38, N8, 1859-1867. 193. Bellens R, Groeseneken G., Heremans P., Maes H.E., «Hot-carrier degradation behavior of n-and p-channel MOSFET's under dynamic operation conditions», IEEE TED, 1994, v.41, N8, 1421-1428. 194. Weber W, «Dynamic stress experiments for understanding», IEEE TED, 1988, v.35, N9, 1476-1486. 195. Ни С, «Reliability phenomena under Ac stress», Microelectron. Reliability, 1998, v.38, N1, 1-6. 196.Tamm I., «Uber eine moliche Art der Elektronenbindung an Kristalloberflachen», Phys. Z., Sowjet, 1932, Bd. 1, N6, 733-746. 197.Shokley W, «On the surface states associated with a periodic potential», Phys. Rev.B, 1939, v.56, N4, 317-323. 198. Deal B.E., J.Electrochemical Society, 1974, v.121, p.198 С 199. Johnson N.M., Shaw W, Yu P., «Pressure dependence of the Pb centre measured by voltage transient spectroscopy», SCST, 1989, v.4, N12, 1036-1044. 200. Poindexter E.N., «MOS interface states : Overview and physicochemical perspective», SCST, 1989, v.4, N12, 961-969. 201. Poindexter E.N., Caplan P.J., Gerardi G.J., «Physics and Chemistry of Si02 and its interface», (Eds : Helms C.R., Deal B.E.), Plenum Press, N.Y, 1988, p.319. 202. Mir A., Vuillaume D., «Positive charge and interface state creation at the Si-Si02 interface during low-fluence and high-field electron injection », APL, 1993, v.62, N10, 1125—1127. 203. Di Maria D.J., Carter E., Arnold D., «Impact ionization and charge formation in silicon dioxide films on silicon», APL, 1992, v.60, N17, 2118-2120. 204. Chang S.T., Lyon S.A., «Location of positive charge trapped near the Si-Si02 interface at low temperature», APL, 1986, v.48, N2, 136-138.
^96 Глава 7. Надежность субмикронных МОП-транзисторов 205 Fleetwood D.M., Winokur PS., Reber R.A., Meisenheimer T.L., Schwank J.R., Shaneyfeit M.R., Riewe L.C., «Effects of oxide traps interface traps, and «border traps» on metal-oxide- semiconductor devices», JAP, 1993, v.73, N10, Pt.I, 5058-5074. 206.Vuillaume D., Mir A, Bouchakow R, Jourdian M., El-Hdiy A, Salace G., «Generation of Si- Si02 interface states by high electric field stress from low (100 K) to high (450 K) temperature», JAP, 1993, v.734, N1, 277-288. 207.Gerardi G.J., Poindexter E.N., Caplan P.J., Harmatz M., Buchwald W.R., «Generation of Pb centers by high electric fields : Themochemical effects», JECS, 1989, v. 136, N9, 2609-2614. 208. Solomon P, «Breakdown in silicon oxide - A reyiew», JVST, 1977, v. 14, N5, 1122-1130. 209. Fishetti M.V, DiMaria D.J., Brosson S.D., TheisT.N., Kirtley J., «Theory of high-field electron transport in silicon dioxide», Phys. Rev.B, 1985, v.31, N12, 8124-8142. 210. Arnold D., Carter E., Di Maria D.J., «Acoustic-photon runaway and impact ionization by hot electron in silicon dioxide», Phys. Rev.B, 1992, v.45, N3, 1477-1480. 211. Stathis J.H., Di Maria D.J., «Identification of an interface defect generated by hot electrons in Si02», APL, 1992, v.61, N24, 2887-2889. 212.Vuillaume D., Bravaix A, «Charging and discharging properties of electron traps created by hot-carrier injections in gate oxide of n-channel metal oxide semicounductor field effect transistor», JAP, 1993, v.73, N5, 2559-2563. 213. a) Warren W.L., Lenahan P.M., Brinker C.J., «Experimental evidence for two fundamentally different E» precurcors in amorphous silicon dioxide», J.N on-Crystalline Solids, 1991, v. 136, N1/2, 151-162; b) Warren W.L., Shmeyfell M.R., Fleetwood D.M., Winokur PS., «Narure of defect centers in doped thin film Si02», APL, 1995, v.67, N7, 995-997. 214. Degraeve R, Pangon N., Kalzer В., Nigan T, Greaseneken G, Naem A, Symp. VLSI Technol., Dig. Tech. Pap, 1999, p.59. 215. Weir B.E., Alam MA., Bude J.D., Silverman P.J., Ghetti A, Baumann F, Didato P., Monroe D, Sorsch T, Tim G.L., Ma Y, Brown M.M., Hamad A, Hwang D., Mason P., SCST, 2000, v.15,455. 216.Suce J., Placencia J., Boruiol N., Farres E., Martin F, Aymerich X., «On the breakdown statistics of very thin Si02 films», TSF, 1990, v. 185,347-362. 217.Massoud H.Z., Deaton R, Extended Abstracts of the Electronchem.Soc.Meeting (ECS, Pennington, N.J.), 1994, p.287. 218. Degraeve R., Greaseneken G, Ogier J.L., Depas M., Roussel P.J., Maes H.E., «New insights in the relation between electron trap generation and the statistical properties of oxide breakdown», IEEE TED, 1998, v.45, N4, 904-911. 219. Degraeve R, Greaseneken G., Bellens R, Depas M., Maes H.E., «A consistent model for the thickness dependence of intrinsic breakdown in ultra-thin oxides», IEDM Tech. Dig., 1995, 863-866. 220. Degraeve R., Roussel PJ , Groeseneken G, Maes H.E., Microelectron. Engineering, 1997, v.36,p.l639. 221.Paulzen G.M., Microelectron. Engineering, 1997, v.36, p.321.. 222. Former K.R., Saletti R., Buhrman R.A., «Current fluctuations and silicon dioxide wear-out in metal-oxide-semiconductor tunnel diodes», APL, 1988, v.52, N20, 1749—1751. 223. Depas M., Nigan T, Heyns M., «Soft breakdown of ultra-thin oxide layers», IEEE TED, 1996, v.43, N9, 1499-1504 224.0kada K., Taniguchi K., «Electrical stress-induced variable range hopping conduction in ultrathin silicon dioxides», APL, 1997, v.70, N3, 351-353. 225.Crupi F, Degraeve R, Groeseneken G., Nigan T, Maes H.E., «On the properties of the gate and subsyate current after soft breakdown in ultrathin oxide layers», IEEE TED, 1998, v.45, N11,2329-2334. 226. Wu E., Nowak E., Aitken J., Abadeer W, Han L.K., Lo S., «Structural dependence of dielectric breakdown in ultra-thin gate oxides and its relationship to soft breakdown model and device failure», IEDM Tech. Dig., 1998, 187-190..
227. Sakura T, Utsunomiya H., Kamakura Y, Taniguchi K., «A detailed study of soft-and-pre-soft- breakdown in small geometry MOS structures», IEDM Tech. Dig., 1998, 183—186. 228. Alam M.A., Bude J., Weir В., Silverman P., Ghetti A., «An anode hole injection percolation model for oxide breakdown-The «doom's day» paper scenario revisted», IEDM Tech. Dig., 1999,715-718. 229. Degraeve R, Kaczer В., Groeseneken G., SCST, 2000, v. 15, p.436. 230. Bruyere S., Vincent E., Ghibaudo G., Proc. 26th Europ. Solid State Dev. Res. Conf (ESSDRC), 2000, p.48. 231. Weir B.E., Silverman P.J., Monroe D., Krisch K.S., Alam M.A., Ales D.B., Sorsch T, Tim G.L., Baumann E, Liu СТ., Ma Y, Hwang D., IEDM Tech. Dig., 1997, 73-76. 232. Suce J, Miranda E, Nafria M., Aymerich X., «Modeling the breakdown spots in silicon dioxide films as point contacts», APL, 1999, v.75, N7, 959-961. 233. Cheng K.P., Colonell J.I., Chang C.P, Lai W.Y.C., Liu C.T., Liu R.,Pai C.S., Symp. VLSI Technol., Tech. Dig. Pap, 1997, p.145. 234.Nafria M., Suce J., Aymerich X., «Exploratory abservation of poist-breakdown conduction in polycrystalline — silicon and metal-gated thin-oxide-semiconductor capacitoss», JAP, 1993, v.73, N1,205-215. 235. Nigan T, Degraeve R., Greaseneken G., Heyns M., Maes H.E., Proc. IRPS, 1999, p.381. 236. Miranda E., Suce J., Rodrijuez R, Nafria M., Aymerich X., Proc. IRPS, 1999, p.42. 237. Kaczer В., Degraeve R, Pangon N., Groeseneken G., «The influence of elevated temperature on degradation and lifetime prediction of thin silicon-dioxide films», IEEE TED, 2000, v.47, N7, 1514-1521. 238. Linder В., Stathis J.H., Wachnik RA., Wu E., Cohen SA., Ray A, Vayshenker A, VLSI Tech. Dig., 2000, p.214. 239. Chen I.C., Holland S., Hu C, «Hole trapping and breakdown in thin Si02», IEEE EDL, 1986, v.7,N3, 164-166. 240. Di Maria G.J., «Hole trapping, substrate currents, and breakdown in thin silicon dioxide films», IEEE EDL, 1995, v. 16, N5, 184-186. 241.Schuegraf K.F., Hu C, «Metal-oxide-semiconductor field-effect-transistor substrate current during Fowler-Nordheim tunneling stress and Si02 reliability», JAP, 1994, v.76, N6, 3695— 3700. 242.Kimura M., «Field and temperature acceleration model for time-dependent dielectric breakdown», IEEE TED, 1999, v.46, N1, 220-229. 243. Green M.L., Gusev E.P, Degraeve R, Garfunkel E.L., «Ultrathin (<4 nm) Si02 and Si-O-N gate dielectric layerss for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits», JAP, 2001, v.90, N5, 2057-2121. 244.Nicollian P.E., Hunter W.R., Hu J.C., Proc. IRPS, 2000, p.7. 245.McKenna J.M., Wu E.Y., Lo S.-H., Proc. IRPS, 2000, p. 16. 246. Bude J.В., Weir B.E., Silverman P.J., «Explanation of stress-induced damage in thin oxides», IEDM Tech. Dig., 1998, p.179-182. 247. Okada K., Yoneda K., «A consistent model for time dependent dielectric breakdown in ultrathin silicon dioxides», IEDM Tech. Dig., 1999, p.445-448. 248. Cheng K.P., IEDM Tech. Dig., 1999. 249. Chen C.-C, ChangC.-Y,ChienC-.H., HuangT.-H., LinH.-C, Liang M.-S., «Temperature- accelerated dielectric breakdown in ultrathin gate oxides», APL, 1999, v.74, N24, 3708-3710. 250. Yassine A., Nariman H.E., Olasupo K., IEEE EDL, 1999, v.20, 390-392. 251.Briere O., Holimaoui A., Ghibaudo G., SSE, 1997, v.41, p.981. 252. DiMaria D.J., Stathis J.H., «Non-Arhenius temperature dependence of reliability in ultrathin silicon dioxide films», APL, 1999, v.74, N12, 1752-1754. 253.McPherson J.W, Mogul H.C., «Underlying physics of the thermochemical E model in describing low-field time-dependent dielectric breakdown in Si02 thin films», JAP, 1998, v.84, N3, 1513-1523
698 Глава 7. Надежность субмикронных МОП-транзисторов 254. Anolick E., Nelson G., International Reliability Physics Proceedings (IEEE, Piscataway, NJ), 1979, p.l. 255.Crook D., International Reliability Physics Proceedings (IEEE, Piscataway, NJ), 1979, p.l. 256. McPherson J,W., Baglee D.A., «Acceleration factors for thin oxide breakdown», JECS, 1985, v.132,N8, 1903-1908. 257. McPherson J,W., Reddy V., Mogul H.C., «Field-enhanced Si-Si-bond-breakage mechanism for time-dependent dielectric breakdown in thin-film Si02 dielectrics», APL, 1997, v.71, N8, 1101-1103. 258. McPherson J,W., Mogul H.C., «Impact of ,mixing of disturbed bonding states on time- dependent dielectric in Si02 thin-film», APL, 1997, v.7l, N25, 3721-3723. 259. Chen I.C., Holland S., Ни С, International Reliability Physics Proceedings (IEEE, Piscataway, NJ), 1985, p.24. 260. Charparala P., Suehle J., Messick C, Roush M., International Reliability Physics Proceedings (IEEE, Piscataway, NJ), 1996 p.61. 261.Kimura M., International Reliability Physics Proceedings (IEEE, Piscataway, NJ), 1997, p. 190. 262. Suehle J., Charparala P., Messick C, Miller W., Boyko K., International Reliability Physics 263. Helms C, Poindexter E., «The silicon-silicon dioxide system : its microstructure and imperfections», Rep. Prog. Phys., 1994, v.57, N8, 791-852. 264.Conley J., Lenahan P.Jr., Wallace В., IEEE International Integrated Reliability Workshop Proceedings, 1997, p. 134. 265. Pauling L., The Nature of The Chemical Bonds, 3rd ed., (Cornell University Press, Cornell, NY), 1960,pp.83-91. 266. Hsieh C.H., Jain H., Kamitson E.L., JAP, 1996, v.80, 704. 267.Dumin D., «Wearout and breakdown in thin silicone oxide», JECS, 1995, v. 142, N4, 1272— 1277. 268.Dumin D., Dickerson K., Brown G., «Extrapolation of high-voltage stress measurements to low-voltage operation for thin silicon-oxide films», IEEE Trans Reliability, 1991, v.40, N1, 102-109. 269. Suehle J., Chaparala P. , «Low electric field breakdown in thin Si02 films under static and dynamic stress», IEEE TED, 1997, v.44, N5, 801-808. 270. Schlund В., Suehle J., Messick C, Chaparala P., International Reliability Physics Proceedings (IEEE, Piscataway, NJ), 1996, p.84. 271. Di Maria D.J., Stasiak J.W, «Trap creation in silicon dioxide produced by hot electrons», JAP, 1989, v.65, 2342-2356. 272. Suehle J., Chaparala P. , Messick C, Miller W.M., Boyko K.C., «Field and Temperature Accelezation of Time-Dependent Dielectric Breakdown in Intrinsic Thin Si02», 1994, 120-125. 273. Schuegraf K.F., Ни С, «Reliability of thin Si02», SCST, 1994, v.9, 898-1004.
ГЛАВА 8 ВЛИЯНИЕ ТЕХНОЛОГИЧЕСКИХ ПРОЦЕССОВ НА НАДЕЖНОСТЬ МОПТ 8.1. Введение В данной главе рассматривается влияние отдельных технологических процессов производства ИС на их надежность из-за деградации подзатворного диэлектрика МОП-транзисторов. При этом деградация определяется привносимыми дефектами в подзатворный окисел во время проведения технологических операций. Наиболее разрушительными в этом отношении являются технологические процессы с использованием плазмы, ионное легирование, процессы переноса изображения в субмикронной области — электронно-лучевая литография, рентгенолитография и высокотемпературные отжиги. При проведении плазменных процессов (раздел 8.2) происходит накопление зарядов одной полярности на поверхности пластины из-за неоднородности плазмы. Накопление заряда приводит к увеличению напряжения на электроде затвора МОП-структур и, при определенных условиях, к протеканию через подзатворный окисел туннелирующего тока Фаулера—Нордгейма (FN-ток). В результате происходит генерация электронно-дырочных пар и ловушечных состояний в объеме окисла и на границе Si02-Si. Для более глубокого понимания процессов образования этих дефектов, называемых нарушениями заряжения (поскольку источником нарушений в сущности являются токи заряжения пластины из плазмы), в разделе 8.1.2 предложена модель плазменных процессов. Модель учитывает пространственное распределение токов заряжения по поверхности пластины и толщину подзатворного диэлектрика. Генерация дефектов в окисле и связанная с этим деградация таких параметров МОПТ, как пороговое напряжение, подпороговый 5-фактор, максимальная крутизна, Id( ^-характеристики, фликер-шумы тока стока, рассматриваются в разделе 8.2.2. Во время проведения плазменных процессов возникают различного рода эффекты, усиливающие генерацию дефектов в подзатворном окисле. К ним относятся: антенный эффект, эффект геометрии межсоединений, эффекты индуктивного контура и уменьшения длины канала МОП-транзистора, а также краевой эффект. Описание перечисленных эффектов представлено в разделе 8.2.3. Особенности процесса осаждения в плазме и отличия его от процесса травления рассмотрены в разделе 8.2.4. Дефекты, генерируемые в подзатворном окисле плазменными процессами, могут находиться в скрытом состоянии. Оно продолжается до тех пор, пока дефекты не активируются какими-либо стрессовыми условиями. Это может быть электрический стресс, высокотемпературное воздействие или радиационное облучение. Данное явление необходимо учитывать, так как скрытые нарушения позволяют интегральным схемам благополучно проходить контрольные финишные тесты. В то же время при последующей эксплуатации ИС электрические стрессы (переключение прибора) или радиационные воздействия могут привести к пре-
02 Глава 8. Влияние технологических процессов на надежность МОПТ ждевременным отказам приборов. Этому явлению посвящен раздел 8.2.5. Здесь же рассмотрены две основные части модели скрытых нарушений: пассивация и депассивация дефектов. Захват положительного заряда (дырок) на ловушки может также проявлять себя как скрытые нарушения подзатворного диэлектрика, возникающие в результате протекания токов заряжения при плазменных процессах. Этот эффект необходимо иметь в виду при проектировании и изготовлении МОПТ, где дырочный захват оказывает сильное влияние на деградацию характеристик и время жизни прибора (раздел 8.2.6). В отличие от плазменных технологических процессов, ионное легирование, электронно-лучевая литография и рентгенолитография ответственны за радиационные нарушения в подзатворном Si02. Во время прохождения ионизирующих частиц (ионов, электронов, Х-лучей) через окисел происходит возбуждение решетки подзатворного диэлектрика, которое вызывает разрыв связей, деформацию связей, миграцию дефектов и примесей, а также генерацию электронов и дырок. Все это приводит к изменению электрических свойств МОП-приборов. Величина радиационных нарушений зависит от различных параметров: радиационной дозы, энергии частиц, температуры и напряжения смещения подложки во время облучения. Радиационные дефекты, возникающие при ионной имплантации, модель нарушения электропроводности имплантированного окисла и деградация параметров МОПТ описаны в разделах 8.3.2-8.3.4. Кроме радиационных дефектов, во время облучения ионами МОП-структур образуются также нарушения заряжения в результате протекания тока заряжения через подзатворный диэлектрик. Этот процесс аналогичен процессу заряжения пластины во время проведения плазменных технологических операций. Источниками тока заряжения являются имплантируемые ионы, вторичные электроны, эмиттируемые из материала затвора, заряды, собирающиеся на поверхности фоторезиста, расположенного вокруг затворного электрода, и вторичные электроны, эмиттируемые из пластинодержателя. Эффекты, возникающие при протекании тока заряжения, модель процесса заряжения и нейтрализация положительного заряда на поверхности пластины рассмотрены в разделах 8.3.5—8.3.7. Экспонирование пластин Х-лучами при рентгенолитографии отличается от облучения «традиционной» радиацией. Во-первых, в процессе рентгенолитографии структуры облучаются высокими дозами, в то время как между электродами МОПТ отсутствует напряжение смещения. Во-вторых, после рентгенолитографии выполняется высокотемпературный отжиг, при котором отжигаются большинство дефектов, привносимых Х-лучами. Исследования радиационных нарушений, возникающих в ^-канальных и /ьканальных приборах при рентгенолитографии, а также влияние Х-облучения на GIDL-эффект в МОПТ описаны в разделе 8.4. Облучение электронным пучком МОП-структур во время электронно-лучевой литографии вызывает генерацию нейтральных ловушек положительного заряда и в объеме окисла, а на границе Si02-Si генерируются поверхностные состояния. Количественная оценка нейтральных ловушек, возникающих в подзатворном окисле при электронно-лучевой литографии, и их сечения приведены в разделе 8.5. В заключение необходимо отметить следующее. Поскольку в каждом разделе, посвященном влиянию технологических процессов на дефектность МОПТ,
8.2. Технологические процессы с использованием плазмы 701 рассматривается и обсуждается влияние высокотемпературных отжигов на привносимые нарушения, то нет необходимости вводить отдельный раздел о влиянии высокотемпературных обработок на надежность МОП-транзисторов. 8.2. Технологические процессы с использованием плазмы В современной технологии изготовления СБИС операция обработки пластин в плазме становится основным технологическим процессом, который используется для травления диэлектрических, поликремниевых и металлических слоев, для осаждения диэлектриков, для предварительной очистки поверхности методом распыления перед операциями физического осаждения слоев из газовой фазы, для удаления фоторезиста и даже для операций ионной имплантации. При проведении плазменных процессов на кремниевые приборные структуры воздействуют ионы, электроны, фотоны, рентгеновские лучи, а также электромагнитные поля — EMF (Electromagnetic fields). В результате в приборные структуры вносятся различные нарушения, которые вызывают деградацию электрических характеристик приборов и снижают надежность изготовленных СБИС. Типы нарушений, которые возможны во время проведения основных плазменных операций травления и осаждения, приведены в табл. 8.1 [1]. Как видно из таблицы, основными причинами нарушений во всех случаях являются либо электрический ток, протекающий в результате заряжения поверхности пластин и EMF-воздействия, либо прямое экспонирование пластин плазмой, содержащей высокореактивные вещества, частицы и фотоны. При этом нарушения, влияющие на надежность изготавливаемых СБИС, в значительной степени определяются технологическими параметрами самого Табл. 8.1. Характерные нарушения, вносимые плазменными процессами Тип нарушений Остаточные загрязнения Проникновение веществ, находящихся в плазме Разрушение связей Нарушения, вызванные электрическим током Основная причина Экспонирование плазмой Экспонирование плазмой Бомбардировка частицами при экспонировании плазмой Электрический ток в результате заряжения или EMF-воздействия во время плазменного процесса Присутствуют при травлении или осаждении Продукты реакции, остающиеся на поверхности при травлении Травление и осаждение Травление и осаждение Травление и осаждение Материалы, подверженные воздействию Все Диэлектрики и полупроводники Диэлектрики и полупроводники Диэлектрики
02 Глава 8. Влияние технологических процессов на надежность МОПТ Табл. 8.2. Влияние технологического процесса и топологии приборов и схем на надежность СБИС Наименование воздействующего фактора Технологический процесс - пассивация Технологический процесс — активация Технологический процесс — кумулятивные эффекты Топология — антенный эффект Топология — EMF — эффект замкнутой петли Топология — краевой эффект Тип нарушений Разрушение связей. Скрытые нарушения Разрушение связей. Нарушения электрическим током Разрушение связей. Нарушения электрическим током Нарушения электрическим током Нарушения электрическим током Разрушение связей в результате бомбардировки. Нарушения электрическим током Пример Свободный водород в последующих процессах отжига или водородосодержащих плазменных операциях может пассивировать нарушения (скрытые нарушения) Ток заряжения при последующих плазменных операциях может активизировать скрытые нарушения в диэлектрике Ток заряжения при плазменной операции может добавлять нарушения в диэлектрике к прежним нарушениям плазменных операций Межсоединения могут собирать заряды, которые проходят через диэлектрик и растрачивают энергию В петлях межсоединений в результате EMF-воздействия наводятся ЭДС, которые вызывают электрический ток через диэлектрик При экспонировании плазмой края прибора возникают нарушения в результате бомбардировки. Увеличение нарушений электрическим током происходит в результате увеличенной краевой проводимости плазменного процесса, а также топологией МОПТ, экспонируемых плазмой. Эти зависимости представлены в табл. 8.2. В табл. 8.2 влияние плазменного процесса при травлении и осаждении разделено на три категории: эффекты пассивации, эффекты активации и кумулятивные эффекты. Эффекты пассивации могут быть частично скрытыми, поскольку они маскируют нарушения, которые впоследствии влияют на надежность прибора. Влияние топологии приборов и схем может быть также разделено на три категории: антенный эффект, EMF-эффект замкнутой петли и краевой эффект. Прежде чем детально рассматривать нарушения в МОПТ, вызываемые плазменными операциями, а также влияние отдельных эффектов на надежность МОПТ, необходимо познакомиться с моделью плазменного процесса и механизмом деградации МОП-структур. 8.2.1. Модель образования дефектов при проведении плазменных процессов Во время проведения технологических операций с использованием плазмы высокочастотного (ВЧ) возбуждения поверхность пластины заряжается электриче-
8.2. Технологические процессы с использованием плазмы lOi ским током, состоящим из различных компонент. Эти компоненты образуются за счет потока положительных ионов, потока электронов и вторичной электронной эмиссии. Для дальнейшего анализа составляющих электрического тока сделаны следующие допущения: • электроны в области плазмы имеют максвелловское распределение по энергии; • ток, образующийся за счет вторичной электронной эмиссии, незначителен; амплитуда ВЧ-составляющей плазменного потенциала однородна по пластине и постоянна во времени; • разрядные характеристики (я., пе, Те, Vp) не изменяются в процессе заряжения поверхности пластины; • контактное сопротивление между плазмой и поверхностью пластины является омическим и незначительным; • рассматриваются электрические токи, протекающие в области затвора МОПТ. При этих допущениях во время проведения плазменной операции на поверхность пластины поступает ток из плазмы /, состоящий из ионной Jt и электронной составляющих тока Je, которые в соответствии с известной теорией Ленгмюра можно выразить как [2]: Jp = /,. + Je = О,6 q • щг • и в - - q • пе • ие • ехр где q — заряд электрона; я, — плотность ионов; ив = ч& *е 'М - скорость Бума; М— масса иона; ис — средняя тепловая скорость электронов; яе — плотность электронов; V(t) = V0 + V{ • sin(cot) — плазменный потенциал с обычной частотой 13,56 МГц; Те— электронная температура; VQ — потенциал затвора (антенны). На рис. 8.1 представлена типичная картина распределения ионного и электронного тока как функция времени. Ионный ток Jt почти постоянен, не изменяется со временем и определяется концентрацией ионов (п) и скоростью Бума (ив). Так как потенциал плазмы Vp для большей части периода времени проведения процесса превышает потенциал затвора Vg, то электронный ток протекает только в течение коротких отрезков времени, когда потенциал плазмы оказывается q{VAt)-Vg) kZ (8.1) 0 : Потенциал плазмы Ионный ток ""' J 74нс Т Время h— Рис.8.1. Плазменный потенциал и электрические токи, протекающие во время высокочастотного плазменного разряда. Нарушения отсутствуют либо минимальны, если площадь под ионным и электронным токами одинакова
н(704 Глава 8. Влияние технологических процессов на надежность МОПТ S \:У\^^'ШШШ&^::-Ш/:''У * I1- Антенна \&Шш Окисел хПодзатворный окисел Подложка Плазма Антенна (Vg) 1 )'fn т ,. Подложка щему через окисел близок к своему минимуму (Vp — Vg — это потенциальный барьер для электронов). Когда ионная и электронная составляющие собираемого пластиной суммарного тока находятся в равновесии относительно друг друга в течение всего ВЧ- цикла, то проблема заряжения пластины плазмой отсутствует. п о -> ^ а^глт-i Если предположить, что типичная Рис. 8.2. Схема МОП-структуры и процесса за- г> « величина плотности ионного тока ряжения. В установившемся режиме плазменный ток/равентоку Фаулера-Нордгейма/, протекаю- составляет ш на/мкм [3\, толщина окисного слоя равна 100 А, частота ВЧ-поля/ = 13,56 МГц, а антенный коэффициент равен 1000 (отношение всей площади электрода затвора, называемого антенной, к площади подзатворного диэлектрика, рис. 8.2.), то можно определить величину приращения напряжения на затворе как половину ВЧ-периода, обусловленную«/,: AVa /,-xlOOO 10пАмкм"2х1000 0,11В (8.2) 2-f-C0X 2 13,56МГц х345нФ-см-2 Это отклонение Vg нейтрализуется последующим /е-импульсом, и процесс изменения Vg начинается снова. Средняя величина AVg за длительный период времени близка к нулю, а величина А V слишком мала для того, чтобы вызвать какое- либо стрессовое электрическое воздействие на окисный слой. В уравнении (8.2) Сох представляет емкость окисного слоя, приходящуюся на единицу площади. Заряжение затворного электрода происходит тогда, когда ионный и электронный токи не уравновешивают друг друга в течение каждого ВЧ-цикла [4]. После операции усреднения уравнения (8.1) в течение одного ВЧ-цикла усредненная величина тока зарядки выражается с помощью модифицированной функции Бесселя, которая может быть аппроксимирована следующей формулой: (Jp) = Ji ~ Jeo 'expfaKg /kTe), где 'ео=^'Пе'Ые txV[-q(V,-Vx)/kTe] (8.3) (8.4) pn{qVx/kTe) представляет электронный ток при Vg = 0 В [5, 6]. Уравнение (8.3) можно записать в более удобной для использования форме [7]: (jp)=Jt[l-exp(q<yg-Vc)/kTe], (8.5) где Vc — максимальное напряжение на затворе, при котором электрический ток заряжения через подзатворный окисел равен нулю. Когда Vg = Vc, то разность напряжений Vp — Vg самоустанавливается такой, что электронный и ионный токи становятся сбалансированными.
8.2. Технологические процессы с использованием плазмы 70S4 20 ^-ч CQ затво] 2 Ю s * t* а <3 i x f=13,56Mru Тох=Юнм J р=2пА/мк2 ■ Антенный коэффициент-1000 и * charg / 1. . 0 20 40 Lm fo,iiB « 60 80 Время обработки в плазме (мкс) После включения плазмы напряжение на затворе Vg увеличивается или уменьшается за большое количество ВЧ-циклов в зависимости от того, какая компонента тока (электронная или ионная) превалирует. Изменение V происходит до тех пор, пока не установится стационарная величина напряжения на затворе, соответствующая такой величине туннельного тока Фаулера—Нордгейма (протекающего через подзатворный окисный слой), которая уравновесит итоговый ток, собираемый антенной <J> (см. уравнение (8.3)). Этот туннельный ток вызывает деградацию подзатворного окисного слоя. Туннельный ток — это транспортировка электронов. Когда ионный ток превышает ток электронов, затвор заряжается до положительного напряжения. В этом случае электроны инжектируются в окисел из подложки. В случае же превышения электронного тока над ионным затвор заряжается до отрицательного напряжения и электроны инжектируются в окисел из материала затвора. Электроны, проходя через подзатворный окисел, увеличивают свою кинетическую энергию за счет взаимодействия с электрическим полем. Их энергия может возрасти настолько (по мере приближения к аноду), что такие горячие электроны могут весьма эффективно образовывать ловушки и поверхностные состояния. Если предположить, что итоговая плотность тока составляет величину 2п А • мкм-2, а антенный коэффициент равен 1000, то можно приблизительно оценить время, необходимое для достижения стационарного состояния при V = 10 В: Рис.8.3. Рассчитанная форма напряжения на затворе МОП-структуры (рис. 8.2) при обработке в плазме. Для наглядности масштаб волнистости характеристики увеличен Т 1 charging v. (/,)-юоо 245нФсм"'10В 2пАмкм~21000 П,3мкс, (8.6) т.е. около 200 периодов (при/= 13,56 МГц), что мало по сравнению с обычной продолжительностью технологических операций с использованием плазмы, каждая из которых длится от нескольких секунд до нескольких минут. В установившемся (равновесном) состоянии напряжение на затворе в основном остается постоянным с небольшой «рябью» на частоте 13,56 МГц и с амплитудой (~0,11 В), определяемой соотношением (8.2), как показано на рис. 8.3. Поскольку ток заряжения протекает через окисел в режиме туннелирования в соответствии с моделью Фаулера—Нордгейма, то падение напряжения на подза- творном окисле, а следовательно и ток, можно определить путем приравнивания <J> из соотношения (8.3) и тока Фаулера—Нордгейма JFN: ур)'($а /Sox)-JfN A(Vg /Т0ХУ •ехр(-Е-71/Гг), (8.7) где:
Cs Глава 8. Влияние технологических процессов на надежность МОПТ San — экспонируемая площадь антенны; Sox — площадь подзатворного окисного слоя; /— толщина подзатворного окисного слоя; ^1 = 20 мкА • В2; Е= 250 MB • см1. На рис. 8.2 показано поперечное сечение приборной структуры и эквивалентная электрическая схема заряжения оьсисного слоя. В установившемся режиме ток заряжения из плазмы 1р оказывается равным туннельному току, проходящему через подзатворный окисный слой IFN. Ток заряжения и толщина подзатворного диэлектрика На рис. 8.4. представлены два семейства кривых, полученных в результате решения уравнений (8.3) и (8.7), а также токи и напряжения, полученные экспериментально на тестовых структурах для двух значений антенн. Тестовые МОП- структуры изготовлены на кремнии (100) «-типа с подзатворным диэлектриком толщиной 6,4 нм, 11,6 нм и 18 нм. Для травления А1 применяли плазменную установку с параллельными электродами мощностью 250 Вт. Травление осуществляли в течение 100 с, резист удалялся жидким травлением [2]. Первое семейство кривых на рис. 8.4 — это токи Фаулера—Нордгейма IFN, рассчитанные для трех толщин подзатворного диэлектрика. Второе семейство — это плазменные токи заряжения <1> для двух размеров антенн. Величина <1> пропорциональна экспонируемой площади антенны. Плотность ионного потока J. в соотношении (8.3) вычислена исходя из постоянной составляющей напряжения плазмы и ширины области пространственного заряда (2 мм). Jeo и Те найдены из графика зависимости 1п(7, — 1р) от Vg9 а 1р и Vg определены по методике, рассмотренной в [2]. Из рис. 8.4 следует, что при Vg около 12 В наступает баланс электронного и ионного токов, при толщине подзатворного диэлектрика >18 нм ток заряжения отсутствует, и, следовательно, отсутствуют нарушения, привносимые при плазменной обработке в МОП- структуры данного типа. Ток заряжения для очень тонких окисных слоев (менее 6 нм) ограничен и приближается к величине ионного тока (см. соотношение (8.5)). Поэтому в случае тонких окисных слоев плазму можно рассматривать как неидеальный источник Рис.8.4. Ток заряжения из плазмы (уравнение (8.3)) для тока, ток которого слабо зави- двух антенн с различным периметром и одинаковой сит от напряжения на затворе площадью — 80000 мкм, и ток Фаулера—Нордгейма V. Для такой установки плаз- (уравнение (8.7)) для трех толщин подзатворного менного травления подзатвор- окисла. Фигурные значки - данные эксперимента ный диэлектрик с толщиной, Напряжение на затворе (В)
8.2. Технологические процессы с использованием плазмы 70' близкой к нулю, будет подвергаться стрессовому воздействию при токе, только на 20% превышающем ток в случае окисного слоя толщиной 6,4 нм. Пространственное распределение тока заряжения по пластине В предыдущих разделах токи заряжения рассматривались с точки зрения локальной неоднородности плазмы, т.е. локального разбаланса электронного и ионного токов. Однако в реальных установках при плазменной обработке пластин существует радиальная неоднородность (различная плотность) плазмы по поверхности пластины. Ток заряжения протекает из области плазмы с большей плотностью в антенны, далее через подзатворныи диэлектрик в кремниевую подложку, после чего возвращается в обратном направлении в области с меньшей плотностью плазмы через подзатворныи диэлектрик и антенны, расположенные под этими областями. Данный механизм протекания тока работает при плавающем потенциале подложки, т.е. когда подложка изолирована. В случае заземленной кремниевой подложки ток из подложки течет в подложкодержатель. В работе [7] проведены исследования однородности плазмы на примере установки реактивного ионного травления резиста. Схема установки показана на рис. 8.5. В верхней части кварцевой камеры снаружи расположены два металлических кольцевых электрода. Верхнее кольцо соединяется с источником ВЧ-мощности (500 Вт, 13,56 МГц), нижнее — с землей. Рабочий газ 02, инжектируется из центра верхней части реактора, что служит одной из причин неоднородности плазмы из- за высокой плотности в центре. Для исследования неоднородности плазмы использовался зонд Ленгмюра, расположенный на расстоянии 1 см от поверхности пластины. Измерялись следующие параметры:_электронная температура Те, усредненный по времени плазменный потенциал Vp(r), концентрация ионов ni и концентрация электронов пе. Поскольку 02 — это электроотрицательный газ, то в объеме плазмы содержатся электроны (пе), положительные («.) и отрицательные ионы. Величина пе/ я, для 02 при различных условиях находится в пределах 0,2—0,8 [8]. Концентрацию отрицательных ионов можно определить, исходя из принципа зарядовой нейтраль- Рабочий газ Л Пластина Источник ВЧ-напряжения 1Ш. 1-^X1 УГУ W I t ПУ1 ^1 Кварцевая камера / /7 / 5.0 4.0 ▲ ионы, п^ ■ электроны, п@ -6.0 -4.0 -2.0 0.0 2.0 4.0 6.0 Расстояние от центра пластины (см) Рис.8.5. Схема удаления резиста в плазме. Рабочий газ — кислород Рис. 8.6. Пространственное распределение плотности ионов и электронов в кислородной плазме, измеренное с использованием зонда Ленгмюра
г08 Глава 8. Влияние технологических процессов на надежность МОПТ ности и зная величину я, - пе, которая измеряется с помощью зонда Ленгмюра. Однако отрицательные ионы не могут играть значительную роль в процессе заряжения пластины, т.к. они не могут преодолеть потенциальный барьер области пространственного заряда. Результаты измерений, представленные на рис. 8.6, демонстрируют значительную пространственную радиальную неоднородность плазмы в виде изменения величины концентрации электронов и ионов от центра пластины к краю. При этом максимальная концентрация пс и щ находится в центре пластины. Следовательно, в центре пластины плазма имеет наибольшую плотность. Такую высокую неоднородность плазмы можно объяснить неоднородностью инжекции рабочего газа (больше в центре, чем по краям), высоким рабочим давлением (5 Торр) и неоднородностью ВЧ-тока. Отметим, что пе < я, по всей поверхности пластины. Это объясняется тем, что кислород — электроотрицательный газ. Измерения усредненного плазменного потенциала, проведенные с помощью зонда Ленгмюра, иллюстрируются рис. 8.7. Эти данные также показывают радикальную зависимость <Vp>, при этом минимальная величина соответствует центральной части пластины. Таким образом, плазма имеет радиальную неоднородность по пластине, и это должно приводить к неоднородности заряжения, а следовательно, и к неоднородности по пластине привносимых нарушений подза- творного диэлектрика. Используя полученные экспериментальные данные по пространственному распределению плазменного потенциала и уравнение (8.1) для зарядового тока из плазмы, можно построить диаграмму (рис. 8.8), а также схему распределения и протекания зарядового тока через пластину [8] для установки плазменного травления (рис. 8.5). Экспоненциальная зависимость Je от потенциального барьера (Vsh = Vp - Vg - Vsub) означает, что электронный ток протекает только в течение той части ВЧ-цикла, когда Vsh находится вблизи минимума (см.рис. 8.1). Для данного процесса травления принимается, что напряжение на подложке Vsub — величина постоянная. Если допустить, что амплитуда Vl постоянна по всей поверхности пластины, то электронный ток Je доминирует там, где средний плазменный потенциал <Vp> находится в минимуме, а ионный ток J. доминирует там, где <V> имеет максимум. Поскольку Vsh зависит от Vg, то существует обратная связь при заряжении поверхности пластины, которая регулирует локальные токи Je и Jr Для тонких окислов процесс локального заряжения поверхности останавливается в точке, когда локальный ток (Je — J) pa- Рис. 8.7. Пространственное распределение по pa- вен локальному туннелирующему диусу пластины усредненной во времени величи- через окисел току JFN. Учитывая, ны потенциала кислородной плазмы, измеренной что суммарный ток частиц, попа- с использованием зонда Ленгмюра дающих на пластину, в установив- •inn п, 1 UU.U 1 S 90.0 3 % 80.0 а ч с 70.0 5 Щ бо.о X <и S 50.0 С 40 о 1 . ■ , . , , """ «t P \ / — \ / N / N / _ \ / N / \ / Ч ^ ' — *«♦-'* 1 1 1 ! 1 -6.0 -4.0 -2.0 0.0 2.0 4.0 6.0 Расстояние от центра пластины (см)
8.2. Технологические процессы с использованием плазмы 709j| VP(r,t) s V0 (г)+V, Sin cot =7C/2 :=3tc/2 Vg =0 при t =0 пластина с МОП-структурами + ^ Vsub =constant r a) + электронный ток б) Рис. 8.8. Схема: (а) изменение потенциала плазмы V(r, i) во времени и в пространстве по радиусу пластины; (б) протекание электронного тока через пластину из-за неоднородности плазмы шемся режиме должен равняться нулю, то избыточный электронный ток в центре пластины должен быть сбалансирован током из подложки к краям пластины, где положительный ионный ток является избыточным, что иллюстрируется рис. 8.8, б. Аналитически трудно решить Рис.8.9. Эквивалентная схема заряжения пластины током из неоднородной плазмы. 1р — локальный плазменный ток, IFN — локальный ток через подза- творный окисел, V5Ub — напряжение на подложке, Vg0 — начальное напряжение на поверхности пластины, Св — емкость между пластиной и заземленным основанием !3 oU.U 5 § 60.0 PQ > 40.0 я ас 1 20.0 мшее напр, > о СХ л 0 Центр пластины: — vox vsh 1 "" —г ""'"• 40.0 80.0 Время (мкс) 12 Э.О Рис. 8.10. Рассчитанное изменение Vg и VSH для МОП-структуры в центре пластины проблемы, возникающие при заряжении пластин в плазме. Это объясняется экспоненциальной зависимостью электронного тока от напряжения, которое изменяется во времени. Поэтому в работе [8] было проведено численное SPICE- моделирование процесса плазменного травления. Для этого использована схемная модель заряжения пластины, приведенная на рис. 8.9. В данном случае начальный поверхностный потенциал Vg0 равен нулю. Антенная структура представлена двумя емкостями Cfw Cg, присоединенными параллельно диоду, который моделирует ток Фаулера—Нордгейма в окисле. Cf— емкость антенны, Cg — емкость затвора. Св представляет емкость между пластиной и заземленным основанием. При / = 0 локальные токи, вызванные неоднородной плазмой, протекают в каждой ячейке
10 Глава 8. Влияние технологических процессов на надежность МОПТ ^ 100, 75 50 25 0 -- •:. т-г-1 Г—г- • туннелирующий ток / \ J 1 1 U —1 ч н —\— J -1.8 4 -1.2 -2.4 0.6 -6.0 -4.0 -2.0 0.0 2.0 4.0 6.0 Расстояние от центра пластины (см) и состоят из компонент, рассмотренных выше. Результаты SPICE-расчетов приведены на рис. 8.10 и 8.11. Как и ожидалось, электронный ток доминирует в центре пластины. Напряжение на затворе устанавливается до — 15 В за 40 мкс. На рис. 8.11 видна сильная корреляция между рассчитанным средним током через окисел и измеренной величиной отказов, т.е. пробоев МОП- структур. Таким образом, избыточный электронный ток в центре пластины приводит к отрицательному заряже- Рис. 8.11. Корреляция между радиальным распределением нарушенных МОП-структур, измеренных по пластине, с распределением туннелирующего тока через окисел МОП- нию затвора и в результате - к повы- структур, рассчитанного с использованием шинной инжекции электронов из за- эквивалентной схемы (рис. 8.9) твора в окисел. 8.2.2. Деградация параметров МОПТ Итак, при проведении технологических операций, связанных с обработкой полупроводниковых пластин в плазме, через подзатворныи диэлектрик протекает туннельный ток Фаулера-Нордгейма. Это сопровождается генерацией ловушек, захватом как положительных, так и отрицательных зарядов на ловушки и генерацией поверхностных состояний. Физическая модель процесса генерации дефектов в Si-Si02 структурах при воздействии FN-стресса рассмотрена в главе 7. Процесс стрессового воздействия туннельного тока на подзатворныи диэлектрик приводит к деградации характеристик МОП-транзисторов. При этом степень деградации определяется величиной туннельного тока. В плазменной среде ионы и электроны собираются на поликремниевые и металлические площадки, соединенные с затвором (контактные площадки, металл-1, металл-2 и т.д.), которые выполняют роль «антенны». В результате такого «антенного эффекта» эффективная площадь электрода затвора возрастает в десятки, сотни и тысячи раз. Это приводит к соответствующему увеличению стрессового тока заряжения и в результате — к увеличенной деградации приборных характеристик МОПТ. При этом количественный мониторинг поверхностных состояний и захваченного заряда на ловушки в объеме подзатворного диэлектрика позволяет выявить многие аспекты деградации приборных характеристик МОПТ и проблем их надежности. Повреждения подзатворных окисных слоев могут приводить к уменьшению выхода годных ИС и делать их более уязвимыми к воздействию горячих носителей и к зависящему от времени диэлектрическому пробою. Поэтому индуцируемая плазмой деградация подзатворных окисных слоев является серьезной проблемой в технологии изготовления СБИС. Ловушки на границе Si-Si02 и захваченный заряд в объеме Si02 определяют деградацию таких характеристик МОПТ, как подпороговый ^-фактор (подпорого- вый наклон Id(JQ-зависимостей), пороговое напряжение Vt, крутизна^ и шумы. С другой стороны, контролируя изменения указанных характеристик, можно ко-
8.2. Технологические процессы с использованием плазмы 711 личественно оценить токи заряжения в подзатворном диэлектрике, вызываемых плазмой. Такие методы оценки нарушений в Si02 используются на практике и пригодны для любых вариантов технологического оборудования и процессов. Пороговое напряжение Пороговое напряжение является количественным показателем заряда в окисле и может быть выражено уравнением [9]: Ч* Чж (8.8) где: Ч^ — разность работ выхода между затвором и кремнием; Qox включает заряды, находящиеся в объеме окисла QoP и поверхностные состояния Dip находящиеся на границе Si02-Si; NA — концентрация акцепторной примеси; х¥ъ — разность между уровнем Ферми EF и положением Ферми в собственном полупроводнике Е{; Сох — емкость слоя окисла; е5 — диэлектрическая проницаемость кремния; q — заряд электрона. Существуют различные методы определения Vt из вольт-амперных характеристик. Наиболее часто используются два метода. Первый — определение Vt из линейной области характеристики Ig(Vt). В этом случае используется линейный режим работа транзистора, когда Vd < Vg — Vr Во втором методе используется зависимость ylld от Vg, когда Vd > Vg — Vt, т.е. транзистор работает в режиме насыщения. Известно, что для одних и тех же транзисторов второй метод дает величины Vt на ~0,1 В меньше, чем первый. На практике чаще используют второй метод. На рис. 8.12 приведены для одинаковых МОПТ зависимости тока стока Id от напряжения смещения на затворе Vg при различных антенных коэффициентах у (отношение всей площади электрода затвора-антенны к площади подзатворно- го диэлектрика SaJSox) после проведения технологической операции удаления в плазме фоторезиста без последующей термообработки [2]. Удаление фоторезиста осуществлялось в установке барабанного типа. В качестве рабочего газа использовался кислород при давлении 280 мТорр, газовый поток 50 см3/с, потребляемая мощность 400 Вт. На контрольных пластинах фоторезист удалялся в жидком тра- вителе. Площадь подзатворного окисного слоя на всех образцах МОПТ была равна 50 х 1,4 = 70 мкм2, площадь алюминиевого электрода (антенны) варьировалась от 80 до 640 мкм2. Из приве- Рис. 8.12. Вольт-амперные характристики МОПТ с денных результатов можно видеть различными размерами антенн после удаления фо- смещение порогового напряже- торезиста в плазме. Кривая А -контрольный обра- ния V„ которое обусловлено ге- зец, в котором резист удален жидким травлением 30 5 20 ТЗ Ток сток; о 01 0 А-Контрольный III If 1. образец | 1 I II Антенный III II коэффициент . . Ч 1 / / В-64 A"*f / / / / С-256 j / 1 / / D-I024 ВЛ1 III Е-4096 Ц 1 II vd=o,iB чТ1 / Тох = 10нм /// J J 0 0.5 1.0 1.S Напряжение на затворе, V (В) 2.0
712 Глава 8. Влияние технологических процессов на надежность МОПТ нерацией поверхностных состояний Dit и захватом зарядов на ловушки в объеме окисного слоя Qot в соответствии с (8.8). Так как алюминиевые антенны с большей площадью собирают больший ток во время проведения плазменного травления резиста, то соответствующие МОПТ обнаруживают и большую степень деградации Id( рр-характеристик. Из рис. 8.12 можно легко оценить пороговые напряжения МОПТ с различными антенными коэффициентами. Поскольку подзатвор- ный окисный слой покрыт поликремниевым затвором, то повреждения окисного слоя под воздействием ультрафиолетового излучения плазмы оказываются незначительными. Более того, наблюдаемый антенный эффект (зависимость степени нарушений, индуцированных плазмой, от размеров антенны) также дает основание полагать, что ионизационные явления под воздействием ультрафиолетового или рентгеновского излучения в данном случае не являются главным источником нарушений в подзатворном диэлектрике. Наиболее вероятно, что механизм заряжения плазменным током, рассмотренный в разделе 8.2.1, является основной причиной нарушений в Si02. Подпороговый ^-фактор Наклон подпороговых Id{ ^-характеристик можно использовать для количественной оценки плотности поверхностных состояний. Подпороговый 5-фактор определяется диффузионным током от истока к стоку, когда канал МОПТ находится в режиме слабой инверсии, ^-фактор определяется следующим соотношением: + С,у + Lfcp 1013г Размеры антенн: ,(640 мкм)2 Sio,: S=^7 log ~ г" ~1' (8-9) Ч у ^ох J где Cit = qDit — емкость, обусловленная поверхностными состояниями, a Cdep — емкость области обеднения. Величина S представляется как эквивалентный наклон подпорого- вой Id( ^-характеристики в полулогарифмическом масштабе. На рис. 8.13 приведены распределения плотности поверхностных состояний по энергиям, полученные для различных антенных коэффициентов [2]. Представленные данные также свидетельствуют, что МОПТ с большим размером антенн соответствуют большие плотности Dir Получены только сравнительно небольшие участки распределения Dit по энергиям в интервале Ес — Ej = Si02 в МОПТ с различными размерами антенн 0,1...0,37 эВ. Когда уровень Ферми после травления резиста в плазме Г находится вблизи середины запрещенной зоны, уровень подпорогового тока становится сравнимым с предельной чувствительностью измерительной аппаратуры. Это обстоятельство приводит ю1 0.0 ~(320мкмг ■Л(160мкм)2 (80 мкм)2 *Лл(40мкм)2 02 0.3 Ec-Ei (эВ) 0.5 Рис. 8.13. Распределение плотности поверхностных состояний по энергии на границе Si-
8.2. Технологические процессы с использованием плазмы 713 j| к неопределенности при оценке величин Dir В свою очередь, когда поверхность кремния оказывается вблизи состояния сильной инверсии, простое соотношение между S и Dit нарушается. Фликкер-шумы тока стока На рис. 8.14 приведены спектры мощности шумов в цепи стока Sld(j) для МОПТ, подвергнутых технологическим операциям с применением плазмы при различных площадях антенны. Можно видеть, что с увеличением площади антенны возрастает и мощность шума. Это обстоятельство подтверждает, что процесс заряжения из плазмы приводит к увеличению плотности поверхностных состояний, поскольку шумы тока в цепи стока возникают в результате заряжения и разряжения поверхностных ловушек. Процесс заряжения и разряжения ловушек вызывает модуляцию носителей в канале и изменение их подвижности у поверхности [10]. Шумы 1//-типа в цепи стока МОПТ могут интерпретироваться с помощью обобщенной модели фликкер-шумов [10], в которой рассматриваются как флюктуации концентрации носителей в канале, так и скоррелированные флюктуации их поверхностной подвижности. В линейной области (Vd= 0,05 В) концентрация носителей распределена вдоль канала равномерно и задается соотношением N = C0X(Vg — VJ/q, а спектральная плотность мощности шумов тока стока дается соотношением: s,Af)- Til L N (x,E), (8.10) y-f-lV. где Not — приведенная плотность ловушек вблизи границы раздела Si-Si02; N— поверхностная концентрация электронов в канале; а « 10~15 В • с [11]. Все остальные параметры имеют свой обычный смысл. Концентрация ловушек N*t может быть получена из измеренного спектра шумов тока стока. Определенные таким способом величины ЛГ, составили 7 • 1016; 1,4 • 1017; 5,0 • 1017 и 9,25 • 1017 см3 • эВ1 для 3 -55 ^ <Z -во -3> СЛ - -65 CQ О S Ь -70 В Л о -75 о X I -80 ^ " • - - 10 Vg-Vth=0,25B Vd =2,Ж . Размеры антенн: А-(80мкм)2 В-(160мкм)2 . С-(320мкм)2 fcL^ D-(640mkm)2 mLl^WL *F$^^ .... 1 . . . 100 Частота (Гц) - 1000 Антенный коэффициент 64 25$ 1024 4096 5.0 10.0 15.0 ADit(xl0n/cM23B) Рис. 8.14. Спектр шумов тока стока МОПТ в режиме насыщения с различными размерами антенн после удаления фоторезиста в плазме Рис.8.15. Зависимости сдвига порогового напряжения (AV), подпорогового S-фактора (AS) и концентрации ловушек в окисле вблизи границы раздела Si-Si02 (ADlt) от поверхностных состояний (AN*) для различных размеров антенн
Су Глава 8. Влияние технологических процессов на надежность МОПТ приборов, подвергнутых обработке в плазме при площадях антенны, равных 80, 160, 320 и 640 мкм2, соответственно. Эти величины N*t в 1,2—1,5 раза больше, чем в случае контрольных образцов, у которых ЛГ,«6,04 -Ю^см^-эВ-1. Рис. 8.15 иллюстрирует зависимости подпорогового наклона (5-фактора), порогового напряжения (V) и приведенной концентрации ловушек в окисле вблизи Рис. 8.16. Схема МОПТ с поликремниевой ан- границы ш (Г) от плотности теннои « / n \ поверхностных состоянии (/)„), определенной с помощью метода накачки заряда. Зависимости получены для приборов с различной площадью антенн. Поскольку все перечисленные параметры МОПТ коррелируют друг с другом через их связь с поверхностными состояниями, то любой из этих параметров может быть использован для количественной оценки и мониторинга нарушений в подзатворном диэлектрике, вызываемых процессом заряжения из плазмы. Максимальная крутизна ld( ^-характеристики Известно, что для «-МОПТ уменьшение крутизны gm характеристики Id(V) вызывается инжекцией горячих носителей, а также связанными с ней процессами генерации поверхностных состояний на границе раздела Si-Si02 и захватом электронов на ловушки с распределением гауссовского типа вблизи области стока [12, 13]. Образование поверхностных состояний приводит к уменьшению эффективной подвижности носителей в области канала, что и определяет уменьшение крутизны gm. Во время проведения плазменных технологических процессов, как например реактивного ионного травления (RIE), через плошадь затвора МОПТ в подзатворный окисный слой протекает ток, обусловленный потоком заряженных частиц, из плазмы на внешние электродные области затвора (антенны). Происходит процесс «равномерной» генерации вдоль канала поверхностных состояний на границе Si-Si02 и захват электронов на ловушки в объеме Si02. Подвижность носителей в области канала, а следовательно, и крутизна gm, падают. Это падение происходит не за счет индуцированного плазмой захвата электронов на ловушки, а за счет появления дополнительных поверхностных состояний. Величина уменьшения крутизны МОПТ определяется величиной тока заряжения из плазмы, который зависит от площади антенны (антенного коэффициента у = SJS0X, см. раздел 8.2.1). Относительное уменьшение крутизны пропорционально общей плотности поверхностных состояний, выраженной в 1/см2 [14, 15]. Как было установлено экспериментально, коэффициент пропорциональности составляет величину [6]: -0,104 +0,0193 lg(^), где Na — среднее значение поверхностной концентрации легирующей примеси.
8.2. Технологические процессы с использованием плазмы 715 j| Тогда соотношение между относительным изменением максимального значения крутизны (в линейной области Id{ ^-характеристики, при низком уровне смещения напряжения стока) и индуцированным плазмой приращением плотности состояний ANit (см2) можно записать в следующем виде [17]: [gmo-gm(y)]/gm(y)oc ANit (8.12) где gmo — исходная величина крутизны. Поскольку ANit характеризуется суммарным количеством заряда, прошедшим через окисный слой во время плазменной операции, то в работе [17] предложено количественную оценку деградации крутизны проводить с помощью изменения накопленного заряда до пробоя AQBD и антенного коэффициента у. Для исследования использовали тестовые образцы МОПТ, представленные на рис. 8.16. Площадь затвора составляла 5 мкм2 (W/L = 10/0,5 мкм). Антенный коэффициент изменялся от 26,4 до 3986,4. Толщина подзатворного окисла варьировалась в диапазоне 4—10 нм. Для плазменного травления использовали установку реактивно-ионного травления с магнетроном. Травление поликремния осуществляли в три этапа: удаление собственного окисла, основ-ное травление и процесс перетравливания. На рис. 8.17 и 8.18 представлены результаты измерений крутизны для различных антенных коэффициентов. Большие значения антенных коэффициентов означают большие уровни инжек- ции зарядов при плазменном экспонировании. Ранее в работах [18, 19] было показано, что плотность поверхностных состояний пропорциональна инжектируемому заряду при небольших уровнях инжекции, в то время как скорость увеличения плотности поверхностных со- 200 4нм / -+- т -1 *4 1000 2000 3000 4000 Антенный коэффициент Рис. 8.17. Зависимость максимальной крутизны от антенного коэффициента при различной толщине подзатворного окисла 0 1000 2000 3000 4000 Антенный коэффициент Рис. 8.18. Изменение относительной величины максимальной крутизны при изменении антенного коэффициента для различных толщин подзатворного окисла
16 Глава 8. Влияние технологических процессов на надежность МОПТ стояний приходит в насыщение при больших уровнях инжекции. Рис. 8.19 иллюстрирует такую зависимость. Поэтому насыщение относительного изменения крутизны gm на рис. 8.18 при высоких антенных коэффициентах указывает на то, что заряды, инжектируемые через затвор за счет антенного эффекта, генерируют поверхностные состояния на границе Si-Si02. С другой стороны, скорость генерации поверхностных состояний уменьшается с уменьшением толщины подзатворного окисла (рис. 8.19). Заряд до пробоя QBD Известно, что нарушения подзатворного окисного слоя, вызываемые антенным эффектом (плазменным током заряжения), приводят к уменьшению такого параметра МОП-структур, как величина 10 ,12 X н о о ^ р (О ■ Я 'Sin' Л о X ё а ло 10м -з- 10 10' 1(Г 10' Инжектируемый заряд (Кл/см2) заряда до пробоя QBD (Кл/см2), который определяет надежность соответствующего МОПТ [20]. Количественно это уменьшение AQBD равно суммарному заряду, инжектированному во время плазменной технологической операции. При этом предполагается, что можно пренебречь зависимостью от AQBD стрессового тока, обусловленного плазмой. На рис. 8.20 приведены зависимости «остаточной» величины QBD9 полученной в результате проведения плазменного процесса травления, от антенного коэффициента при толщинах окисного слоя 6 Рис. 8.19. Плотность поверхностных состояний на границе Si-Si02 как функция общего количества инжектированного заряда в и 8 нм. Соответствующие прямые линии окисел. Инжекция заряда выполнялась при проведены с помощью метода наимень- плотности стрессового тока 10 мА/см2 ших квадратов. «Собственная» величина 25 0 1000 2000 3000 4000 Антенный коэффициент 1 П 1 .W 0.8 s ~ 0.6 > 0.4 ьо w 0.2 П П 1 0 ^**~—* У^* : X ■ у ( Ц ф 8нм / А бнм / \J,\J ж — — 0 5 10 15 AQBD (Кл/см2) 20 Рис. 8.20. Зависимость «остаточной» величи- Рис. 8.21. Соотношение между относительны QBD от антенного коэффициента для раз- ным изменением крутизны и AQBD при различных толщин окисного слоя личных толщинах подзатворного окисла
8.2. Технологические процессы с использованием плазмы из QBD (исходное значение Qbdro проведения плазменного процесса травления), измеренная на контрольных образцах, почти совпадает с величинами, полученными экстраполяцией [20]. «Остаточная» величина QBD уменьшается пропорционально у или, другими словами, AQBD(y) увеличивается пропорционально у. Таким образом, деградация gm(y), возникающая в результате проведения плазменного технологического процесса, характеризуется величиной AQBD(t) На рис. 8.21 представлена зависимость [gmo - gm(y)]/gm(y) от A(?BD(y). Обычно для оценки надежности МОПТ используют в качестве критерия 10%- ное уменьшение крутизны. Тогда для подзатворного окисного слоя толщиной 8 нм этому соответствует допустимая максимальная величина AQBD = 0,9 Кл/см2 (см. рис. 8.21). Такое уменьшение заряда до пробоя является относительно небольшим по сравнению с величиной, соответствующей деструктивному пробою подзатворного окисного слоя (более 20 Кл/см2). Следовательно, приборные характеристики я-канальных МОПТ оказываются более чувствительными к индуцируемым плазмой нарушениям, нежели сама по себе надежность подзатворного окисного слоя. Из вышеизложенного можно сделать следующее очень важное заключение: относительное уменьшение крутизны я-МОПТ в результате проведения плазменных технологических операций можно достаточно просто предсказывать на основании AQBD(y) для МОП-емкостных структур без необходимости изготовления я-МОПТ. 8.2.3. Особенности плазменных процессов Основным фактором, влияющим на деградацию характеристик МОПТ при проведении плазменных операций, является ток заряжения, вызывающий нарушения в подзатворном диэлектрике. Существуют различные эффекты, которые в значительной степени определяют величину этого тока. К таким эффектам относятся антенный эффект, эффект геометрии межсоединений, эффект индуктивного контура, эффект уменьшения длины канала и др. Наиболее распространенными операциями в технологическом маршруте изготовления МОПТ являются процессы плазменного травления диэлектриков, поликремния, металла. Причем определяющее влияние на надежность получаемых МОПТ оказывают операции плазменного травления при формировании затворов и межсоединений. Антенный эффект Для исследования нарушений, возникающих в подзатворном диэлектрике при проведении плазменных технологических процессов, применяются различные методы измерения электрического пробоя диэлектриков и зарядов, захваченных в окисле на ловушки, влияющих на старение и надежность окисла. Для повышения чувствительности методик измерения обычно используются структуры с большими площадями подзатворного окисла, что, однако, приводит к искажению достоверных данных из-за увеличения общего числа дефектов в окисле (проколы, локальные утонения) [21]. По этой причине для исследования плазменных нарушений в МОП-структурах с малыми площадями было предложено использовать поликремниевые или металлические площадки большой площади, электрически соединенные с затвором МОП-структуры [22]. Площадки выполняли роль «антенны» для усиления влияния плазменного процесса на исследуемый тонкий окисел.
18 Глава 8. Влияние технологических процессов на надежность МОПТ Рис. 8.22 проиллюстрирует увеличение тока заряжения с помощью антенны. Количественно увеличение оценивается антенным коэффициентом yr = Af/Ag9 где Af — площадь антенны, Ag — площадь подзатворного диэлектрика в МОП-структуре. В дальнейшем понятие «антенного эффекта» стало широко использоваться для характеристики отрицательно- Рис. 8.22. Схема влияния антенны на величи- го влияния плазменных процессов на ну тока заряжения (FN-ток), протекающего деградацию подзатворых окислов при черезподзатворныйокисел-такназываемый формировании различных уровней ме- «антенный эффект» ^^ талла и межуровневого диэлектрика в интегральных схемах. При этом антенный коэффициент определяется как отношение площади металла, открытого для экспонирования в плазме (включая стенки металлической разводки), к площади подзатворного диэлектрика. Более детальное описание структурных схем и влияния антенного эффекта на надежность МОП- структур проводится в дальнейших разделах, посвященных рассмотрению различных эффектов, возникающих при плазменных процессах травления и осаждения. Влияние геометрии межсоединений Итак, стало понятно, что основным источником плазменных нарушений в подзатворном диэлектрике является ток заряжения, который возникает в результате собирания зарядов на антенны, соединенные с электродом затвора МОПТ. Для количественной оценки величины тока заряжения необходимо знать, какая часть антенны наиболее эффективно собирает заряды во время прохождения плазменного технологического процесса. Для проведения таких исследований [23] были изготовлены тестовые МОП-структуры с подзатворным окислом толщиной 11,6 нм. А1-межсоединения формировались реактивно-ионным травлением. Фоторезист удаляли, как обычно, плазменным методом. Контрольные пластины обрабатывались только жидкостным методом. Исследовались две группы тестовых структур. Первая группа состояла из МОП- структур с А1-антеннами прямоугольной формы и различной площади (1600, 4800, 8000 и 16000 мкм2); вторая — из МОП-структур с тонкими удлиненными А1- антеннами одинаковой площади Рис. 8.23. Ток заряжения в окисле приблизительно (40000 мкм2), но различной дли- пропорционален длине периметра Al-антенны и ной периферии (периметром), не зависит от формы и площади Фоторезист: Затвор Заряженные частицы из плазмы О О О О * ♦ ♦ ♦ Ь^^^^Ж^^^ -Антенна Изолирующий диэлектрик LOCOS Ток Фаулера-Нордгейма Подзатворный Si-подложка 100 5 О СО X 10 со В О Прямоугольная форма А1 антенн А О • Удлиненная форма А1 антенн Нормальное травление А1 Травление А1, закрытого резистом ■ ■ 1 10 ±JL_ 10' 10^ Длина периметра А1 антенны (мкм)
8.2. Технологические процессы с использованием плазмы 719 На рис. 8.23 представлена зависимость тока заряжения в под- затворном окисле при проведении процесса плазменного травления А1 для двух групп тестовых структур. Данные указывают на то, что ток заряжения в окисле не зависит от площади А1-антенн, а пропорционален длине периферии антенн. Это можно объяснить так. Во время травления основной части алюминия ток через подза- творный окисел практически отсутствует, так как алюминий через дефекты (по краю пластины) закорочен на кремниевую пластину. После удаления основной части алюминия заряды из плазмы собираются только по периферии антенн, поскольку остальная площадь антенн покрыта фоторезистом и блокируется от заряжения. Нижняя прямая на рис. 8.23 показывает ток заряжения при травлении А1-антенн, полностью закрытых фоторезистом. Видно, что величина тока заряжения через окисел незначительна по сравнению с величиной, соответствующей нормальному травлению А1. Стрессовый ток заряжения, протекающий через подзатворный окисел во время удаления фоторезиста, покрывающего антенны, (мкА. с §10$ о, PQ X заряжения о о 10 Время процесса бОмин Различное местоположение на пластине Н Ю' Площадь А1 антенны (мкм Рис. 8.24. Стрессовый ток заряжения, протекающий через подзатворный окисел во время плазменного удаления § Юз PQ Н Я Юг Ток заряя о Длительность процесса бОмин шы Различное у1Р\ местоположение ■о^*—^ на пластине ^ ^-"\ ^^ Наклон =1 О4 10* Площадь А1 антенны (мкм 2) 1 э' Рис. 8.25.Ток заряжения через подзатворный окисел при экспонировании тестовых структур в в плазме, показан на рис. 8.24. Ток плазме после удаления фоторезиста пропорцио- заряжения при экспонировании нален площади А1-антенны тестовых структур в плазме после удаления фоторезиста изображен на рис. 8.25. В обоих случаях ток заряжения прямо пропорционален площади антенн. Во втором случае он в четыре раза превосходит по величине ток заряжения при удалении фоторезиста. Это объясняется тем, что эффективное время экспонирования антенн в плазме без фоторезиста значительно выше, чем при наличии фоторезиста во время его удаления. Эффект индуктивного контура В технологическом оборудовании плазменного травления для улучшения таких характеристик, как анизотропность, равномерность и скорость травления,
Ш/20 Глава 8. Влияние технологических процессов на надежность МОПТ Затвор Плавкая перемычка -о Плавкая перемычка Исток Сток О- р Затвор Исток Сток Подложка а) О Подложка б) Рис. 8.26. МОПТ-структуры с индуктивной антенной: (а) тип А; (б) тип В используются магнитные поля. Формируются они либо импульсным источником мощности, либо источником мощности низкой или высокой частоты. В этом случае, если металлические линии межсоединений МОПТ образуют замкнутые контуры, то эти контуры становятся индуктивной антенной, которая взаимодействует с изменяющимся магнитным полем. В результате этого взаимодействия между концами металлической линии, содержащей или образующей контур, наводится электродвижущая сила — ЭДС (EMF) и возникает так называемый EMF-эффект. Проводилось исследование этой проблемы [24] с целью получения ответа на два вопроса: какая величина ЭДС приходится на подзатворный диэлектрик и достаточна ли эта величина для значимой деградации подзатворного диэлектрика? При этом нарушения нового типа, возникающие в подзатворном диэлектрике в результате EMF-эффекта, в отличие от нарушений, индуцированных токами заряжения из плазмы, названы индуктивными нарушениями. Исследования проводились на тестовых приборах: контрольных и приборах с двумя типами индуктивных антенн (рис. 8.26). Все образцы тестовых приборов содержали плавкие перемычки (предохранители) для защиты МОПТ от воздействия токов заряжения из плазмы. В тестовых приборах типа А (а) индуктивные антенны присоединены к затвору и подложке, в приборах типа В (б) — к затвору и стоку. В контрольных образцах индуктивные антенны отсутствовали. я-МОПТ-структуры с низко легированным стоком (LDD) и длиной канала 0,5 мкм имели подзатворный окисел толщиной 90 А. Травление поликремниевых затворов выполняли в установке с индуктивно- связанной плазмой (ICP), травление контактов — в установке реактивного ионного травления с магнитным усилением (MERIE), травление металла I — в стандартной установке реактивного ионного травления (RIE) с источником питания мощностью 600 Вт и частотой 13,56 МГц. После проведения всех технологических процессов формирования тестовых приборов и удаления предохранительных перемычек были измерены параметры транзисторов. На рис. 8.27 и 8.28 представлены кумулятивные вероятности для максимальной крутизны gm и подпорогового ^-фактора, по- £R 99.99 Н 8 * £ 95 1 в CQ 50 0? 30 2 20 55 ю § 5 Ё i 1 °л |>0.01 80. - 00 Г"л f Г/ i 1 i t 1 Р ш Кон! w СТр 0 Тип 1 90.00 100.00 110.00 120.00 130.00 140.00 150 Максимальная крутизна (мкСм) рольная уктура А В .00 Рис. 8.27.Кумулятивная вероятность для максимальной крутизны (gm)
8.2. Технологические процессы с использованием плазмы 721 jSS лученные из Ij(V) -характеристик тестовых приборов. Величины gm и ^-фактора приборов типа В имеют небольшое отклонение от значений параметров контрольных приборов. Приборы группы А имеют значительно большие отклонения. Кумулятивная вероятность порогового напряжения Vt только для приборов типа А отличается от контрольных приборов (рис. 8.29). Деградация параметров транзисторов у приборов типа ^ Л н о о X н роя CQ W СП X CQ 5 н « к ж ^ 99.99 99.9 99 95 90 80 70 50 30 20 10 5 I 0.1 0.01 ^w структура ■О Тип А О Тип В -L. 85.00 90.00 95.00 Г 00.00 S-фактор (мВ/де*ада) Рис. 8.28.Кумулятивная вероятность для ^-фактора А, представленных на рис.8.27—8.29, обусловлена генерацией ловушек и захватом зарядов на ловушки в объеме Si02 и на границе Si-Si02. Этот процесс генерации ловушек и захвата заряда возникает в результате воздействия на окисел электрического стресса, который индуцируется индуктивной антенной [24]: ^ос Mfsm(ft), (8.13) где Vi — электродвижущая сила в индуктивной антенне, М— взаимная индуктивность между контуром антенны и катушкой, создающей магнитное поле с частотой/ М зависит от геометрии и относительной ориентации антенны и катушки. В нашем случае Мсоставляет величину 40 нГс, а среднеквадратичная величина Vi равна 12 В. Из рис. 8.26 видно, что практически вся величина напряжения ^падает на подзатворном окисле. Из рис. 8.27—8.29 следует, что стрессовый ток, создаваемый индуктивной антенной, воздействует на характеристики МОПТ различным образом. Действительно, в тестовых приборах типа А стрессовый ток протекает через всю площадь подзатворного окисла и, следовательно, нарушения возникают во всем объеме окисла и по всей границе Si-Si02. В приборах типа В стрессовый ток протекает только в области перекрытия затвор/ сток и, следовательно, напряжения возникают только у края Si02, ближайшего к стоку. Этот вывод и подтверждается результатами деградации gm и -5* на рис. 8.27, 8.28. Комбинация величин gm и S отражает качество границы Si-Si02. Высокие значения S и низкие значения gm указывают на значи- Рис. 8.29. Кумулятивная вероятность для порогово- тельную деградацию приборных го напряжения контрольной структуры, структуры структур типа А. типа А> структуры типа В „Контрольная структура НЭ—Тип А 0.82 0184 0.86 0.88 0.90 0.92 0.94 0.96 0.98 Пороговое напряжение (В)
ЕЭ Глава 8. Влияние технологических процессов на надежность МОПТ Положительный сдвиг порогового напряжения для приборов типа А, показанный на рис. 8.29, свидетельствует о присутствии дополнительного отрицательного заряда в объеме подзатворного окисла. В приборах типа Б отрицательный заряд в Si02 практически отсутствует. Эти данные подтверждают (рис. 8.26) тот же самый вывод относительно места протекания стрессового тока в объеме Si02 для случаев (а) и (б). Таким образом, замкнутые металлические контуры межсоединений при плазменных процессах генерируют стрессовый ток в МОП-структурах. Этот ток вызывает так называемые индуктивные нарушения как на границе Si-Si02, так и в объеме Si02. Данные нарушения приводят к деградации основных характеристик МОПТ: Vt, gm и подпорогового ^-фактора. Эффект уменьшения длины канала Известно, что применение плазменных процессов при формировании межсоединений МОПТ вызывает увеличение дисперсии некоторых статических параметров МОПТ, особенно порогового напряжения Vth. Это объясняется разбросом размеров антенн, подсоединяемых к различным затворам схемы. Возникает и разброс уровня заряжения подзатворных окислов [25]. Для уменьшения токов заряжения в подзатворных диэлектриках стоковые и истоковые области МОПТ соединяют с электродами затворов [26]. Эти соединения действуют подобно защитным диодам, шунтирующим подзатворный диэлектрик и уменьшающим таким образом токи заряжения в этом диэлектрике. Детальные исследования влияния плазменных процессов при формировании слоев межсоединений особенно металлической разводки, подсоединенной к областям стока и истока, показали, что механизм образования нарушений в подза- творном диэлектрике более сложный, чем антенный эффект [27, 28]. В процессе плазменной обработки слоя межсоединений появляется разность потенциалов между электродами МОПТ Это явление аналогично электрическому стрессу прибора, который приводит к захвату положительного заряда на ловушки в подзатворном окисле в области стокового края канала. Величина положительного заряда достаточна для образования инверсии в этой области канала, что может являться причиной уменьшения эффективной длины канала. Этот эффект исследовался на трех группах тестовых LDD «-МОПТ структур с длиной канала 0,5 мкм и толщиной подзатворного диэлектрика 90 А. Все три группы имели идентичные «-МОП-транзисторы, но отли- Рис.8.30. Температурная зависимость коэффи- чались конфигурацией слоя металл-1 циента сегрегации NSi/Nm на границе Si-Si02 (рис. 8.30). Электроды всех транзи- для индия и бора сторов подсоединялись к контактным Затвор II гС"Н г-н П-у-П Исгок , ' ■ Сток I I Подложка Тип А Затворная антенна 1425х366мкм2 Сток Исток | Сток J-+-UJ П-у-П L-.f4...J [Ч дложка L-t-J Подложка I—J Подложка Ц_Д Подложка Контрольная структура Тип В
8.2. Технологические процессы с использованием плазмы 723Щ U "100 ПО 120 130 140 150 Максимальная крутизна, §т(мкОм"1) Рис. 8.31.Кумулятивная вероятность для максимальной крутизны структур ^ 99.99 ^ 99.9 площадкам 118 х 188 мкм2, за исключением тестовых структур типа В, у которых затвор соединялся с антенной размером 425 х 366 мкм2 для увеличения чувствительности этих приборов к токам заряжения при плазменных процессах. Все контактные площадки контрольных тестовых структур замыкались на подложку через плавкие перемычки, как показано на рис. 8.30 пунктирными линиями. Это сделано с целью исключения влияния плазменного технологического процесса на контрольные приборы. В структурах типа А плавкие перемычки замыкали сток-исток. В структурах типа В все контактные площадки оставались «плавающими». Последовательность формирования слоя металл-1 следующая: травление металла, процесс перетравливания, удаление фоторезиста и отжиг [29]. Процесс травления металла-1 осуществлялся методом реактивного ионного травления (RIE) в течение 30 с. Длительность процесса перетравливания составила 15 с. Отжиг выполнялся в форминг-газе в течение 30 мин. Перед выполнением тестовых измерений все плавкие перемычки удалялись. При тестовых измерениях максимальную крутизну gm определяли при Vd = 0,1В, пороговое напряжение Vth — при Vd = 4 В, ток зарядовой накачки 1ср измеряли на чистоте 1 МГц с использованием прямоугольных импульсов амплитудой 4 В (СР-метод накачки заряда). Результаты измерений величин gm, Vth и Icp, представленные на рис. 8.31—8.33, указывают на то, что деградация структур типа А значительно превышает деградацию структур типа В. Площадь антенны у структур типа А в 10 раз меньше, чем у структур типа В. Это означает, что антенный эффект не отвечает за механизм деградации приборных структур типа А. С другой стороны, известно, что при равномерном распределении плотности поверхностных состояний вдоль канала величина тока зарядовой накачки при амплитуде импульса на затворе 4 В и смещении ниже -4 В составляет небольшую величину [30, 31]. Примером этого служат характеристики контрольной структуры и структуры типа В (рис. 8.33). Однако для структур типа А ток зарядовой накачки имеет не только значительно большую величину, но и увеличенный по амплитуде хвост кривой Icp(Vbase) на рис.8.33 при 840 860 Ш 900 Пороговое напряжение (мВ) Рис. 8.32.Кумулятивная вероятность для порогового напряжения структур
(8/24 Глава 8. Влияние технологических процессов на надежность МОПТ ^ 1000 Структуры: —Q Контрольная , 0,5 мкм .6 -5 -4 -3 -2 -1 О Напряжение смещения импульсов на затворе (В) Рис. 8.33. Ток зарядовой накачки для структур типа А, типа В и контрольной напряжении смещения затвора ниже -4В. Такое поведение lcp(Vbase) объясняется поверхностными состояниями и положительным зарядом, захваченным на ловушки в окисле у края канала [28, 32]. Локализация нарушений у стокового края канала в структурах типа А подтверждается СР-измерениями, выполненными для МОПТ с плавающими электродами [33]. Результаты измерений представлены на рис. 8.34. Метод СР-измерений с плавающими электродами основан на том, что инверсия у краев канала возникает при меньшем напряжении затвора, чем инверсия в средней части канала, из-за различной концентрации неосновных носителей в этих частях канала. Когда верхний уровень импульса напряжения на затворе Vtop немного ниже порогового напряжения средней части канала, то края канала флюктуируют между режимом инверсии и режимом аккумуляции, а средняя часть канала — между режимом обеднения и режимом аккумуляции. Следовательно 1ср при этих условиях определяется поверхностными состояниями, расположенными у краев канала. Такой режим на рис. 8.34 представлен возрас- Рис.8.34.Токзарядовойнакачкидляструктур тающей ветвью /ф, где электроны, уча- типа А: обычной, с плавающим электродом ствующие в СР-цикле возле каждого истока, с плавающим электродом стока края канала, поставляются соседними £Ш)-областями, так как средняя часть канала остается в режиме обеднения. При отключении стока или истока соответствующий край канала не вносит свой вклад в величину 1ср, так как электронный поток блокируется. Поэтому разница величин 1срцдя обычной МОПТ-структуры и для МОПТ с плавающим электродом является количественной мерой плотности поверхностных состояний, расположенных у края канала, смежного с этим электродом. При Vtop > V(h все части канала находятся в режиме инверсии, и электроны могут течь из обеих ZDD-областей в любую точку канала. В этом случае отключение стока или истока не приведет к заметному изменению 1ср. Таким образом, измерения тока накачки заряда для структур типа А показывают, что нарушения в приборах этого типа, обусловленные плазменной обработкой, сформированы в виде положительного заряда на границе Si-Si02 и локализованы в подзатвор- ном окисле у стокового края. Причиной нарушений является инжекция горячих носителей, возникающая в результате появления между электродами МОПТ напряжения, вызываемого неоднородностью плазмы. Этот вывод подтверждается < ~ 800 ^ 700 § 600 5 500 Ж 400 о 300 Ю | 20° 6 100 го Нал Структуры: Ш Тип А , обычная 0 " Тип А , плаваюши и электро истока — ф Тип А , плавающи и электро стока f I II Г I ! j 6 -5 -4 >3 -2 >1 0 1 ряжение смещения импульсов на затворе ( 1| В)
8.2. Технологические процессы с использованием плазмы 725j| — Структуры перед HCS: 1110 ■ Контрольная -Тип А (прямое включение) .Тип А (обратное включение) Структуры после HCS: Контрольная Тип А (прямое включение) <£ Тип А (обратное включение) 80 90 100 ПО Максимальная крутизна , 120 130 п (мкОм-1 ) СР-измерениями для структур типа А с длиной канала 0,35 мкм (рис. 8.33), которые имеют еще более высокий уровень нарушений, что может быть объяснено только инжекцией горячих носителей. Для исследования надежности тестовых структур использовали метод НС- стресса (метод инжекции горячих носителей, см. гл. 7). При постоянном напряжении на стоке Vd воздействие НС-стресса на МОПТ зависит от напряжения на затворе Vg: 1. При Vg « Vxh доминирующим эффектом является инжекция дырок в подзатворный оксид в области края стока. 2. При Vg « 0,5 Vd имеет место инжекция дырок и электронов, сопровождающаяся генерацией поверхностных состояний со стороны стокового края канала. 3. При Vg > V6 основной эффект — это инжекция электронов в подзатворный диэлектрик в области края стока. Для исследования надежности использовали НС-стресс с Vg = 2 В и Vd = 5 В в двух режимах: прямого и обратного напряжения сме- Рис. 8.35. Кумулятивная вероятность максималь- щения стока (прямой и обратный ной крутизны для структур: контрольной и типа НС-стресс). На рис. 8.35 и 8.36 по- А до и после НС-стресса при прямом и обратном казана кумулятивная вероятность контрольной тестовой структуры и структуры типа А по параметрам gm и Vth до и после НС-стрессов. Основным результатом является большая деградация #отдля структур типа А при прямом НС-стрессе. В то же время при обратном НС-стрессе структуры типа А показывают деградацию по параметру gm, подобную контрольным структурам. Необходимо отметить, что контрольные структуры имели одинаковую деградацию параметров gm и Vth при прямом и обратном НС-стрессе, поэтому для контрольных образцов приводятся результаты только прямого НС-стресса. Учитывая, что Рис. 8.36. Кумулятивная вероятность порогового прямой НС-стресс воздействует со напряжения для структур контрольной и типа А стороны стоковой части прибора, перед и после НС-стресса при прямом и обрат- большая деградация gm в результате ном включении включении ^99.99 о О X н о вер « X ТИВ 0? Ч >> & 99.9 99 ад % 50 4Н '? .01 ——- Структуры перед HCS: ■ —0 Контрольная -е- - Тип А (обратное включение) - Тип А (прямое включение) —— Структуры после HCS: - ~0 Контрольная - Тип А (обратное включение) - Тип А (прямое включение) J I L 820 840 860 880 900 920 940 960 Пороговое напряжение, Vt (мВ)
26 Глава 8. Влияние технологических процессов на надежность МОПТ '" Перед стрессом ( HCS ) . . . • -После HCS (прямое включ.) mmm »mm ..После HCS (обратное включ.) прямого НС-стресса находится в согласии с предыдущими результатами, указывающими на локализацию нарушений при плазменной обработке в стоковой части канала. Кроме того, этот результат показывает, что стоковая часть канала не только больше нарушена, но и является наиболее уязвимой для электрического стресса. Значительный положительный сдвиг порогового напряжения Vfh после прямого НС-стресса (рис. 8.36) доказывает, что стресс способствует появлению отрицательного встроенного заряда в структурах типа А. Этот отрицательный заряд возникает, в большей части, благодаря нейтрализации положительного заряда, индуцированного плазменным процессом в подзатворном окисле. Нейтрализация происходит в результате инжекции электронов в течение НС-стресса [34, 35]. Поскольку в приборах типа А сдвиг Vth в результате обратного НС-стресса отсутствует, то можно сделать также вывод о том, что большая часть дефектов в подзатворном окисле, индуцируемых плазмой, локализована вблизи стоковой части канала. Для того чтобы выяснить, какую роль играют поверхност- Рис. 8.37. Ток зарядовой накачки для структур ные состояния в деградации gm, контрольной и типа А перед и после НС-стресса контрольные образцы структур и (К = К = 5В в течение 1 мин) при прямом и об- структуры типа А были подвер- ратном включении гнуты НС-стрессу при условии Vd = Vg = 5 В в течение 1 мин в режиме прямого и обратного смещения. Как было определено выше, при этих условиях отсутствует генерация значительного числа дополнительных поверхностных состояний, но ожидается увеличение инжекции электронов в подзатворный окисел со стороны стоковой части канала. После НС- стресса были проведены измерения 1ср и^с результатами, представленными на рис. 8.37 и 8.38. Как ожидалось из условий НС- стресса, значительного изменения -6 -5 -4 -3 -2 -I 0 1 Напряжение смещения импульсов на затворе (В) - Перед стрессом (HCS) ■ После HCS (прямое включ.) - После HCS (обратное включ.) S 60 1.5 2 Напряжение на затворе (В) 2.5 максимальной величины /ср не по- Рис. 8.38.Максимальная крутизна структур кон- лучено. Однако для К^ниже -4 В трольной и типа А при Vd = О,1В перед и после НС- характеристика / структур типа А после НС-стресса становится бо- стресса, аналогичному на рис. 8.37.
8.2. Технологические процессы с использованием плазмы 72 лее крутой, чем перед стрессом, как в режиме прямого смещения, так и обратного. Поскольку наличие хвоста у характеристики / объясняется положительным зарядом вблизи края канала прибора, то уменьшение 1ср можно объяснить только уменьшением эффективного положительного заряда, захваченного в окисле, и, таким образом, увеличением локального порогового напряжения. Положительный захваченный заряд в окисле хорошо согласуется со следующей моделью деградации gm. Величина положительного заряда, захваченного в окисле у стокового края канала перед НС-стрессом, достаточно большая для того, чтобы инвертировать часть канала и таким образом уменьшить длину канала. Инжекция электронов в процессе НС-стресса в режиме прямого смещения приводит к уменьшению эффективного положительного заряда в окисле и, следовательно, к частичному восстановлению длины канала. Таким образом, основная часть деградации gm происходит не за счет дополнительной генерации поверхностных состояний (что подтверждается результатами НС-стресса на контрольных структурах), а за счет увеличения длины канала. С точки зрения надежности эффект уменьшения длины канала приводит к зависимости статических параметров приборов типа А от любой инжекции зарядов, которая вызывает изменение величины захваченного положительного заряда в окисле. В субмикронных МОПТ контроль длины канала в процессе их изготовления является основой получения высокой надежности, поскольку характеристики МОПТ являются функцией длины канала. Это подчеркивает важность и необходимость при проектировании и при изготовлении субмикронных МОПТ учитывать эффект уменьшения длины канала. Краевой эффект При формировании поликремниевого затвора МОП-транзистров с использованием реактивного ионного травления (RIE) большую роль играет топология затворного электрода. Исследования так назывемых полевых приборов (края электрода затвора располагаются на полевом диэлектрике) и затворных приборов (края электрода располагаются на подзатворном диэлектрике) показали, что в результате бомбардировки из плазмы ионами и фотонами в подзатворном диэлектрике по краям электрода затвора в затворных приборах возникают дополнительные значительные краевые нарушения (рис. 8.39) Вольт-амперные характеристики МОП-структур после RIE, представленные на рис. 8.39 [1], показывают что переходной ток затвора при положительном импульсе (обеднение) значительно больше у затворных приборов. Это доказывает, что нарушения Рис. 8.39. Вольт-амперные характеристики МОП возникают в подзатворном диэ- структур. Более высокий ток затвора у «затворных лектрике в результате прямого приборов» обусловлен нарушениями в подзатворном экспонирования при плазмен- окисле, вызванными «краевым эффектом» п+-попикремний Напряжение на затворе ( В )
н[728 Глава 8. Влияние технологических процессов на надежность МОПТ ном травлении поликремния, в отличие от полевых приборов, где возможны лишь нарушения в результате протекания токов заряжения [36]. Более детальные исследования этого краевого эффекта показали, что дефекты в обоих типах приборов отжигаются при 400°С в форминг-газе, однако дефекты являются скрытыми, поскольку они снова появляются после FN-стресса [37]. 8.2.4. Процессы осаждения в плазме Известно, что химическое осаждение из газовой фазы в присутствии высококонцентрированной плазмы (HDPCVD) обеспечивает получение качественных слоев двуокиси кремния, используемых в роли диэлектрической прослойки между уровнями металлической разводки ИС [38]. При этом достигается очень хорошая степень заполнения углублений (щелей) по сравнению с обычным методом химического осаждения из газовой фазы, активируемого плазмой (PECVD). Однако нарушения в подзатворных окислах МОПТ, возникающие во время проведения HDPCVD-осаждения, могут приводить к значительным токам утечки в цепи затвора или к преждевременному пробою этих подзатворных окисных слоев во время тестовых испытаний или в процессе эксплуатации соответствующих МОПТ-ИС. Механизм нарушений идентифицируется с заряжением подзатворных окисных слоев во время проведения плазменных процессов [7, 39]. В соответствии с моделью нарушений, вносимых плазмой, и рассмотренной в разделе 8.2.1, неоднородность плазмы приводит к разбалансу локальных ионных и электронных токов. В результате происходит заряжение поверхности пластины и увеличение поверхностного потенциала до тех пор, пока не установится баланс локальных токов ОПЗ плазменного разряда, либо туннельный ток Фаулера—Нордгейма, протекающий через подзатворные окисные слои, не сбалансирует локальную разницу ионного и электронного токов. Этот туннельный ток вызывает нарушения в подзатворных окисных слоях. Более концентрированная (плотная) плазма в случае HDPCVD-процесса (по сравнению с обычными PECVD-процессами) обеспечивает большие ионные и электронные токи к поверхности пластины. Поэтому любая неоднородность плазмы при HDPCVD-процессах приводит к более значительным нарушениям в подзатворных окисных слоях, чем при обычных PECVD-процессах. В работе [40] исследованы нарушения подзатворных окисных слоев, вызываемые процессами осаждения Si02 в PECVD- и HDPCVD-процессах. Для этого на пластинах были изготовлены МОП-структуры с по- лицидными затворами 0,5 х 5,0 мкм2 и подзатворным окислом Окисел, осаждённый с использованием плазмы -^г^ V J I Металлическая антенна | 1 I 1, „'——. /*-" Межуровневый диэлектрик "*з-*£ k Подзатворный Полицидный ротирующий окисел затвор окисел толщиной 9,0 нм. В качестве первого уровня металла использо- Рис. 8.40. Схема МОП-структуры с металлической вались металлические антенны с антенной, используемой для измерения нарушений, различной площадью (рис. 8.40). вносимых в подзатворный окисел при химическом Антенный коэффициент варьи- осаждении двуокиси кремния из газовой фазы в при- ровался от 6000 до 200000. Каче- сутствии плазмы ство ПОДЗатворного окисла про-
8.2. Технологические процессы с использованием плазмы 73 верялось на автоматическом тестере по току утечки на затворе при условии Vg = 3,6 В. Если ток утечки < 1 нА [41], такой транзистор признавался годным. Для исследования влияния процессов плазменного осаждения на деградацию подзатворного окисла после формирования первого уровня металла (антенны) на пластины осаждался окисел толщиной 200 нм с использованием коммерческих PECVD- и HDPCVD-установок [42]. Перед проведением электрических тестовых измерений осажденный окисел над металлическими площадками удалялся жидким травлением. Установка PECVD представляла двухчастотную систему с параллельными пластинами с двумя источниками мощности 13, 56 МГц и 300 кГц. Установка HDPCVD детально описана в работе [42]. В ее состав входила рис.8.41.Схемараспределения магнитных по- цилиндрическая микроволновая ка- лей в HDPCVD установке: (а) радиальное маг- мера 20 см высотой и 20 см в диаметре, нитное поле, (б) аксиальное магнитное поле В ней создавалась высокой плотности плазма из ионов аргона и кислорода при электронно-циклотронном резонансе (микроволновая частота -2,45 ГГц, магнитное поле 0,0875 Т). Ионы вводились в реакционную камеру и вступали в реакцию с SiH4 для формирования окисла кремния на поверхности пластины. Пластина размещалась на электростатической подложке с системой контроля и поддержания температуры подложки во время технологического процесса. Через подложку к пластине прикладывалось напряжение на частоте 13,56 МГц для ускорения ионов из плазмы по направлению пластине. Ионы аргона в реакционной камере выполняли роль компоненты распыления, препятствуя преждевременному схлопыванию зазоров между металлической разводкой и обеспечивая хорошее заполнение зазоров. Две магнитные катушки, расположенные ниже пластины, позволяли манипулировать магнитным полем у поверхности пластины для оптимизации однородности осаждаемого окисла. Форма магнитного поля изменялась таким образом, что у поверхности пластины могла превалировать либо радиальная составляющая, либо аксиальная составляющая магнитного поля (рис. 8.41). Результаты Рис. 8.42 иллюстрирует появление повреждений (ток утечки через затвор > 1 нА при Vg = 3,6 В) после проведения процесса осаждения окисла на установках PECVD и HDPCVD при различных антенных коэффициентах тестовых структур. Микроволновой резонатор Линии Магниты для ачектронно- циклотронного резонанса Реакционная камера Магниты, формирующие радиальное и аксиальное мгнит- ные поля Микроволновой резонатор ч Линии магнитного f Магниты для электронно- циклотронного резонанса Реакционная камера Магниты, формирующие радиальное и аксиальное мгнит- ные поля
Ш/30 Глава 8. Влияние технологических процессов на надежность МОПТ в 100 13 Си >> Й «в о S 40 К 1 29 Си - 1 ' » —е— pecvd -—fl - HDPCVD (оптимизирован) / / г • ■ / / / о 0 * ■ ' ' и ■ V"" —■■■ С 10» 104 105 1 Антенный коэффициент 0* Видно, что процесс HDPCVD вызывает появление значительного числа повреждений при антенных коэффициентах более 60 000. Для того чтобы выявить факторы, которые наиболее влияют на появление повреждений в HDPCVD-процессе, образцы транзисторов были разбиты на группы, каждая из которых участвовала в процессе осаждения окисла в соответствии с табл. 8.3. Полученные данные указывают на то, что из четырех факторов Рис. 8.42. Процент поврежденных МОП-структур (миКр0волновая мощность, ВЧ- (ток утечки затвора > 1 нА при К = 3,6 В) как \ . « g т* мощность, магнитное поле, элек- функция антенного коэффициента. Измерения проводились после осаждения и жидкостного тросгатическии потенциал удер- травления окисла жания плазмы (ECS-напряжение)) главное влияние на возникновение повреждений оказывают мощность микроволнового поля и форма магнитного поля у поверхности пластины. Магнитное поле эффективно влияет на траекторию движения электронов из-за их малой массы по сравнению с ионами. Радиальная компонента магнитного поля заставляет электроны пересекать область пространственного заряда плазмы в радиальном направлении, уменьшая разницу электрического потенциала по радиусу (за исключением центра пластины). Аксиальная компонента магнитного поля препятствует радиальному потоку электронов, что может вызвать большую разницу потенциала в радиальном направлении. Это приводит к большой неоднородности плазмы у поверхности пластины и к большим зарядовым токам и, следовательно, к большому числу повреждений по всей поверхности пластины (рис. 8.43). С учетом полученных результатов дальнейшие эксперименты были выполнены при различной микроволновой мощности: от 1 500 до 2 500 Вт. Магнитное поле поддерживалось постоянным при условии получения минимальной степени неоднородности осаждения; электрическое напряжение на подложке — 600 В; ВЧ-мощность — 800Вт. Данные, отражающие влияние микроволновой мощности Табл. 8.3. Влияние условий (факторов) проведения технологического процесса осаждения Si02 на процент поврежденных структур (ток утечки затвора >1 нА при V = 3,6 В) [41] Факторы Микроволновая мощность, Вт 1 500 1 1500 1 1500 1500 2 500 2 500 2 500 2 500 Профиль магнитного поля Радиальный Радиальный Аксиальный Аксиальный Радиальный Радиальный Аксиальный Аксиальный Электростатический потенциал (ECS), В 0 -600 0 -600 0 -600 0 -600 ВЧ- мощность, Вт 800 0 0 800 0 800 800 0 Время осаждения, с 42 25 19 21 26 32 20 15 Поврежденные структуры, с% 0.0 0.0 0.0 0.0 19.0 14.3 95.2 97.6 1
8.2. Технологические процессы с использованием плазмы 731 ш< а) б) □ В ЗГОЙ ОблаСТИ МОЕШСЖДСН структуры отсутствуют I В этой области на некоторых пластинах I имеются поврежденные МОП структуры IB этой области на .всех пластинах имеются поврежденные МОП структуры 100 80 60 40 [Микроволновая мощность: / \ Г X 1500Вт О 1700Вт + 1900Вт . .ф. .2100Вт -♦- -2300Вт —»— 2500Вт / Р А / * 1 / / J / / 1 ш » 1 Г / / 1 / / ] L / / J [ / Л \ [ 1— i f|Trt О ' 'О ' Г|'г CD ' ■'"■'» о а 103 104 10$ Антенный коэффициент 10* Рис. 8.44. Процент поврежденных МОП-структур (ток утечки затвора > 1 нА при Vg = 3,6В) как функция антенного коэффициента при различной микроволновой мощности, используемой в процессе осаждения окисла в HDPCVD-установке. Токи в магнитах радиального и аксиального поля по 20 А на зарядовые нарушения в подзатворном диэлектрике, представлены на рис. 8.44. При низкой микроволновой мощности повреждения, вызванные увеличением тока утечки затвора отсутствуют. При повышении микроволновой мощности более 2100 Вт и антенного коэффициента более 20000 появляются значительные повреждения подзатворного окисла. Результаты указывают также на то, что время осаждения не является первостепенным фактором, влияющим на повреждения, так как процесс осаждения при большой мощности требует значительно меньшего времени для получения 200-нм Рис. 8.43. Распределение по пластине окисной пленки. Таким образом, высокая ми- повреждений МОП-структур с антен ным коэффициентом 200 000. Измере кроволновая мощность может быть использо- осаждения окисла на HDPCVD- установке при микроволновой мощности 2500 Вт: (а) радиальное магнитное поле, (б) аксиальное магнитное поле вана только в том случае, если на пластине от- ния проводились на 4-х пластинах для Г \, , сутствуют транзисторные структуры с большим каждой формы магнитного поля после ,. « антенным коэффициентом. В том случае, если такие структуры имеются, необходимо использовать умеренную микроволновую мощность. Как было отмечено ранее, зарядовые нарушения появляются в подзатворном окисле при протекании через него туннельного тока Фаулера—Норд гейма (FN). Если металлическая антенна покрыта пленкой окисла толщиной, достаточной для того, чтобы предотвратить протекание FN- туннельного тока, то последующее экспонирование антенных структур в неоднородной плазме не приведет к появлению зарядовых нарушений в подзатворном окисле. Это утверждение было проверено путем предварительного осаждения пленки окисла 150 нм в PECVD-процессе или 200 нм в HDPCVD-процессе (при
/032 Глава 8. Влияние технологических процессов на надежность МОПТ низкой мощности) с последующим осаждением окисла в HDPCVD-процессе при высокой мощности. В результате получено: токи утечки затвора, вызывающие повреждения структуры, отсутствовали как в том, так и в другом случае (рис. 8.45). Таким образом, первоначальная пленка окисла толщиной 150 нм, осажденная в условиях, не привносящих повреждений, может защитить подзатворный диэлектрик от последующих зарядовых нарушений при плазменных процессах. 8.2.5. Скрытые нарушения при плазменных процессах Дефекты в подзатворном окисле и поверхностные состояния на границе Si-Si02, привносимые плазменными процессами, могут находиться в скрытом состоянии [43]. Причем скрытое состояние продолжается до тех пор, пока дефекты не активируются электрическим стрессом. Это явление имеет большое значение, поскольку скрытые нарушения могут позволять интегральным схемам проходить финишную тестовую проверку, хотя при дальнейшей эксплуатации ИС скрытые нарушения активируются и вызывают деградацию приборных параметров. зс -в—PECVD/HDPCVD- ЙЩВЙ&Ь ■U) X -HDPCVD-низкая/высокая мощность "|3)— О» «HDPCVD-высокая мощность Опытные МОПТ для выявления скрытых дефектов Для исследования скрытых нарушений заряжения, возникающих в подзатворном окисле при плазменных процессах травления, использовали LDD-МОПТ- структуры с затвором 0,5 х 5,0 мкм2 и подзатворным окислом толщиной 90 А. На основе этих структур были изготовлены тестовые модули трех типов [9]. Первый тип модуля, в дальнейшем называемый модулем поликремниевого травления, содержал поликремниевые антенны с различными антенными коэффициентами уг = 5570, 14470 и 62000 и соответствующими периметрами поликремниевого затвора 30, 480 и 1600 мкм. Антенны были активными при собирании заряда в процессе плазменного травления поликремния, так как на этой стадии поли-Si был уже активирован. Размеры металлических площадок металла-1 и число контактных окон — четыре — одинаковы у всех транзисторов. Поэтому эти модули были в основном чувствительны к нарушениям, вносимым только при плазменном травлении поликремния. Второй тип тестового модуля, в дальнейшем называемый модулем контактного травления, SR 100 80 60 40 20 103 *^#-* ■#- I04 105 Антенный коэффициент 10* Рис. 8.45. Процент поврежденных МОП-структур как функция антенного коэффициента для трех режимов осаждения окисла: 1) осаждение 150-нм окисла в PECVD-установке с последующим осаждением в HDPCVD-установке с высокой мощностью, 2) осаждение 200-нм окисла в HDPCVD-установке отличался от первого тем, что при низкой мощности с последующим осаждени- транзисторы с различными ан- ем в HDPCVD-установке с высокой мощностью, 3) тенными коэффициентами име- осаждение окисла в HDPCVD-установке с высокой ли различное число контактных мощностью окон транзисторы с уг = 5570,
8.2. Технологические процессы с использованием плазмы 733))) 14470 и 62000 содержали соответственно следующее количество контактных окон Нс (contact holes) с размерами 58 х 58, 66 х 135 и 183 х 213. Размер контактных металлических площадок выдерживался одинаковым для всех транзисторов. Поэтому транзисторы этого модуля были чувствительны к нарушениям, вносимым как при плазменном травлении поликремния, так и при травлении контактов. Третий тип тестового модуля, в дальнейшем называемый контрольным модулем, содержал транзисторы, изготовленные с малыми величинами уг =20 и Нс = 4. Кроме того, эти транзисторы имели защитные диоды для исключения зарядовых нарушений, вносимых при плазменном травлении металла-1. Транзисторы в этом модуле служили контрольными транзисторами с очень небольшими привносимыми плазменными нарушениями. Анализ «-канальных МОПТ осуществлялся путем измерения вольт-амперных характеристик Id(Vg) и основных параметров транзисторов: порогового напряжения Vp максимальной крутизны gm и подпорогового 5-фактора. Измерение параметров транзисторов проводили после завершения технологического процесса изготовления: перед постметаллизационным отжигом металла-1 (РМА), после РМА, а также после FN-стресса. Электрический ток при FN-стрессе вызывается электронами, туннелирующими с уровня Ферми поликремния в зону проводимости окисла. Когда электроны туннелируют в окисел, часть из них может быть захвачена ловушками в окисле. При дальнейшем повышении приложенного напряжения свободные электроны приобретают энергию, достаточную для ударной ионизации. В результате генерируемые электроны двигаются быстро к Si благодаря их высокой подвижности в окисле. Генерируемые электроны и дырки в некоторых случаях могут приобретать достаточно высокую энергию для того, чтобы вызвать разрыв связей и увеличение числа ловушек в окисле. Постоянный ток, используемый в эксперименте в процессе FN-стресса, составлял 1 нА/мкм2 в течение 5 с. При этом в процессе стресса к затвору прикладывалось положительное напряжение, т.е. электроны инжектировались из Si-подложки. Для количественной оценки заряда в окисле и поверхностных состояний использовали параметр Vt, который в соответствии с уравнением (8.8) раздела 8.2.2, имеет следующий вид: ^„-^Д^^'+гт,, (8.14) ^ОХ ^ОХ где Qox — включает заряды, находящиеся в объеме окисла QoP и поверхностные состояния Z)it, находящиеся на границе Si02 -Si. Для определения Vt использовали два метода. Первый — определение Vt из линейной области характеристики Id( Vg) при Vd < Vg — Vt, второй метод — определение Vt из зависимости V/rf от Vg, когда Kd > Vg — Vv т.е. транзистор работает в режиме насыщения. Максимальная крутизна gm =[max AId /AVg |v ] — есть строгая функция приборных размеров, которые в данном случае выдерживались во всех транзисторах одинаковыми, и эффективной подвижности носителей в области канала. Образование поверхностных состояний на границе Si02-Si приводит к уменьшению подвижности носителей и, следовательно, к деградации gm. Величина gm в данной работе измерялась в линейном режиме работы транзистора (Vd < Yg — Vt). Подпороговый ^-фактор дает количественную оценку плотности поверхностных состояний и определяется диффузионным током от истока к стоку, когда ка-
к(^34 Глава 8. Влияние технологических процессов на надежность МОПТ нал находится в режиме слабой инверсии. Для 5-фактора использовалось следующее выражение: s=- Q \ сox ) Условные обозначения в уравнении (8.15) такие же, как в уравнении (8.9) (8.15) Влияние плазменных процессов на параметры МОПТ На рис. 8.46 представлена кумулятивная вероятность (в %) для порогового напряжения всех трех тестовых модулей. Величина Vt (измеренная методом 1) для МОПТ-транзисторов модулей поликремниевого и контактного травления имеет положительный сдвиг относительно контрольных транзисторов. Это означает, что в подзатворный окисел этих транзисторов при плазменном травлении вводится дополнительный отрицательный заряд, обусловленный большими антеннами. Последующий стандартный РМА-отжиг (отжиг после формирования металла-1) в форминг-газе (6% Н2 и 94% N2, при 400°С, 30 мин) уменьшает сдвиг порогового напряжения. Аналогичные результаты были получены относительно параметров gm и Sдля транзисторов трех тестовых модулей. На рис. 8.47 демонстрируется распределение Vt (измеренное методом .1) для транзисторов модуля контактного травления с различными антенными коэффициентами уг и различным числом контактных окон Нс. Из рисунка следует, что встроенный отрицательный заряд, соответствующий положительному сдвигу Vt, перед РМА больше для транзисторов с большими уг и Нс. Однако после РМА распределение Vt становится более плотным, а зависимость средней величины Vt от уг и Нс становится незначительной. Кроме того, отмечено отсутствие корреляции параметров год» 5.00 0.10 0.01 б) После РМА Тестовые модули: + контрольны й 0.90 1.00 1.10 1.20 Пороговое напряжение ( В ) 99 99 99.90 99.00 95.00 80.00 % 50.00 20.00 5.00 1.00 0.10 0.01 I i Перед отжигом После отжига q »» ° 0 Уг -5570; Ц: =5Э< 58 ► Уг =14470; Нс =66x135 ■ Уг =62000;Нс =183x213 1 , ! . 1.00 1.25 1- Пороговое напряжение ( В ) 50 Рис. 8.46. Пороговое напряжение (измеренное методом 1) для тестовых модулей перед и после отжигов (РМА) Рис. 8.47. Пороговое напряжение (измеренное методом 1) для тестовых модулей контактного травления. Измерения проводились до и после отжигов
8.2. Технологические процессы с использованием плазмы 73 S* 99.99 99.90L 99.00 95.00 80.001 50.00 20.00! 5.00 1.00 { г ► Уг =5570; Нс =58x58 Q уг =14470;Нс =66х 135 I уг =62000;Нс = 183* 213 / 7,5 10.0 12.5 1S.0 Максимальная крутизнаСОм'^-Ю"5 Рис.8.48. Распределение максимальной крутизны для тестовых модулей контактного травления. Измерения проводились после отжига (РМА) и стресса (1,0 нА/мкм2; 5 с). Стрелка показывает максимальную крутизну после РМА и перед FN-стрессом gm и S (не показаны) для транзисторов с уг и Нс (рис. 8.47). Аналогичное отсутствие зависимости (или малая зависимость) параметров Vt, gm и S от уг и Нс после РМА отжига было получено в работе [43]. Такое исчезновение антенного эффекта является неожиданным. Наиболее вероятны два механизма уменьшения влияния плазменных нарушений заряжения на Vn gm и 5 и уменьшения антенного эффекта: РМА восстанавливает разорванные связи, вызванные плазменными нарушениями заряжения; • РМА пассивирует разорванные связи. Эти восстановленные или пассивированные дефекты названы «скрытыми» дефектами. Термин «скрытые» достаточно точно отражает сущность этих дефектов, поскольку они (как будет показано далее) становятся электрически активными при воздействии стресса. Ниже также будет показано, что пассивирующим агентом является водород. Влияние FN-стресса на максимальную крутизну gm МОП-транзисторов в модулях контактного травления иллюстрируется рис. 8.48. Стрелкой обозначена средняя величина gm для этих же транзисторов перед FN-стрессом. Средняя величина gm (а также и S) была практически одинакова и не зависела от величины уг и Нс. Распределения для gm и S после РМА перед FN-стрессом на рис. 8.48 не показаны. Это сделано для того, чтобы не перегружать рисунок. Представленные результаты говорят о том, что после FN-стресса появляется корреляция между gm и коэффициентами уг и Нс. При этом деградация gm увеличивается с увеличением уг и Нс. Кроме того, для модулей с уг = 62000 существует два распределения gm. Это объясняется тем, что распределение плотности нарушений заряжения по пластине (от центра пластины к краям) неравномерное и увеличивается с увеличением антенного коэффициента. Из полученных данных можно сделать следующие выводы: • при экспонировании в плазме на границе Si02-Si генерируются дефекты; их плотность коррелирует с площадью поликремниевых антенн и числом контактных окон; после проведения постметаллизационного отжига дефекты становятся электрически неактивными, корреляция между антенными коэффициентами и параметрами gm и S отсутствует. • корреляция между антенными коэффициентами и параметрами gm и Sпоявляется после активизации дефектов FN-стрессом. Подобное «пробуждение» демонстрируют и дефекты, вносимые плазмой в объеме подзатворного окисла (рис. 8.49). На этом рисунке изображены распределения величины порогового напряжения Vt (измеренные методом 1) для модулей поликремниевого травления, имеющих различные уг и одинаковые Нс. Как следует из рисунка, в отличие от модулей контактного травления (рис. 8.47), распределение V для модулей
|f?36 Глава 8. Влияние технологических процессов на надежность МОПТ 99.99 99*90 99.00 95.00 80.00 % 50.00 20.00 5.00 1.00 0.10 0.01 I I I Перед отжигом После отжига ^ I/ & ••■ 0.8 - м&* ш§ Ш D Bce Yr ЩЩ OP. « Yr =5570 CTl9 01 О Yr =14470 После отжига и стресса ■ Yr =62000 1 I 1 - 1 1 - L 1.1 1.4 1.7 2.0 Пороговое напряжение (В) Рис. 8.49. Распределение порогового напряжения (измеренное методом 1) для модулей поликремниевого травления с различными уг и одинаковыми Яс. FN-стресс выполнен при токе 1,0 нА/мкм2 в течение 5 с поликремниевого травления не зависит от величины антенного коэффициента как до РМА, так и после РМА. Поведение распределений gm и Sjjjm транзисторов, представленных на рис. 8.49, аналогично Vt (не показаны). Следовательно, присутствие водорода при осаждении межслой- ного диэлектрика достаточно для пассивации дефектов. Однако после проведения FN-стресса возникает зависимость Vx от антенного коэффициента уг, причем, чем он больше, тем больше сдвиг порогового напряжения Vr Поскольку ко всем транзисторам был приложен одинаковый FN-стресс и поскольку Vt имеет наибольшую чувствительность 99.991 50 0.01 99.99! 50 till I 0.01 (b) Отжиг в N2 при 200°С (ЗОмин) V J I L 50 Ь 0.01 (с) Отжиг в форминг газе при 200°С (ЗОмин) • Уг =5570;Нс =58- 58 а» Уг = 14470;Н =66-135 Д A Yr=62000;Hc =183*213 I I I 0.8 1.0 1.2 1.4 1.6 1.8 Пороговое напряжение (В) Рис. 8.50. Распределение порогового напряжения (измеренного методом 2) для модулей контактного травления. Светлые символы — это транзисторы, которые не подвергались FN-стрессу. Темные символы — те же транзисторы после FN-стресса (параметры стресса, аналогичные рис. 8.49) (а) Без отжига (б) Отжиг 300°С в среде аргона 30 мин. %50 h 0.01 (в) Отжиг 300°С в форминг газе 30 мин. 5570;НС =58X58 а» уг = 14470;Ц, =66x135 Д A Yr=62000;H; =183x213 _1 I I 0.8 1.0 1.2 1.4 1.6 1.8 Пороговое напряжение ( В ) Рис. 8.51. Распределение порогового напряжения (измеренного методом 2) для транзисторов модуля контактного травления. Свет- лые символы — транзисторы перед FN-стрессом. Темные символы-транзисторы после FN-стресса: ток 1,0 нА/мкм2, 5 с
8.2. Технологические процессы с использованием плазмы 73 Тт (а) Перед отжигом (б) После отжига 200°С в среде азота, 30 мин • 4 к зарядам в объеме подзатворного окисла, то можно сделать заключение о том, что FN- стресс проявляет (вскрывает) нарушения заряжения в объеме подзатворного окисла, индуцируемые плазмой. Следовательно, индуцируемые плазмой дефекты в объеме окисла могут быть также скрытыми и электрически активируются FN-стрессом подобно дефектам на границе Si02-Si. Распределения параметров^ и Sдля транзисторов, представленных на рис. 8.49, имеют поведение, аналогичное Vt (не показано) [9]. Влияние отжигов Влияния на параметры тестовых модулей различной температуры определялось в среде Ar, N2 и в форминг-газе (6% Н2 +94% N2) при температуре в диапазоне 200—400°С [9]. Влияние отжигов в различных средах при температуре 200 и 300°С на распределение величин порогового напряжения (измеренных методом 2) для модулей контактного травления иллюстрируется на рис. 8.50 и 8.51. Рис. g.52. Распределения подпорогового После завершения технологического про- S-фактора для транзисторов модуля кон- цесса изготовления тестовых модулей и перед тактного травления. Светлые символы — проведением отжига наблюдается слабая за- транзисторы перед FN-стрессом. Темные висимость Vt от уг и Нс. Эта зависимость прак- символы - те же транзисторы после FN- тически исчезает после проведения процессов стРесса: ток ! >° нА/мкм , 5 с отжига при 200°С в среде N2 и 300°С 99.991 Уо 50 0.01 99.99] % 50 0.01 99.99) %50 0.01 (в) После отжига 200°С в форминг-газе, 30 мин оа Д :5570;Н =5» 58 О a Yr=l4470;Hc=66< 135 Д A Yr=62000;Hc =183= 2D -J 80 100 120 140 Подпороговый S-фактор (мВ/декада) % в среде Аг, но увеличивается после проведения FN-стресса. Такое же поведение Vt наблюдается на рис. 8.47. Результаты отжига тестовых модулей в форминг-газе (рис. 8.50, в и 8.51, в) обнаруживают появление резкой зависимости величины нарушений запряжения в подзатворном окисле от уг и Нс. Последующий FN- стресс еще более увеличивает эту зависимость. Аналогичное влияние отжи- РиС# g#53. Распределения порогового напряжения гов и FN-стресса на подпороговый (измеренного методом 2) для транзисторов модуля S-фактор представлено на рис. 8.52. контактного травления. Светлые символы - тран- Данные для отжигов при 400°С в среде зисторы после отжига в среде аргона при 400°С, 30 Аг иллюстрируются рис. 8.53 и 8.54. мин- Темные символы - те же самые транзисторы после FN-стресса: ток 1,0 нА/мкм2, 5 с 99.90 99.Q0 95.00 60.00 50.00 20.00 5.00 1.00 0.10 _ — До I l оД © А $%Jt Шшр 1мг О О Yr =5570;Щ.=58» 58 ! A A Yr=62000;Hc =183x213] 1 1 0.8 0.9 1.0 Пороговое напряжение ( В ) 1.1
38 Глава 8. Влияние технологических процессов на надежность МОПТ Из полученных результатов можно сделать следующее обобщение. Распределения параметров транзисторов Vt, gm и 5 для отжигов в среде, не содержащей водород, при температуре 200, 300 и 400°С и в форминг- газе при 400°С подобны в том, что зависимость Vt,gmnS от уг и Нс после отжигов отсутствует (рис.8.47 и 8.53). Но во всех случаях зависимость появляется после проведения FN-стресса. Модель такого поведения основана Рис. 8.54. Распределения подпорогового на том, что пассивирующим агентом 5-фактора для транзисторов модуля контактного при отжигах является водород, ко- травления. Светлые символы - транзисторы по- торый присутствует при осаждении еле отжига в среде аргона при 400°С, 30 мин. Тем- поликремния и межслойного диэлек- ные символы — те же самые транзисторы после тт>ика 99.90 99.00 9S.00 80.00 % 50.00 20.00 5.00 1.00 0.10 — — — — О а i "~Т" ОЭ _L i i /У ti - • m * m О • Yr=5570;H;=5»58 а - Yr =62000; H, = 183*213 ill, 85 95 105 115 Подпороговый S -фактор (мВ/декада) FN-стресса: 1 нА/мкм2, 5 с Однако результат воздействия отжигов в форминг-газе при температурах 200 и 300°С совершенно противоположный. Такие отжиги, так же как и FN-стресс, способны активировать вносимые плазмой дефекты, которые находились в скрытом состоянии после окончания технологического процесса изготовления МОП-структур. Представленные данные устанавливают некоторые интересные свойства дефектов заряжения, вносимых плазмой в объем подзатворного окисла и на границу Si02-Si: а) индуцируемые плазмой дефекты заряжения являются скрытыми (электрически неактивными) после завершения полного технологического процесса изготовления МОПТ (без постметаллизационного отжига); б) дефекты продолжают быть открытыми после отжигов в среде N2 и Аг при температурах в диапазоне 200°С < Т < 400°С; в) дефекты остаются также скрытыми после стандартного РМА (не постметаллизационного отжига), выполненного в форминг-газе при 400°С; г) дефекты также становятся электрически активными после FN-стресса, выполненного при комнатной температуре; д) дефекты становятся активными после отжигов при температурах ниже 400°С в среде, богатой водородом. Эти данные позволяют установить, что плазменные нарушения заряжения должны иметь собственные пассивированные связи в скрытом состоянии. Это доказывается тем, что активация скрытых нарушений при 200°С в присутствии водорода происходит при температуре, слишком низкой для разрушения Si—О или Si—Si-связей. А тот факт, что водород является необходимым ингредиентом для активации при низкотемпературном отжиге, доказывает его участие в этой химической депассивации. Предполагается, что водород является также ответственным за первоначальную пассивацию скрытых состояний. Эта пассивация может происходить непосредственно в технологическом процессе, так как водород присутствует в химических реактивах, используемых, например, для плазменного травления поликремния и контактных окон. Очевидно, что пассивация может
8.2. Технологические процессы с использованием плазмы 13 произойти и в процессе отжигов, как РМА. Подобные результаты были получены в работе [44] при исследовании роли водорода в процессе пассивации/депассива- ции дефектов на границе Si02-Si. Обобщая вышеизложенное, можно сделать следующие выводы относительно модели скрытых дефектов: • водород ответственен за электрическую неактивность (скрытое состояние) плазменных зарядовых дефектов, которая возникает в результате пассивации (водородное связывание) разорванных связей; • активация дефектов из скрытого состояния может быть вызвана либо химическим процессом через реакции с участием водорода (водородная депасси- вация), либо физическим процессом через электрическое возбуждение (FN- стресс). Рассмотрим более детально две составные части модели скрытых нарушений: пассивацию и депассивацию дефектов. Пассивация дефектов водородом Известно, что водород заполняет свободные связи атомов кремния в аморфном, поликристаллическом и кристаллическом кремнии [45, 46]. Атомарный водород нейтрализует бор и другие мелкие акцепторы в кремнии [47], нейтрализует также и глубокие уровни в этих материалах [48, 49]. Нейтрализацию глубоких уровней водородом называют пассивацией. В результате пассивации энергетические уровни перемещаются из запрещенной зоны за счет связывания водородом свободных связей в дефекты. Наиболее важными дефектами на границе Si02-Si являются Р6-центры, которые наблюдаются в структурах Si-Si02 хорошего качества [50,51]. В научных исследованиях с использованием метода электронно-спинового резонанса (ERS) /^-центры идентифицируют с трехвалентно связанным атомом кремния, имеющим один неспаренный электрон. Схематично Р^-центр обозначают как °Si=Si3, где о — неспаренный электрон, окулирующий Sp3-гибридную орбиталь с перпендикуляром к границе Si02-Si и направленным в Si02. Р6-центры пассивируются отжигом в среде молекулярного водорода через реакцию [50]: Л + Н2->ЛН + Н, (8.16) где РЬН представляет пассивированную водородом свободную связь. Схематично эту связь обозначают как H-Si = Si3. (8.17) Скорость пассивации /^-центров молекулярным водородом пропорциональна плотности /^-центров и концентрации Н2 в окисле. Р^-центры присущи только переходной области между Si и Si02 и образуются в процессе выращивания окисла в результате структурных и полиморфных изменений. Этот процесс возникновения Д-центров принципиально отличен от процесса генерации дефектов в подза- творном окисле во время экспонирования в плазме. Однако имеются достаточные доказательства того, что /^-центры могут генерироваться во время технологических процессов имплантации ионов и плазменных процессов [53]. Такими дефек-
CS Глава 8. Влияние технологических процессов на надежность МОПТ тами являются, например, Рьо- и Р^-центры, которые представляют собой либо частично окисленные атомы Si (°Si = Si02), либо растянутые структуры, такие как Si2sSie-SisSiO2[50]. В объеме Si02 наиболее часто наблюдаются такие дефекты, как iT-центры (°Si = 03 или 03 = Si°...+Si = Оэ), дырочные центры с немостиковым кислородом (03 = Si'- 0'...Н - О - Si = 03) и пероксидные радикалы (03 = Si-0-0°...Si = 03) [54—56]. В пленках Si02 существуют несколько вариантов £"-центров, которые отличаются зарядовыми состояниями, источниками возникновения, стабильностью. iT-центры представляют собой отрицательно заряженную релаксирующую кислородную вакансию, возникающую в результате разрыва деформированных SiO-связей. Электронная природа таких центров и радикалов определяется стрессовыми ситуациями, в результате которых происходит генерация этих дефектов: облучение рентгеновскими или гамма лучами, инжекция электронов или дырок, экспонирование ультрафиолетовыми лучами, высокие электрические поля. При плазменном травлении МОП-структур в подзатворном окисле и на границе Si-Si02 происходит генерация дефектов в результате протекания через Si02 стрессового тока. Концентрация и зарядовые состояния рассмотренных различных типов дефектов влияют на параметры транзисторов Vt, gm и S. Все дефектные центры как в объеме Si02, так и на границе Si-Si02 содержат в себе оборванные связи либо у атомов кремния, либо у атомов кислорода. В химических терминах структуру этих дефектов можно обозначить следующим образом: XsSf или Х-0°, (8.18) где символ X обозначает специфическую группу атомов, связанных с атомом кремния или кислорода, имеющим ненасыщенную (свободную) связь. В состав Хдля различнных дефектов могут входить атомы Si, О, Н или атомы С, CI, Br, F, используемые в реактивах при плазменном травлении. Для дефектных структур типа (8.18) механизм образования электрической неактивности дефектов (скрытых состояний) такой же, как и пассивация водородом /^-центров. Этот процесс пассивации дефектов можно записать в виде: X ее Si° (или Х-0°) + Н2 -> X ее Si - Н (X - О - Н) + Н. (8.19) Такой механизм образования электрической неактивности дефектов имеет место как на границе, так и в объеме Si02. В результате возникающих связей дефектов с водородом локализованные состояния этих дефектов удаляются из запрещенной энергетической зоны, поэтому устраняется их влияние на параметры транзисторной структуры. Это подтверждается данными измерений, приведенных выше. Интересно отметить, что плазменные дефекты заряжения могут быть в скрытом состоянии после полного технологического процесса изготовления МОП- структур и даже перед постметаллизационным отжигом в форминг-газе. В соответствии с предложенной моделью, это означает, что пассивация происходит в процессе экспонирования в плазме или в течение таких процессов, как активация легирующей примеси и формирование силицида. Дефекты генерируются в процессе протекания стрессового тока, возникающего при плазменном заряжении, а
8.2. Технологические процессы с использованием плазмы 741 концентрация дефектов коррелирует с антенным коэффициентом. Эти дефекты пассивируются водородом либо в процессе in situ экспонирования в плазме, либо в процессе последующих технологических операций, таких как РМА. Источниками водорода являются непосредственно сама плазма, поликремний, фоторезист, межуровневый диэлектрик либо их комбинация [57]. Депассивация дефектов Рассмотрим восстановление в окисле и на границе Si-Si02 центров типа X = Si° или X - 0° из X = Si — Н или X - О — Н центров, пассивированных в процессе FN-стресса или термического отжига в среде, богатой водородом. Основываясь на экспериментальных результатах, приведенных выше, можно предположить, что пассивированные центры диссоциируют в соответствии с реакциями FN-стресс Xss Si - Н (или X - О - Н) -> Хее Si° (или Х-0°) + Н. (8.20) или отжиг в среде Н (< 400°С) Хе Si - Н (или X - О - Н) + Н -> Хее Si° (или Х-0°) + Н2. (8.21) Реакция согласно (8.20) описывает процесс, в котором FN-стресс обеспечивает энергию, необходимую для разрушения связей дефект—водород и освобождения водорода. С другой стороны, уравнение (8.21) описывает химический процесс, в котором водород взаимодействует с дефектом, освобождает из дефекта водород и образует соединение в форме молекулярного водорода. Этот химический процесс был описан ранее в работе [58] и применен к /^-центрам при депассивации дефектов в среде, богатой водородом. Необходимо отметить, что из экспериментальных данных, приведенных выше, следует, что процессы пассивации и депассивации в среде, богатой водородом, — это процессы, температурно-зависимые. То есть процессы депассивации доминируют при более низкой температуре (<400°С), в то время как процессы пассивации имеют место при температуре выше 400°С. Таким образом, суммируя результаты данного раздела, можно сделать следующие выводы: Нарушения заряжения, вносимые плазмой при изготовлении КМОП- приборов, могут находиться в скрытом состоянии после завершения полного технологического процесса, особенно когда выполняется РМА. Скрытые состояния нарушений заряжения — это не плазменные дефекты заряжения, находящиеся в нейтральном зарядовом состоянии после завершения полного технологического процесса. Скрытое состояние является результатом водородной пассивации дефектов. Этот процесс пассивации происходит либо непосредственно в процессе плазменного экспонирования, либо в последующих технологических процессах изготовления КМОП-приборов. Водородная пассивация дефектов, несомненно, имеет место в объеме окисла — так же, как на границе Si02-Si.
42 Глава 8. Влияние технологических процессов на надежность МОПТ Скрытые нарушения могут быть электрически реактивированы процессом, который называется депассивацией дефектов. Депассивация возможна либо FN-возбуждением, либо отжигом ниже 400°С в среде, богатой водородом. • Модель пассивации и депассивации плазменных нарушений заряжения кратко можно описать следующим образом. Вносимые плазмой дефекты заряжения пассивируются водородом при плазменном экспонировании или при последующих технологических процессах. Процесс пассивации можно представить как заполнение атомами водорода свободных связей дефектов, подавляя таким образом захват дефектами зарядов. Однако такая пассивация не является стабильным процессом, может произойти обратный процесс - депассивация дефектов посредством FN-стресса или отжига в среде, богатой водородом. Первый процесс депассивации является физическим процессом, в результате которого FN-стресс обеспечивает необходимую энергию для освобождения водорода из дефектов и превращает дефекты в электрически активные. Во втором процессе депассивации освобождение водорода из дефектов осуществляется через химическую реакцию с избыточным водородом в отжигающей среде. Процессы депассивации доминируют при низкой температуре (<400°С), тогда как пассивация преобладает при температуре, превышающей 400°С (при отжигах в среде, богатой водородом). 8.2.6. Захват положительного заряда как скрытые нарушения В предыдущем разделе рассмотрены возникающие при экспонировании в плазме нарушения, которые становятся электрически неактивными (скрытыми) в результате процесса пассивации водородом. Однако скрытые нарушения в под- затворном окисле могут существовать также в виде увеличенного дырочного захвата, вносимого плазменными токами заряжения [59]. Захват заряда на ловушки в п-МОП-приборах В я-МОПТ, подвергнутых FN-стрессу при положительном смещении на затворе, сдвиг порогового напряжения AVt положительный и увеличивается с увеличением антенного коэффициента уг. Такой сдвиг А ^демонстрирует доминирующий захват электронов на ловушки и генерацию поверхностных состояний. Так как при положительном смещении затвора захват дырок на ловушки в я-МОПТ подавляется, то заряд в окисле в основном определяется захваченными электронами [60]. При протекании плазменного тока заряжения в окисле электронные ловушки генерируются при любой полярности стресса в обоих типах МОПТ. Появление генерированных ловушек в МОПТ может быть легко обнаружено путем мониторинга затворного напряжения в процессе стресса при постоянном токе. Такие «зарядовые кривые», соответствующие FN-инжекции при положительном напряжении на затворе, представлены на рис. 8.55. В начальной стадии стресса отчетливо заметен увеличенный захват электронов в исследуемых приборах (транзисторы с большим уг). Поскольку условия стресса в этом случае очень неблагоприятны для захвата дырок в окисле «-МОПТ (рис. 8.56а), то траектории V определяются отрицательным встроенным зарядом.
8.2. Технологические процессы с использованием плазмы 743 j| 12.1 - в 12-°- воре ( bo to сЗ 11.7- Г0 а11-6' g 11.5- X 5 11.4- «11.3- § 11.2- X NMOFlTpaH3HCTpbi K Подзатворный окисел 9нм ьодьшая антенна _ Длина затвора 0/iMii f^^^. /г Средняя антенна /^_ _^———* " Небольшая антенна Контрольный прибор 0.0 0.5 1.0 1.5 2.0 2.5 3.0 Продолжительность стресса ( с ) Рис. 8.55. Изменение напряжения на затворе в результате FN-стресса при постоянном токе (0,1 А/см2) в я-МОП-транзисторах с различными антенными коэффициентами. Напряжение на затворе положительное (инжекция электронов из подложки) 3.0-1 ^ > „е w 2.S- S X <D ^ 2.0- а с Oj 5 1.5i О огов Пор > — 1 С /7-МОПТ: —О— Исходные —^.. После FN стресса (Vg < 0) .—ч§.— После освобождения дырок .-♦** «**■■*' .-ЛГ* W*** (V^>0) Контрольный Небольшая Средняя образец Антенна Большая SiO Рис. 8.57. Сдвиг порогового напряжения в «-МОП- транзисторах с различными площадями антенн С другой стороны, при отрицательном напряжении на затворе я-МОПТ в процессе FN-стресса дырки, генерируемые в аноде и инжектируемые в окисел, эффективно захватываются и накапливаются около границы Si02-Si. Однако почти невозможно исследовать этот захват непосредственно. Электроны, которые являются основными носителями, инжектированными в окисел, будучи также захваченными в процессе стресса, маскируют реакцию прибора (изменение характеристик МОПТ) на захват положительного заряда. При увеличении уровня нарушений за ряжения можно было бы ожидать увеличенный захват дырок в процессе отрица a) NMOn Положительное напряжение на затворе ч ®Яч SiO, Отрицательное напряжение на затворе SiO, р-тип |gj & б)РМОП Отрицательное напряжение на затворе -Ж -^М л Рис. 8.56.Энергетическая диаграмма, иллюстрирующая условия захвата дырок в л-МОП и /?-МОП транзисторах
744 Глава 8. Влияние технологических процессов на надежность МОПТ тельного стресса. Но этот эффект также сильно искажен увеличенным захватом электронов (более высокая плотность электронных ловушек, рис. 8.55) и ускоренной генерацией/депассивацией поверхностных состояний [61, 62]. На рис. 8.57 приведена зависимость сдвига порогового напряжения от антенного коэффициента для я-МОПТ, подвергнутых FN- стрессу при отрицательном напряжении на затворе. На основе этих Рис. 8.58. Сдвиг порогового напряжения вл-МОП- данных также невозможно сделать транзисторах с плазменными нарушениями как окончательное заключение о захва- функция величины антенны для FN-стресса и ченном положительном заряде, так для освобождения дырок. Видно, что количество как они указывают лишь на то, что освобожденного положительного заряда увеличи- отрицательный эффективный заряд вается с увеличением плазменных нарушений в окшш в результате FN-стресса увеличивается с увеличением уг. Посредством одного Кгтеста невозможно разделить положительный и отрицательный заряды в окисле. Для качественной оценки количества положительного заряда, захваченного в окисле после стресса, можно воспользоваться тем, что дырки, захваченные в окисле, являются нестабильными при положительном смещении затвора. При этом создаются благоприятные условия для их освобождения из состояний, расположенных около границы Si-Si02 (рис. 8.56а). Для реализации таких условий на затвор подается положительное достаточно низкое напряжение для исключения электронной инжекции, но достаточно высокое для ускорения туннелирования дырок в подложку. Результаты такого эксперимента, приведенные на рис. 8.58, показывают, что сдвиг Vn вызванный освобождением и туннели- рованием дырок, увеличивается с увеличением антенного коэффициента. Полученные данные позволяют оценить эффективную плотность дырочных ловушек, генерируемых в процессе плазменного экспонирования я-МОПТ-приборов (для соответствующих антенных коэффициентов): 2-1011; 1,1 • 1012 см2 и 2 • 1012 см2. Таким образом, сдвиг Vt, наблюдаемый на рис. 8.58, вследствие захвата положительного заряда значительно выше, чем сдвиг Vt, измеренный после диагностического FN-стресса. Это показывает, как влияние электронного захвата может быть легко недооценено в экспериментах с инжекцией при высоких полях, когда дырочный захват значителен. Захват заряда в р-МОП-приборах Характер изменения напряжения на затворе в процессе проведения FN- стресса при постоянном токе указывает на то, что в /ьМОП-приборах происходит интенсивный захват электронов. Он увеличивается с увеличением площади антенн (рис. 8.59). Такое поведение /7-МОП-приборов аналогично проведению п-МОП-приборов (рис. 8.55). Однако в /ьМОП-транзисторах, подвергнутых стрессу при отрицательном смещении затвора, дырочные ловушки, раСПОЛОЖеН- РЭ 1.51 О 0.5 Н О о о.сИ е к ffl U -0.5 J Ш A FN стресс О А Освобождение дырок Я А Суммарный эффект Щ Контрольный Небольшая Средняя образец Антенна Большая
8.2. Технологические процессы с использованием плазмы 745 jjv -12.6 -12.2 FN стресс при отрицательном смещении затвора Постоянный ток 0,1 А/см2 . РМОП транзистор 0,5мкмх5,0мкм Тох =9нм 12 3 4 Продолжительность стресса (с) Рис. 8.59. Изменение напряжения на затворе в процессе FN -стресса в р- МОП -транзисторах ные в окисле около границы Si- Si02, заполняются более эффективно (рис.8.566). Поэтому даже с увеличенным электронным захватом эффективный заряд в окисле положительный [60]. Можно было бы ожидать, что этот чистый положительный заряд будет уменьшаться в приборах с большей плотностью электронных ловушек. Вместо этого р-МОП-транзисторы демонстрируют сильную антенную зависимость с отрицательным сдвигом порогового напряжения, которое увеличивается с увеличением уг (рис. 8.60). Из рисунка видно, что сдвиг Vt для приборов с большой антенной превышает сдвиг для контрольных приборов почти в три раза (3 В). Такой эффект может быть вызван либо большим встроенным положительным зарядом в окисле у приборов с большим уг, либо значительной генерацией поверхностных состояний, которая перекомпенсирует увеличенный захват электронов в приборах с большими нарушениями. Измерения, выполненные с использованием метода зарядовой накачки после FN-стресса, показали, что плотность поверхностных состояний в приборах с большим уг была действительно больше. Но величина этой плотности была не достаточна для такого большого отрицательного сдвига Vn особенно когда захват электронов также увеличен. Это подтверждает вывод о том, что зарядовый стресс, вызываемый плазмой, генерирует дырочные ловушки подобно стрессу при высоких электрических полях [63]. Для исследования дырочного захвата в подзатворном окисле можно использовать тестовый метод инжекции горячих дырок из подложки - SHH-инжекция (Substrate hot-hole injection) [64]. Здесь /7-МОП-транзистор располагается над я-карманом, сформированным в подложке /7-типа. В процессе SHH-стресса затвор /?-МОП-транзистора (с антеннами) был смещен на 4 В, напряжение кармана — на 8,5 В, а сток и исток (и область канала) удерживались при потенциале земли. Для получения постоянной токовой инжекции на уровне 10 мА в я-карман на подложку подавалось -1 -2 -3 -4 Напряжение на затворе ( В ) Рис. 8.60. Вольт-амперные характеристикир-МОП- транзисторов с различными размерами антенн (различное количество нарушений заряжения), подвергнутых FN-стрессу при отрицательном смещении затвора (0,1 А/см2, 5 с)
Ш/46 Глава 8. Влияние технологических процессов на надежность МОПТ 1е-4 -1 1е-5 - ►?1е-7 - §>1е-8 - л 1е-9 - S ie-io - о g 1е-11 - Н 1е-12 -| SHH инжекция, Vg 15иЬ = 10мА,500с. Перед /*^ стрессам / а/ !(MkWirt / Пики = -4B,Vw=8,5B,Vd=Vs=0 ifr I j ^J\ocj\q стресса ( а-контроьный образец b-небольшая антенна с-средняя антенна d-большая антенна 0 -1 -2 -3 -4 Напряжение на затворе ( В ) Рис. 8.61. Сдвиг вольт-амперных характеристик при SHH-инжекции указывает на увеличение захвата дырок с увеличением площади антенн (т.е. с увеличением количества нарушений заряжения) Сдвиг порогового напряжения после FN стресса ( В ) Ч О <Л О <Л О 0 -о РМОП транзисторы ж 0,5мкм х 5,0мкм , Тох = 9нм. Л О Контрольный образец * О Небольшая антенна Н Средняя антенна д д Большая антенна А А ■ FN стресс: - 0,1А/см2 ,"5с, Л m m отрицательное Щ • смещение затвора. Л Ф 9 w9 SHH инжекция: О n<Do Vg = -4B,Vw = 8,5B Vd = Vs=0;U=10MA 500с. 2 -0.4 -0.6 -0.8 -1.0 -1.2 -1 Сдвиг порогового напряжения после SHH инжекции ( В ) 4 Рис. 8.62. Корреляция сдвига порогового напряжения после FN-стресса и после SHH-инжекции в приборах, прошедших плазменную обработку. Каждая точка представлена двумя соседними приборами, один из которых подвергался FN-стрессу, другой — SHH-инжекции. Разброс данных для одного и того же размера антенн вызван неоднородностью плазменных нарушений по пластине положительное напряжение. Инжекция зарядов в окисел начинается только тогда, когда дырки из подложки инжектируются в карман. В результате SHH-инжекции в исследуемых р-МОП получен отрицательный сдвиг порогового напряжения, что указывает на дырочный захват в окисле. Соответствующие пост-SHH стрессовые кривые Id — Vg представлены на рис. 8.61. Почти параллельный сдвиг характеристик в результате захвата заряда в окисле указывает скорее всего на слабое изменение плотности поверхностных состояний. Сдвиг порогового напряжения в случае FN-стресса (рис. 8.60) и SHH-инжекции (рис. 8.61) определяется захватом в окисле дырок, которые либо генерируются горячими электронами (FN), либо непосредственно инжектируются в окисел (SHH). Поскольку захват дырок пропорционален концентрации дырочных ловушек, то в обоих случаях зависимости дырочного захвата от уг должны быть подобными. Рис. 8.62 иллюстрирует данные по сдвигу Vt после FN-стресса (по вертикали) и после SHH-стресса (по горизонтали). Каждая точка на рисунке представлена двумя соседними приборами, расположенными на пластине. Из рис. 8.62 следует, что сдвиг Vt в результате SHH-инжекции очень Табл. 8.4. Плотность FN-и SHH-стрессов Антенна Контрольный образец Небольшая Средняя Большая поверхностных состояний и сдвиги порогового напряжения после 59] Ai)it (FN), эВ'см2 5.5-10" 1.3-1012 1.7-1012 2.3-1012 A^(FN), В 0,232 0,548 0,703 0,979 AZ)it(SSH), эВ'см2 8,1 • 10ю 1,6-10й 1,7- 1011 2,4-1011 A^(SSH), В 0,034 0,069 0,072 0,103
8.2. Технологические процессы с использованием плазмы 747jj\ CQ -1.4- о? S -1.2- X % "1-0- о- 1 -°*- Р о -ов" а 1-0.4- Он о с -о^- §-о.о< БННинжекция Vg = -4В; Vw =8,5B; Vd =VS =0; ^ -*"" Isub = 1 ОмА; ^ ___——-< iTsd.^^ —о—Контрольный прибор |Г< РМОП транзистор ——-Небольшая антенна U 0,5мкмх5,0мкм —„—Средняя антенна # Тох =9нм __,— Большая антенна ) 500 1000 1500 2000 2500 3000 Продолжительность стресса ( с ) Q Эффект от поверхностных состояний, рассчитанный из СР измерений. Ш Эффе кт от зах ва че н н ы х дырок. РМОП транзисторы 0,5мкмх5,0мкм Тох= 9нм хорошо коррелирует со сдвигом Vt в результате FN-стресса. Это указывает на то, что ^-сдвиг, вносимый FN- стрессом, вызывается увеличенным захватом дырок. Разброс данных для антенн с одинаковыми размерами отражает неоднородность плазмы по площади пластины. Динамика изменения Vt в процессе инжекции горячих дырок для приборов с различными уг, но взятыми с одного чипа, представлена на рис. 8.63. Видно, что эффективность дырочного захвата является рис. 8.63. Динамика захвата дырок в подзатворном сильной функцией от уг. Причем окисле/?-МОП-транзисторов при SHH-инжекции более высокая плотность центров захвата дырок соответствует приборам с большими нарушениями в подзатворном окисле. Необходимо отметить, что отрицательный сдвиг Vt в /ьМОП-транзисторах в процессе стресса вызывается не только захваченным положительным зарядом, но также генерацией поверхностных состояний. Плотность поверхностных состояний, генерируемых в результате SHH-инжекции, оказывается на порядок меньше, чем в результате FN-стресса (табл. 8.4), но в обоих случаях она пропорциональна уг. Используя данные о сдвиге порогового напряжения и результаты измерений с использованием метода зарядовой накачки, можно выделить «чистый» эффект дырочного захвата. Результаты таких вычислений приведены на рис. 8.64. При этом допускается, что все состояния — донорного типа и относятся они к эффективному положительному заряду в окисле. Полученная величина сдвига порогового напряжения позволяет рассчитать нижнюю границу плотности дырочных ловушек, генерируемых плазменным током заряжения. Эффективная плотность ловушек, нормированная к границе Si-Si02, составила величину 3,8 • Ю11, 6 • 10м и 9,3 • 10" см2 для трех соответствующих уг. Таким образом, кроме новых электронных ловушек и поверхностных состояний, плазменные токи заряжения генерируют новые дырочные ловушки в подзатворном окисле как в я-МОП-, так и в/?-МОП-транзисторах. Так как уровень генерации нарушений зависит от антенного коэффициента, то такой тип нарушений является типичным для скрытых зарядовых нарушений. « -1.0 Си I е- о.б и 1-0.4 Контрольный Небольшая образец Средняя Антенна Рис. 8.64. Сдвиг порогового напряжения после SHH-инжекции (500 с) при различных площадях антенн
748 Глава 8. Влияние технологических процессов на надежность МОПТ Рассмотренный эффект генерации дырочных ловушек необходимо учитывать при проектировании и изготовлении МОП-приборов, так как он может вызывать проблемы, связанные с надежностью в субчетвертьмикронных /ьМОП- транзисторах и flash ячейках памяти, где дырочный захват оказывает сильное влияние на деградацию характеристик и время жизни прибора [65, 66]. 8.3. Ионная имплантация Известно, что при облучении положительными ионами структуры Si02-Si создаются радиационные нарушения как в кремнии, так и в пленках Si02. Радиационные дефекты в кремнии и методы их уменьшения изучены достаточно хорошо. В данном разделе основное внимание уделяется нарушениям в подзатворном диэлектрике МОП-транзисторов, которые возникают в процессе имплантации ионов при формировании областей канала, стока и истока. Эти нарушения в значительной степени определяют процент выхода годных приборов и их надежность. При имплантации ионов дефекты в подзатворном диэлектрике появляются по двум причинам. Во-первых, это радиационные нарушения, возникающие во время прохождения ионов через подзатворный диэлектрик (ионизация, разрушение связей). Во-вторых, это нарушения заряжения, возникающие во время протекания тока через подзатворный диэлектрик при заряжении поверхности пластины положительными ионами. Этот процесс аналогичен процессу заряжения пластины во время проведения плазменных технологических операций. 8.3.1. Радиационные нарушения в подзатворном окисле Радиационные нарушения, возникающие в пленках Si02 при имплантации ионов в структуру Si02-Si, зависят от параметров процесса имплантации: природы имплантируемых частиц, их энергии, дозы, длины пробега и т.д. [67—71]. Поскольку эти нарушения в большинстве своем являются спин-активными, то для исследования создаваемых радиационных дефектов используется метод электронно-спинового резонанса — ESR (Electron Spin resonance) [72—75]. Типичная производная ESR-спектра поглощения для Si-Si02-CTpyKrypbi после имплантации ионов мышьяка с энергией 80 кэВ и дозой 10й см2 приведена на рис.8.65 [76]. Сигналы Ра1, совпадающие с сигналами Ра, в работе [72] ассоциируются с хорошо известными Е -центрами, связанными с захватом электрона на ненасыщенную Sp3-гибридную орбиталь атома Si (03 = Si°...+ Si = = 03 или • Si = 03). Сигналы Рс, совпадающие с сигналами Рс, в работе [72] ассоциируются с захватом дырок на немостиковый кислород или с хорошо известным дефектом NBOHC — nonbridging oxygen hole center (Оэ= Si — 0°...H — О — Si = 03). К этой же группе дефектов относятся и пероксидные комплексы (03 = Si — О — 0°... Si = 03). В данном случае ESR-сигнал от этих комплексов слабый и подавляется наличием сигналов от Рьо-и /^-центров. 7^-центр является одним из наиболее изученных дефектов. Д-центры находятся в окисле на границе Si-Si02 и представляют собой трехкоординированные атомы кремния с неспаренным электроном. Существуют два вида Д-центров — Рьо
8.3. Ионная имплантация 749ч| и РЬ]. Р^-центр представляет собой атом кремния, связанный с тремя атомами кремния в подложке и оборванной химической связью на поверхности раздела Si-Si02 («Si = Si3). РЬ1-центр имеет более сложную химическую структуру — это трехкоординированный атом кремния, содержащий парамагнитный электрон и связанный с двумя атомами кремния и атомом кислорода (•Si = Si20). /^-центры возникают в процессе термического окисления кремния. В процессе радиоактивного облучения структуры Si-Si02 про- (отн. един.) CQ 43 -о 740 II i I i i Pbo Pbl Д рс /\ 1 1 Т=15К ! Г=20,9ГГц \ 1 I Г ! 1 I g*2.0095 n / _ В (мТ) i i i / -у***-* i i t 749 Рис. 8.65. Типичная производная ESR-спектра поглощения (сплошная линия) для Si-Si02 структуры после имплантации ионов мышьяка в окисел исходит депассивация Д-центров с энергией 80 кэв и дозой 1014 см2. Штриховая [77], при этом активные Д-центры линия - компьютерный расчет формы спектра возникают в количестве, не пре- для дефектов типа немостикового кислорода с ис- вышающем лимит термического пользованием данных [26]. Пунктирная линия - окисления (2,5 • 1012 см2). Исследо- компьютерная модель, объединяющая различные * г„01 дефекты вания радиационных дефектов [78] показали, что при радиации любого рода в дозах ниже количества, необходимого для фактического разрушения образца, происходит депассивация существующих i^-центров, но не генерация новых /^-центров. Возможное объяснение такого результата заключается в следующем. При имплантации ионов на границе Si-Si02 происходит относительно макроскопический процесс, в результате которого образуются сгустки (кластеры) оборванных связей. Эти кластеры не имеют определенных границ и четкой ориентации распознаваемых /^-центров. Дефекты, возникающие при имплантации Fe, P, В, BF2, He, исследовались в работах [78, 80]. Отжиг дефектов, возникающих при ионной имплантации, ис- Табл. 8.5. Температуры отжигов (°С) точечных дефектов в Si02, создаваемых в процессе имплантации ионов [78]. Образцы Si02 и параметры имплантации Влажное окисление, 4500 А; В, 120 кэВ, 1013см2 Сухое / влажное окисление, 10 000 А; Не, 300кэВ,4- 10,5см-2. Расплавленный Si02; He, ЗООкэВ, 5- 1015см-2. Среда отжига N2 Воздух о2 н2о H2/N2 N2 N2 Точечные дефекты Е' 215 215 215 160 80 325 325 NBOHC - 200 200 Peroxy (генерация) - 200 200 Peroxy (отжиг) - 525 525
50 Глава 8. Влияние технологических процессов на надежность МОПТ Рь D(Si) 1 .А Л- регоху NBOHC _J 2.010 ±. 1 2.000 следовался в работе [78]. Результаты этих исследований приведены в табл. 8.5. В дополнение к экспериментам на окисленных кремниевых пластинах в таблице приводятся данные по расплавленному стеклу. Это сделано с целью сравнения результатов по термическим окисным пленкам с литературными данными по стеклу. Характерные ESR-спектры для дефектов, генерируемых при имплантации ионов, приведены на рис. 8.66. Нарушения, возникающие в кремнии, или так называемые D-центры, обозначены на рисунке знаком Z>(Si). При имплантации ионов Д290-400 кэВ) и В{ 120-140 кэВ) с дозами \013—Ю15 см2 через 4500 А окисла кремния генерируются ^-центры и D-центры с концентрацией ~1014 см2 и 1013 см2, соответственно. Спектры от D- и Е- дефектов обычно подавляют компоненты сигналов от NBOHC и пероксидных радикалов (Регоху), затрудняя тем самым полное исследование дефектов. Поэтому в данном случае результаты в табл. 8.5 приведены только для Е -центров. Последующие 20-минутные отжиги проводились в N2, воздухе, 02, паре (Н20) и форминг-газе (12% Н2 в N2). Температура отжига соответствует падению ESR-сигнала на 50%. ^"-центры — в средах N2, воздухе и 02 отжигаются при одинаковой температуре ~ 215°С. В паровой среде при давлении 1 атм температура отжига составляет ~160°С. Температура отжига 80°С в форминг-газе для is-центров согласуется с данными, полученными в работе [81] для термических пленок Si02. Имплантация ионов гелия (а-частиц) была проведена с целью исключить наложение сигналов от D-центров. Для этого энергия имплантации (300 кэВ) была выбрана такой, чтобы все ионы останавливались в окисле толщиной 1000 нм. Результаты также представлены в табл. 8.5. NBOHC-дефекты отжигаются при ~200°С. В то же время при этой температуре растет сигнал от пероксидных радикалов. Остается неясным, каким образом возникают пероксидные радикалы — либо £"-центры превращаются в пероксидные радикалы, либо NBOHC-дефекты, либо и те и другие. В конечном счете, пероксидные радикалы отжигаются при температуре ~525°С. Рис. 8.66. Типичные ESR-спектры точечных дефектов в структуре Si-Si02, привносимых технологическими процессами 8.3.2. Электрические свойства имплантированных тонких окислов МОП-структур Исследования электрических свойств тонких подзатворных окислов при имплантации ионов показывают, что происходит деградация таких параметров, как пробивное напряжение и ток утечки [82]. На рис. 8.67 приведены типичные гистограммы напряжения пробоя имплантированных и неимплантированных тонких (~6 нм) окислов. Пленки окисла были получены на кремниевых пластинах (100) «-типа путем окисления в среде 02 с 10% НС1 при 800°С. Образцы через тонкий
8.3. Ионная имплантация 751 окисел облучались ионами мышьяка дозой 1014 см2 и энергией 100 кэВ. После этого на подзатворный окисел осаждали поликремниевую пленку толщиной 400 нм и с использованием плазменного травления формировали затворные электроды. Для измерения токов утечки использовались полученные МОП- конденсаторы площадью 0,09 мм2, для измерения напряжения пробоя окисла — МОП-конденсаторы площадью 10 мм2. Напряжение пробоя определялось как напряжение, при котором ток через конденсатор составлял 0,15 мкА. Измерения напряжения диэлектрического пробоя на соответствующих образцах МОП-конденсаторов осуществлялись при положительном напряжении на затворе. Результаты, приведенные на рис. 100 80 Ь Ь С о S 60 40 20 Имплантация ионе» As, 100 кэВ, 11014см-2. „._.., А Л О I Неимплантированные n , I rr^mbri 2 4 6 8 10 12 Электрическое поле ( МВ/см) Рис. 8.67. Гистограммы напряжения пробоя Неимплантированные п имплантированных и неимплантированных 8.67, показывают, что при облучении МОП-структур с толщиной окисла 6 нм и тонких окислов напряжение пробоя площадью 10 мм2. Смещение затвора поло- с 9 МВ/см падает до 5,5 МВ/см. Типич- жительное ные характеристики тока утечки в имплантированных и неимплантированных образцах показаны на рис. 8.68. Ток утечки в неимплантированных образцах при электрических полях более 6,5 MB/ см выражается уравнением для туннелирующего тока Фаулера— Нордгейма(РМ). Собственный диэлектрический пробой в этих образцах не наблюдается при полях менее 12 МВ/см. Ток утечки ~10~13 А при электрическом поле менее 0,5 МВ/см соответствует уровню токов шумов измерительной системы и паразитному току утечки по периферии МОП-конденсатора. В то же время кривая тока утечки в имплантированном окисле состоит из трех областей. В первой области (до 4 МВ/см) ток утечки соответствует уровню шумов. Во второй области от (4 до 8 М В/см) ток утечки постепенно увеличивается с увеличением электрического поля. Ток утечки в этой области определяется дефектами в окисле, которые генерируются имплантируемыми ионами. В третьей области (более 8 МВ/см) ток утечки соответствует туннелирующему FN-току. Диэлектрический пробой возникает при относительно низком электрическом поле ~8 МВ/см, что также свидетельствует о 2 4 6 8 10 12 Электрическое поле ( МВ/см) 14 Рис. 8.68. Ток утечки имплантированных и неимплантированных МОП-структур (аналогичных рис. 8.67)
иГ)52 Глава 8. Влияние технологических процессов на надежность МОПТ наличии дефектных областей в окисле, которые генерируются имплантируемыми ионами. Различие в напряжении пробоя на рис. 8.67 и 8.68 вызвано различием площадей исследуемых образцов. w -1 Неимплантированные 8.3.3 Модель тока утечки в имплантированном окисле Наиболее вероятная причина аномального увеличения тока в области 4—8 МВ/см на рис. 8.68 — это появление ловушечных состояний, которые генерируются имплантируемыми ионами мышьяка в окисел. Почти все ловушечные состояния отжигаются при последующей термической обработке. Однако некоторые ловушечные состояния остаются и образуют в окисле при достаточно высокой напряженности поля локализованные области с аномальной проводимостью тока. Механизм электропроводности таких локализованных областей с большим количеством ловушечных состояний отличается от механизма проводимости остальной отожженной части окисла. По- видимому, ток утечки в отожженной части окисла соответствует условию возникновения туннельного тока Фаулера-Нордгейма, что можно видеть на рис. 8.68 при полях более 8,0 МВ/см. Этот результат показывает, что общая площадь деградированных областей окисла небольшая по сравнению с общей площадью подзатворного окисла. Для определения характера электропроводности токов утечки через деградированные области в окисле данные рис. 8.68 пересчитаны и представлены в координатах, соответствующих механизму электропроводности по Пулу—Френкелю (рис. 8.69). Характеристика тока утечки имплантированного окисла на рис. 8.69 в области полей 4-8 МВ/см прямо пропорциональна V/? и не имеет резкой зависимости от электрического поля, такой как функция для туннельного тока по Фаул еру-Норд гейму. Таким образом, ток утечки через деградированные области окисла соответствует механизму электропроводимости по Пулу-Френкелю (PF). Тогда общий ток утечки через имплантированный окисел выражается как сумма FN- и PF-компонент тока. В соответствии с вышесказанным структура имплантированного окисла схематично представлена на рис. 8.70. На большой площади подзатворного окисла, через которую протекает FN-ток, в результате имплантации ионов образуются деградированные области, через которые протекает PF-tok. Поэтому общий ток утечки через имплантированный окисел есть сумма компонент токов в соответствии с уравнением [83, 84]: ю го зх> Е^МВ/см)^2 40 Рис. 8.69. Результаты пересчета данных рис. 8.68 в координатах, соответствующих уравнению (8.22) для тока утечки по Пулу—Френкелю (PF) I=Sp'Jp+Sf-Jf> (8.22)
8.3. Ионная имплантация 753" / s-7~^ i // 1 V "/ Jp S»02 [/ ^F Рис. 8.70. Схематичное изображение структуры имплантированного окисла jf=AE20X-qxv(-B/E0X), jp=CEox.exp(DjE^). 150 -10 -П 100 1— 50 27 °С —, 7МВ/см бМВ/см ао 1000/Т(К_1) (8.23) (8.24) гдеу^ vijf— плотности PF-тока и FN-тока, протекающих через соответствующие Рис. 8.71. Температурная зависимость тока области площадью SP и SF; А, В, С, D - У^чки для линейной области PF-тока постоянные коэффициенты. (рис.8.69) Для проверки правильности предложенной модели возникновения токов утечки в имплантированном окисле экспериментально была определена высота барьера для ло- вушечных состояний, возникающих при имплантации в окисле. С этой целью определили температурную зависимость тока утечки имплантированного окисла толщиной 6 нм при электрических полях 6 МВ/см и 7 МВ/см. Эти поля соответствуют линейной зависимости PF-тока утечки на рис. 8.69. Используя полученные зависимости (рис. 8.71), не трудно получить энергию активации ловушечных состояний, которая составила величину 0,09 эВ. Из наклона PF-кривой на рис. 8.69 рассчитанная высота барьера для ловушечных состояний составила величину около 1,0 эВ. С использованием полученной величины высоты барьера и уравнения (8.21) был вычислен общий ток утечки. На рис. 8.72 приведены экспериментальная (рис. 8.68) и рассчитанная зависимости для тока утечки имплантированного окисла. Результаты показывают, что предложенная модель хорошо объясняет экспериментальные данные. -3 -5 § ~7 С -9 ад j} -11 -13 -,5( И 1 V . 1 ) 2 i • i ■ I »"" Эксперимент ^«""У , г 4 6 8 Е ( MB /см ) » • i I I 1"< ] г Расчет - - i . i . i 10 12 14 Рис. 8.72. Экспериментальная и рассчитанная в соответствии с предложенной моделью величина тока утечки 8.3.4. Деградация параметров МОПТ Генерация дефектов в подзатворном диэлектрике при имплантации ионов естественно приводит к изменению параметров МОПТ. Количественная оценка изме-
54 Глава 8. Влияние технологических процессов на надежность МОПТ нения порогового напряжения МОПТ после имплантации проведена в работе [85]. Для исследования использовались тестовые МОПТ с «-каналом. Длина затвора и ширина канала составляли соответственно 20 и 100 мкм при толщине подзатворного окисла 50 мкм. Характеристики прибора измеряли до и после процессов ионной бомбардировки, а также после термических отжигов. Ионы As с дозой 5 • 1015 см2 импланти- I исходное после 450°с 450°с 45о°с *" I ровали при энергии 25 кэВ. До и после значение импл. Зчас ЗОмин бчас | bn2 bh2/n2 bn2 I каждого процесса определяли сдвиг по- Рис. 8.73. Изменение порогового напря- рогового напряжения, обусловленный жения, обусловленное процессами ионной как непосредственным влиянием про- имплантации и после имплантационного цесса, так и последующей инжекцией отжига горячих электронов. Инжекцию горячих электронов проводили при напряженности поля в подзатворном окисле 1,17 MB/ см и плотности тока 5 мкА/см2. Общее количество инжектированных электронов для каждого случая было одинаковым и составляло величину 3,1 • 1016 см2. Рис. 8.73 иллюстрирует изменения порогового напряжения, обусловленные процессами ионной имплантации, послеимплантационного отжига и инжекцией горячих электронов. Большой отрицательный сдвиг порогового напряжения возникает после имплантации ионов. Последующий отжиг в течение 9,5 ч при температуре 450°С не обеспечивает восстановления первоначального значения порогового напряжения. Инжекция горячих электронов сопровождалась положительным сдвигом порогового напряжения, который до имплантации равнялся 0,07 В, а после имплантации его величина достигает 2,7 В. Сильное влияние горячих электронов наблюдается также после отжига при температуре 450 °С. Отрицательный сдвиг порогового напряжения после имплантации объясняется положительным зарядом, который появляется в подзатворном окисле. В процессе имплантации в окисле образуются также нейтральные ловушки. Эти ловушки способны захватывать инжектированные горячие электроны. В результате в окисле образуется отрицательный заряд, приводящий к положительному сдвигу порогового напряжения МОПТ. Ионная имплантация сопровождалась также образованием поверхностных состояний на границе Si-Si02, что приводило к изменению величины 5-фактора подпороговых характеристик приборов. Инжекция горячих электронов на плотность поверхностных состояний не влияла. Из данных рис. 8.73 следует, что отжиг при 450°С не позволяет полностью устранить ни положительно заряженные, ни нейтральные ловушки, возникающие в процессе ионной имплантации. Такие дефекты можно устранить отжигом при температуре 900°С в течение часа. 8.3.5. Токи заряжения при ионной имплантации Известны четыре источника токов заряжения, протекающих через затвор МОП- структуры, которые влияют на деградацию подзатворного диэлектрика аналогич- О Перед инжекцией • После инжекции о 1 ■ ^
8.3. Ионная имплантация 7 5 S" но плазменным процессам травления и осаждения [86]. К ним относятся: положительно заряженные имплантируемые ионы; вторичные электроны, эмиттируемые из материала затвора; заряды, собирающиеся на поверхности фоторезиста, расположенного вокруг затворного электрода; вторичные электроны, эмиттируемые из пластинодержателя. Ниже рассматривается влияние отдельных указанных источников токов заряжения на деградацию МОП-структур. Приведены экспериментальные результаты и предложена модель процессов заряжения МОП-структур при ионной имплантации. Изменение нарушений в подзатворном окисле при ионной имплантации На рис. 8.74 приведена схема имплан- тера с измерительной аппаратурой для имплантации ионов мышьяка в исследуемые образцы МОП-структур. Кремниевые пластины располагались на алюминиевом диске. В процессе имплантации ионов диск вращался со скоростью 300 об/мин и передвигался в радиальном направлении для получения равномерного легирования по всей поверхности пластины. Распределение ионов в пучке измерялось с помощью устройства, содержащего небольшие ячейки Фарадея. Это устройство позволяло регистрировать распределение тока на площади 7-15 см2 с разрешением 1 мм. Во всех экспериментах имплантируемые ионы имели энергию 35 кэВ, а доза контролировалась на уровне 3 • 1015 см2. Это стандартные условия формирования сток-истоковых областей в МОП-транзисторах. Структура тестовых образцов МОП-конденсаторов, используемых в эксперименте, приведена на рис. 8.75. Толщина подзатворного окисла в тестовых образцах составляла 25 нм, а толщина поликремниевого электрода 250 нм. Приборы изготавливались на кремниевых пласти- Диск Рис. 8.74. Схема имплантера нах (100) «-типа диаметром 150 мм. Поскольку распределение напряжения электрического пробоя подзатворного окисла на имплантированных пластинах не может дать количественную оценку степени деградации индивидуальных тестовых образцов на пластине [87, 88], то в качестве индикатора степени деградации окисла использовали плотность поверхностных состояний (Z>„), полученную по измеренным Уг=1,4 Yr=3300 Подзатворный окисел (25нм) Поликремний (250нм) n-Si/ Полевой окисел (500нм) МОП-конденсатор типа А МОП-юндесатор типа В Рис.8.75. Два типа МОП-конденсаторов: тип А — для С-К-измерений, тип В — для изме- квазистатическим вольт-фарадным рения напряжения пробоя.
(о56 Глава 8. Влияние технологических процессов на надежность МОПТ 12 As+ 35кэВ, 5мА. '% =2 Н О Напряжение смещения (В) а) 15 As+35k3B,5mA. ABODE (1,4) (),69) (0,29) (),15) (0,12) Плотность пучка ионов б) (С- V)-характеристикам [89]. Так как плотность поверхностных состояний увеличивается с увеличением количества зарядов, прошедших через окисел [90], то ток через затвор в процессе имплантации можно оценить по величине генерации поверхностных состояний. Распределение плотности и энергии поверхностных состояний рассчитывалось из низкочастотных емкостных характеристик [89]. Тестовая структура типа А имеет небольшой антенный коэффициент (уг = 1,4) и использовалась для измерения C-V-характеристик. Структура типа В с большим антенным коэффициентом (уг = 3300) использовалась для получения характеристик электрического пробоя окисла в процессе имплантации. Влияние плотности ионов в пучке Для определения влияния плотности ионов в пучке на степень деградации подзатвор- ного диэлектрика плотность тока ионного пучка в максимуме менялась от 1,4 до 0,12 мА/ см2 в соответствии с табл. 8.6. Величина Wx • Wy представляет собой приблизительно облучаемую площадь на диске с тестовыми пластинами. Результаты облучения тестовых образцов ионным пучком с различной плотностью, но одинаковой дозой 3 • 1015 см2, приведены на рис. 8.76. Кривые на этом рисунке демонстрируют деформацию С- К-характеристик Рис. 8.76. Зависимость C-V-кривых и увеличение плотности поверхностных coot плотности пучка ионов (а). Рас- стояний с увеличением плотности ионного считанная из C-V-кривых плотность пучка. Поликремниевый электрод имеет тол- поверхностных состояний (б). Обо- щину, достаточную для того, чтобы защитить значения взяты из табл. 8.5. Для измерений выбраны МОП-конденсаторы, расположенные в центре пластины подзатворныи диэлектрик от проникновения ионов. Поэтому генерацию поверхностных состояний можно отнести за счет зарядов, проходящих через окисел в процессе имплантации. Генерация поверхностных состояний может быть вызвана также рентгеновскими Х-лучами. Однако простые вычисления показывают, что генерацией поверхностных состояний за счет Х-лучей можно пренебречь [86]. Таким образом, плотность имплантируемых ионов в пучке является важным фактором, определяющим величину нарушений в подзатворном окисле МОП- структур.
8.3. Ионная имплантация 7 5 Влияние вторичных электронов, эмиттируемых из держателя пластин Рис. 8.77 иллюстрирует (после имплантации ионов) распределение поверхностных состояний на границе Si-Si02 для тестовых МОП- конденсаторов, расположенных в пяти областях пластины на различном расстоянии от центра. Наибольшее количество нарушений возникает в центральной области пластины, но к краям пластины оно резко падает. Если нарушения в подзатворном окисле вызываются только электрическим током заряжения за счет положительных зарядов в ионном пучке, то степень деградации МОП-конденсаторов по пластине должна быть однородной, поскольку распределение плотности ионов по пластине равномерное. Но О О О-' да CQ О О 1—< С W £ эК Н s о я о 5 2 ° 5 ° -6-4-20246 Расстояние от центра пластины (см) Рис. 8.77. Зависимость плотности поверхностных состояний от расположения МОП- конденсаторов на пластине 10, в о X о & 51 5 т I. As+, 35 кэВ, Т = 1 мА Мишень: А1 1 As+ Цилиндр Фарадея -j как следует из рис. 8.77, плотность поверхностных состояний по краям пластины значительно меньше, чем в центре. Понижение плотности поверхностных состояний к краям пластины показывает, что на МОП-структуры поступают отрицательные заряды, которые компенсируют положительные заряды ионов в процессе имплантации по периферии пластины. Понятно, что в процессе имплантации ионный пучок облучает поверхность алюминиевого диска (держателя пластин) вокруг кремниевой пластины так же, как и саму пластину. Вероятно, что алюминий вокруг пластины является источником электронов, которые компенсируют положительный заряд ионов [91]. На рис. 8.78 показаны значения электрических токов (fSE), возникающих при имплантации за счет вторичных электронов из алюминиевой и кремниевой мишеней. Величина ISE при напряжении насыщения показывает, что алюминий при облучении ионами мышьяка генерирует в шесть раз больше электронов, чем кремний. Число вторичных электронов оказывается достаточно большим для нейтрализации положительных зарядов ионов на поверхности пластины. Роль вторичных электронов, эмиттируемых из кремния Рис. 8Л8- Зависимость тока вторичных электронов электрода затвора рассматривает- 0т напряжения на диске, на котором расположена ся в следующем разделе. мишень № о Напряжение смещения диска VD (В) г4
58 Глава 8. Влияние технологических процессов на надежность МОПТ Табл. 8.6. Параметры пучка ионов Ионный пучок Плотность тока в максимуме, мА/см2 Ширина распределения ионов в пучке на половине высоты от максимума по оси X, Wx9 мм Ширина распределения ионов в пучке на половине высоты от максимума по оси Y, И^, мм А 1,4 2,2 100 В 0,69 4 75 С 0,29 11 ПО D 0,15 17 НО Е 0,12 24 115 Когда периферия кремниевой пластины облучается ионами, облучается и диск, на котором закреплена пластина (рис. 8.74). В результате эмиттируются вторичные электроны из диска. Они притягиваются положительно заряженной пластиной и нейтрализуют положительный заряд на поликремниевых затворах. Таким образом, вторичные электроны играют важную роль в уменьшении уровня нарушений, возникающих в результате токов заряжения, в приборах, расположенных по краям пластины. С другой стороны, когда центральная часть пластины облучается пучком ионов, то поверхность алюминиевого диска не бомбардируется ионами вследствие меньших размеров пучка относительно пластины (см. табл. 8.6). Кроме того, центральная часть пластины отдалена от диска. Поэтому на эту часть попадает значительно меньшее количество вторичных электронов, нейтрализующих положительный заряд. Влияние фоторезистивного покрытия Известно, что обычно при проведении процесса ионной имплантации некоторые части поверхности пластин защищены фоторезистом от проникновения ионов. Исследования показывают, что слой фоторезиста в определенных случаях может усиливать деградацию подзатворного окисла. Зависимость степени деградации подзатворного окисла от фоторезистивного покрытия можно объяснить распределением потенциалов на поверхности фоторезиста вокруг электрода затвора МОП-структуры. Как было показано в [92], при имплантации ионов мышьяка с током в пучке 5 мА потенциал на поверхности фоторезиста достигает величины -100 В (рис. 8.79). С другой стороны потенциал электрода за- Рис. 8.79. Два источника зарядов, которые увеличи- твора ДОЛжен быть ниже 27 В - на- вают ток затвора в процессе ионной имплантации г ы LKj*.oa DuFaD yviu&K,^ *iunriuia иппшашащш пряжения пробоя подзатворного As* As* As* As* i I i & Al A2
8.3. Ионная имплантация 759j|] окисла. Тогда разность потенциалов между затвором и поверхностью окружающего резиста составит величину ~70 В. Как показано на рис. 8.79, большая разность потенциалов приводит к существованию двух механизмов увеличения стрессового тока через подзатворный окисел. Во-первых, вторичные электроны из материала затвора притягиваются большим положительным напряжением на поверхность фоторезиста и создают дополнительный положительный заряд на электроде затвора, поскольку количество вторичных электронов из материала затвора превышает число падающих ионов на затвор в 1,5 раза. Во-вторых, за счет большой разности потенциалов между поверхностью фоторезиста и затвором возникает ток утечки, который также увеличивает стрессовый ток. Таким образом возникают два источника зарядов, которые увеличивают дефадацию подзатворного окисла. Степень увеличения стрессового тока через затвор зависит от физической МОП-структуры: материала электрода затвора, толщины фоторезиста и существования в промежутке между затвором и фоторезистом участков свободной поверхности кремниевой пластины. В последнем случае положительные заряды с поверхности фоторезиста будут стекать на поверхность кремния, потенциал которой значительно ниже потенциала затвора. 8.3.6. Модель тока заряжения в подзатворном окисле при ионной имплантации Рассмотренные выше экспериментальные данные позволяют утверждать, что существуют следующие источники образования электрического тока затвора, протекающего через подзатворный окисел в процессе имплантации ионов (рис. 8.80): ионный пучок 1В, вторичные электроны, эмиттируемые из электрода затвора ISEl, положительные заряды, собирающиеся на поверхности фоторезиста, расположенного вокруг электрода затвора 1рс и вторичные электроны, эмитируемые с поверхности диска ISE2. Величина каждой компоненты тока затвора определяет степень нарушений в подзатворном окисле. Первые три компоненты увеличивают величину стрессового тока через окисел и только ISE2 может его уменьшить. Степень влияния ISE2 зависит от конструкции держателя пластин. Предложенная модель формирования стрессового тока через подзатворный окисел может подсказать методы минимизации нарушений, вызываемых процессом заряжения. Было показано, что снижение плотности ионного пучка приводит к уменьшению нарушений заряжения. Поэтому плотность ионно- От диска 100В Подзатворный окисел—-* : J В + J SE I "*" J SC _ J 5 J SiOrJ В ^ J se Рис. 8.80. Источники зарядов электрода затвора в процессе имплантации ионов. /Si02 — плотность тока затвора, /в — плотность тока пучка ионов, /SE, — плотность тока вторичных электронов, эмиттируемых из электрода затвора, /sc — ток, возникающий за счет положительного заряда на поверхности фоторезиста, /SE2 — плотность тока вторичных го пучка необходимо контролировать и электронов, эмиттируемых с поверхности сводить к более низкой величине за счет диска В0КРУГ пластины
ш/60 Глава 8. Влияние технологических процессов на надежность МОПТ расширения распределения ионов в пучке. С точки зрения фоторезистивных слоев должно быть исключено окружение прибора толстым слоем фоторезиста, чтобы исключить стекание положительных зарядов на электроды прибора. Имплантер, изображенный на рис. 8.74, снабжен подложкодержателем, который эмиттирует вторичные электроны, уменьшающие до некоторой степени нарушения, вызываемые процессом заряжения. Однако площадь, на которой происходит эффективная нейтрализация положительного заряда, ограничена периферией пластины. Поэтому необходима специальная система, создающая дополнительный поток электронов для нейтрализации положительного заряда в центральной части пластины. Особенно это актуально для пластин большого диаметра. При этом надо отметить, что использование таких систем нейтрализации положительного заряда может приводить к возникновению избыточного отрицательного заряда по краям пластины. Для исключения такого эффекта необходим тщательный контроль не только потока электронов, но и распределения их по энергии. 8.3.7. Нейтрализация положительного заряда на пластине в процессе ионной имплантации Ранее для нейтрализации положительного заряда на пластине при ионной имплантации использовали так называемые системы электронного орошения или электронного потока (Electron shower, Electron flood system) [87, 93, 94]. При этом в некоторых случаях наблюдалась деградация подзатворного окисла в результате встраивания отрицательного заряда [95, 96]. Действительно, оказалось, что необходимо контролировать не только количество нейтрализующих электронов, попадающих на пластину, но также распределение их по энергии. Для исследования этого явления использовался имплантер, схематично изображенный на рис. 8.81 [97]. Вращающийся диск (1250 об/мин), на котором закреплены кремниевые пластины, выполнял сканирование в горизонтальном направлении со скоростью 5 см/с. Электронная пушка, испускающая вторичные электроны атомов аргона, Пластины. Нить накала Электронная пушка Ионный пучок Пластина Ионный пучок 'Электронная пушка (а)Магнитное поле направлено вверх Пластина Ионный пучок ' Электронная пушка (б) Магнитное поле направлено вниз Рис. 8.81. Схема ионного имплантера. На Рис. 8.82. Схема движения электронов в вкладке изображена схема электронной магнитном поле относительно поверхности пушки пластины
8.3. Ионная имплантация 76 Пн * 2 ° 1.S I С 1 СО 2^0.5 3 Магнитное поле направлено вниз Я Магнитное поле направлено вверх ill 10 20 30 40 SO 60 70 80 90 100 Энергия электронов (эВ) Рис. 8.83. Распределение электронов по энергии у поверхности пластины при различных направлениях магнитного поля располагалась напротив пластин. Количество электронов контролировалось потоком аргона и эмиссионным током (1е) первичных электронов, выделяемых нитью накала и напряжением смещения на нити накала (Vj). Для исследования использовались тестовые приборы, представляющие собой МОП-конденсаторы с поликремниевым затвором и под- затворным окислом толщиной 15 нм. Выход годных приборов соответствовал напряжению пробоя менее 8 мВ/см. Изменение энергетического спектра нейтрализующих электронов, попадающих на пластину во время имплантации, осуществлялось с помощью магнитного и электрического полей. На рис. 8.82 показаны траектории электронов для двух различных направлений магнитного поля вдоль поверхности пластин. Энергетические распределения электронов, падающих на поверхность пластин, для различных направлений магнитного поля Рис. 8.84. Выход годных при различных антен- представлены на рис. 8.83. При этом ных коэффициентах и направлениях магнит- величина магнитного поля у поверхно- ного поля сти пластины составляла несколько гаусс. В обоих случаях (а) и (б) (см. рис. 8.82) ток через подложку поддерживался путем постоянной регулировки эмиссионного тока. В первом случае эмиссионный ток составлял 85 мА, а напряжение на нити накала 97 В. При этом, как следует из рис. 8.82, максимальная энергия электронов точно соответствует ускоряющему напряжению смещения Vf Это указывает на то, что и первичные электроны достигают поверхности пластины. Во втором случае (1е = 200 мА, Vf = 152 В) максимальная энергия электронов в распределении соответствует величине 40—50 эВ, несмотря на большее ускоряющее напряжение. Это означает, что в этом случае поверхности пластины достигают лишь вторичные электроны. Рис. 8.85. Распределение электронов по энергии у поверхности пластины при различных напряжениях смещения пластин
/jfV62 Глава 8. Влияние технологических процессов на надежность МОПТ На рис. 8.84 представлены данные о выходе годных тестовых структур до и после имплантации ионов с нейтрализацией положительного заряда электронами с различным энергетическим распределением. Видно, что значительная деградация тестовых структур обязана высокоэнергетическим электронам, попадающим на поверхность пластины. Аналогичные результаты получены при изменении энергетического спектра нейтрализующих электронов электрическим полем. Электрическое поле Рис. 8.86. Выход годных при различных менялось путем изменения напряже- антенных коэффициентах и напряжениях ния смещения на подложкодержателе смещения на пластине ^ Изменение энергетического спектра электронов и их влияние на выход годных представлено на рис. 8.85 и 8.86. Таким образом, из рассмотренных данных можно сделать следующий вывод. Регулируя максимальную энергию нейтрализующих электронов, падающих на поверхность пластины во время ионной имплантации, можно исключить отрицательное заряжение пластины (перекомпенсацию положительного заряда) и, следовательно, подавить деградацию подзатворного диэлектрика. Нейтрализация заряда и толщина подзатворного окисла Пластина Появление новых систем нейтрализации положительного заряда, таких как системы с плазменным потоком (Plasma Flood System — PFS), позволило осуществлять прецизионный контроль потока электронов и их энергии при ионной имплантации [93—100]. Схема имплантации пластины с PFS-системой изображена на рис. 8.87. Исследования процессов заряжения пластин с использованием PFS-системы [101] позволили сделать заключение о связи между толщиной подзатворного диэлектрика и максимальной энергией электронов, нейтрализую- щих положительный заряд ионов. В результате была предложена следующая модель процесса заряжения (рис. 8.88). Потенциал на поверхности затворного электрода Va определяется суммарным потоком ионов и электронов из PFS. В соответствии с Va устанавливается поле Еа. В этом случае поверхности электрода могут достичь только те электроны, энергия которых превышает Еа. Если таковые имеются, то поверхностный затворного электрода (Магнитное none) Ионный пучок Накал 7777" Напряжение ' " смешения Рис.8.87. Схема имплантации пластины с системой нейтрализации положительного заряда потенциал ( -система) сдвигается в отрицательную сто
8.4. Рентгенолитография Попикремний, Подзатворный окисел Ионный пучок+электроны >1-пластина а Ее<Е; Ее>Е; •Глубина рону с соответствующей величиной поля Еь. Инжекционный ток через подзатворный окисел определяется приложенным электрическим полем. Более высокое электрическое поле Еь приводит к более высоким инжекционным токам и, следовательно, к более высоким стрессовым токам через подзатворный окисел. Таким образом, более высокая энергия электронов может стать спусковым механизмом для электрического пробоя подзатворного окисла. То есть для тонких подзатвор- ных окислов существует пороговая энергия электронов для электрического пробоя (рис. 8.89). Следовательно, если энергия электронов превышает пороговую величину, то вероятность электрического пробоя окисла резко возрастает. Поскольку пороговая энергия электронов для толщины окислов 3,5 нм, 5 нм и 7,5 нм [101] составляет 9,0 эВ, 12 эВ и 15 эВ, соответственно, то пороговую энергию электронов экспериментально можно выразить уравнением: Глубина Рис. 8.88. Схема процесса заряжения поверхности пластины с учетом поверхностного потенциала и энергии электронов £1Н = 2,5Ч*(эВ), (8.25) где Eth — пороговая энергия электронов (эВ), /ох - толщина подзатворного окисла (нм). Необходимо отметить, что электрический пробой окисла зависит не только от общей величины встраиваемого заряда, но и от характеристической величины Qbd данной пленки окисла. 8.4. Рентгенолитография С точки зрения возникновения дефектов в подзатворном окисле МОП-структур при экспонировании ионизирующими лучами существует два отличия между рентгенолитографией и «традиционной» радиацией. Во- первых, при рентгенолитогра- фии образцы структур облучаются очень высокими дозами, но между электродами МОП- структур отсутствует напряжение 80 О 40 S о СХ 20 С Антенный коэффициент ■ I.OxW* А $.6 к ЮЗ • 12 к 10» О 1.8*10» Д 1.0 к 10* О 5J * 10* /Шк. y..../....Ук /-J 10 12 14 16 Максимальная энергия электронов ( эВ ) Рис. 8.89. Зависимость количества пробоев 3,5-нм окисла от энергии электронов при различных антенных коэффициентах
нГ764 Глава 8. Влияние технологических процессов на надежность МОПТ PQ 0.70 0.60 0.50 0.40 0.30 0.20 0.10 0.00 «-0.10 д 0' it ± шХО.5 к С 0.5 ► Х0.6 >С0.6 *Х0.7 *С0.7 »Х0.8 5 С 0.8 'Х1.0 3С1.0 5,0В WxL=10xL Vg=l,6B; Vd = Vs=Vsab=0B X =Х-лучи + отжиг С = Кзнтрол. образцы! iff- Ls^feS^Ei^ft Z Z A Л^А^Ж^. z \» л 102 103 104 105 10* Продолжительность НС-стресса (с) 10r Рис. 8.90. Сдвиг порогового напряжения «-канальных контрольных и прошедших облучение и отжиг приборов как функция длины канала при различной продолжительности НС-стресса смещения. Во-вторых, рентге- нолитография сопровождается последующей высокотемпературной обработкой (отжигом), при которой отжигаются большинство или все дефекты, привносимые радиоактивными частицами. Однако в процессе дальнейшей работы МОП- прибора некоторые ловушеч- ные состояния (например, нейтральные электронные ловушки) могут заряжаться при инжекции горячих носителей из канала, что может привести, в конечном счете, к деградации надежности прибора. Проводились исследования влияния рентгенолитографии на надежность субмикронных МОП-транзисторов, изготовленных по радиационно стойкой технологии с поликремниевым затвором, подзатворным окислом 12,5 нм, шириной канала 10 мкм и длиной канала от 1,0 до 0,5 мкм [102]. Приборы облучались рентгеновскими лучами (моделировался процесс рентгенолитографии) с энергией 10 кэВ и дозой 50 Мрад. После облучения следовал отжиг в форминг-газе (10% Н2, 90% N2) при 400°С в течение 30 мин. Контрольные образцы МОП-транзисторов и облученные образцы подвергались НС-стрессу при постоянном напряжении смещения затвора 1,6 В и стока 5 В, с заземленным истоком и подложкой. Регистрировался сдвиг порогового напряжения тестовых приборов AVn который был мерой радиационных нарушений в подзатворном окисле. Следует отметить, что средний сдвиг A Vt после облучения до отжига составлял 0,5 В. После отжига сдвиг порогового напряжения уменьшался в среднем на 95% — до ~30 мВ. 8.4.1. N-канальные приборы Из данных, представленных на рис. 8.90, следуют два важных вывода: приборы с более коротким каналом подвержены большему воздействию НС- стресса и, что более важно, • для контрольных и облученных приборов (модель рентгенолитографии) наблюдаются почти одинаковые приращения AVr Контрольные приборы имеют меньший сдвиг порогового напряжения, но незначительно. Можно сделать вывод, что нарушения в подзатворном диэлектрике в основном являются следствием геометрии прибора, а не процесса литографии (рентгеновской или оптической). Абсолютная величина сдвига порогового напряжения значительно больше при укорочении канала, нежели при удлинении на одну и ту же величину. Это объясняется увеличением латерального электрического поля (Ет) в приборах с более коротким каналом. Это электрическое поле локализуется вблизи стокового конца ка-
8.4. Рентгенолитография 0.50 0.40 0.30 0.20 0.10 0.00 D V, f-K> vot AVit « -0.10 и WxL= 10x0,6 Vg=l,6 ; Vd = 5,0 tVs-Vsab=0 к it- 0 102 103 104 105 106 Продолжительность НС-стресса (с) 107 к 0.70 s S о.бо t « 0.50 g 0.40 ♦X,Vd*5.0B oC,Vd=5.0B •X,Vd»4.0B oC,Vd=4.0B •X.VJ-3.3B oC,Vd=3.3B WxL = 10x0,6 V„ = 1,6B Х=Х-лучи + отжиг С=Кшр. образцы 102 103 104 105 10е Продолжительность НС стресса (с) Рис. 8.92. Сдвиг порогового напряжения «-канальных контрольных и прошедших облучение и отжиг приборов как функция напряжения на стоке при различной продолжительности НС-стресса Рис. 8.91. Сдвиг порогового напряжения, обусловленный зарядом в объеме окисла на границе Si02-Si и суммарным зарядом для я-канальных приборов, прошедших облучение и отжиг нала. При включении прибора, когда Vd > Vg > Vp протекает ток, носители заряда ускоряются («нагреваются») локальным полем. В результате происходит ударная ионизация, генерируются электронно-дырочные пары. Наиболее энергичные носители заряда, рассеиваясь, попадают в подзатворный диэлектрик и либо собираются на затворном электроде, либо захватываются на ловушки в объеме окисла. Они также могут активизировать ранее пассивированные поверхностные состояния, которые являются одним из основных механизмов деградации надежности приборов. На рис. 8.91 представлены результаты сдвига порогового напряжения для МОП-структур, прошедших облучение (модель рентгенолитографии) и подвергнутых НС-стрессу. Здесь же приведены данные по сдвигу порогового напряжения, вызываемого отдельными компонентами, представляющими заряд, захваченный в объеме окисла A Vot, и заряд на поверхностных ловушках A Vjr Видно, что сдвиг порогового напряжения почти полностью определяется активированными поверхностными состояниями. Этот результат согласуется с данными работы [103]. Необходимо отметить, что величина напряжения стока 5 В выбрана для того, чтобы получить значимую величину эффекта от нарушений в подзатворном диэлектрике. Реальная проектируемая величина рабочего напряжения стока для рассматриваемых МОПТ — 3,3 В. При этом напряжении стока эффект воздействия облучения (модель рентгенолитографии) и НС-стресса (определяющего надежность прибора) резко падает, что иллюстрирует рис. 8.92. Рассмотренные данные позволяют сделать вывод, что п-МОПТ, прошедшие облучение и отжиг (аналог рентгенолитографического процесса), не отличаются значительно от контрольных «-МОПТ, представляющих обычную оптическую литографию.
|[^66 Глава 8. Влияние технологических процессов на надежность МОПТ 10* 103 104 105 10е Продолжительность НС-стресса (с) 8.4.2. р-канальные приборы На рис. 8.93 сравниваются сдвиги порогового напряжения /?-МОПТ, облученных Х-лучами (аналог рентгенолитографии) и отожженных, с контрольными /ьМОПТ при различных длинах каналов. Условия эксперимента такие же, как показано на рис. 9.91. Из приведенных результатов видно, что сдвиг порогового напряжения увеличивается с уменьшением длины канала и практически одинаков для облученных и контрольных приборов. Во всех случаях относительно большой начальный сдвиг AVt при продолжении стресса приходит в насыщение. Аналогичный результат получен в работе [104]. Горячие Рис. 8.93. Сдвиг порогового напряжения /^-канальных дырки в канале через удар- контрольных и прошедших облучение и отжиг приборов ную ионизацию генерируют как функция длины канала при различной продолжи- электронно-дырочные пары, тельности НС-стресса Электроны захватываются на ловушки вблизи стока. Захваченный отрицательный заряд в конечном счете тормозит дальнейший захват. На рис. 8.94 представлен сдвиг порогового напряжения за счет отдельных компонент заряда: AVot — заряд в объеме окисла, AVit — заряд на поверхностных ловушках. Положительный сдвиг A Vt, главным образом за счет AVon указывает на захваченный отрицательный Рис. 8.94. Сдвиг порогового напряжения, обусловленный заряд, т.е. электроны, зарядом в объеме окисла, на границе Si02/Si и суммар- pj3 приведенных результатов можно сделать вывод, что с точки зрения привносимой дефектности рентгенолитография не уступает оптической литографии. Этот вывод подтверждается также поведением максимальной крутизны gm контрольных и облученных я-МОПТ и /ьМОПТ для различных длин каналов (рис. 8.95). 0.40 g gj о.зо -0.10 WxL = 10x0,6 Vg = - 1,6 ; Vd = -5,0 Vs = Vsab = 0 rrvt -0.20*7/- 0 102 103 104 105 Продолжительность НС-стресса (с) 10е ным зарядом, для /ьканальных приборов, прошедших облучение и отжиг 8.4.3. Влияние Х-облучения на GIDL в МОПТ Определенный интерес представляет вопрос о влиянии рентгенолитографии на индуцируемый затвором ток утечки стока, или так называемый GIDL-эффект
8.4. Рентгенолитография 1.20- 1.10- 1.00< 0.90 I °-80 ^ 0.70 в ад 0.60 0.50- 0.40- о.за 0 20 и II ^-^-а ] I Р-канал j J n-канал I l U . ^'S^^ » >^\ л V \ V 102 103 104 10s 10е Продолжительность стресса (с) 107 Рис. 8.95. Изменение максимальной крутизны я-канальных, /?-канальных и контрольных приборов после облучения и отжига как функция длины канала (рис. 8.93) в процессе НС-стресса — Перед облучением - После облучения — Перед облучением — После облучения (gate-induced drain leakage). Для исследования этого влияния МОП-транзисторы с длиной канала 0,65 мкм и толщиной подзатворного окисла 7 нм облучались Х-лучами дозой 0,5 Дж/см2 и энергией в области 1—2 кэВ. Некоторые образцы после облучения отжигались в форминг-газе (10% Н2 в N2) в течение 30 мин при 400°С [105]. Результаты представлены на рис. 8.96. Поверхностные состояния и захваченный положительный заряд, генерируемые в процессе облучения, сдвигают Ij(V)- характеристики на AKGILD на оси Vg. В я-МОПТ при высоком напряжении затвора облучение вызывает параллельный сдвиг на -100 мВ в сторону отрицательных напряжений. В /ьМОПТ сдвиг значительно больше, чем в я-МОПТ, и сдвиг непараллельный. Это объясняется тем, что в р-канальных приборах радиационный сдвиг //^-характеристик происходит не только за счет захваченного положительного заряда в окисле и положительно заряженных поверхностных состояний (А на рис. 8.96, б), но и за счет увеличения плотности поверхностных состояний (В на рис. 8.96, б). Однако более значимым результатом является то, что как в «-канальных, так и в /ьканальных транзисторах отжиг в форминг-газе возвращает полностью GIDL-характеристики в состояние, предшествующее облучению Х-лучами (рис. 8.96). В заключение необходимо отметить следующее. Известно, что для увеличения радиационной стойкости приборов эффективно уменьшение толщины под- Рис. 8.97. Влияние толщины подзатвор- затворного диэлектрика. При этом между ного окисла на сдвиг порогового напря- сдвигом порогового напряжения, вызыва- жения, обусловленного НС-инжекцией -3 -2 -1 0 3 2 1 О Напряжение на затворе (В) Напряжение на затворе (В) а) б) Рис. 8.96. Влияние Х-облучения на индуцируемый затвором ток утечки стока (GIDL-эффект) в «-канальных (а) и/7-канальных (б) МОП-транзисторах PQ о? Си С <я Я О 10' о W о (-. о §,0 °+ После облучения и отжига Доза(мДж/см2) 3000 t 4 6 8 10 12 Толщина подзатворного окисла (нм)
68 Глава 8. Влияние технологических процессов на надежность МОПТ емого радиацией, и толщиной подзатворного окисла существует приблизительно квадратичная зависимость [106]. На рис. 8.97 приведена зависимость сдвига порогового напряжения от толщины подзатворного окисла для субчетвертьмикронных МОПТ, облученных и не облученных синхротронным излучением (аналог рентге- нолитографии) [107]. После облучения приборы отжигались в форминг-газе. Из рисунка следует, что при Тох менее 8 нм AVt резко падает. Такое падение невозможно объяснить квадратичной зависимостью. Это объясняется моделью механизма туннелирования [108]. По этой модели электроны, захваченные на некотором расстоянии от обеих поверхностей окисла, туннелируют в зону проводимости либо кремниевой подложки, либо поликремниевого затвора. Длина пробега при этом оценивается в несколько нанометров. Поэтому толщины подзатворного окисла менее 8 нм являются эффективными с точки зрения уменьшения влияния остаточных нейтральных ловушек, а влияние синхротронной радиации при рент- генолитографии на НС-деградацию (горячие носители) субчетвертьмикронных МОПТ будет незначительным даже при дозах облучения 3000 мДж/см2. 8.5. Электронно-лучевая литография Известно, что при облучении электронным пучком МОП-структуры (условия облучения аналогичны процессу электронно-лучевой литографии) происходит генерация положительного заряда и нейтральных ловушек в объеме окисла, а на границе Si02-Si генерируются поверхностные состояния [109]. Измерения сдвига напряжения плоских зон в МОП-структурах после облучения электронным пучком показывают наличие в подзатворном окисле положительного заряда с эффективной плотностью 1,2 • 1012 см2. После отжига структур в форминг-газе (400°С, 30 мин) этот заряд уменьшается до величины ~2 • 1010 см2. Квазистатические C-V- измерения [110] облученных МОП-структур показывают, что такой отжиг полностью удаляет радиационно-индуцируемые поверхностные состояния. Для количественной оценки нейтральных ловушек в окисле пользуются методом инжекции электронов в окисел МОП-структуры. При прохождении электронов через окисел часть из них (= Ю-4) захватывается существующими ловушками. Периодически инжекцию в эксперименте приостанавливают и регистрируют напряжение плоских зон и время инжекции. Скорость сдвига напряжения плоских зон пропорциональна сечению захвата электронов на ловушки, а величина сдвига пропорциональна эффективной плотности заполненных ловушек [111]. Переключение режимов измерения и инжекции производится автоматически, с записью данных в компьютерную систему. Это позволяет регистрировать большое число измерений и определять сечение и эффективную плотность ловушек с хорошей точностью. Экспериментальное выражение для эффективного числа ловушек Neo, заполненных электронами после прохождения инжектированного заряда Q через окисел, можно записать, как Nio= CoxAVfB/e, (8.26)
8.5. Электронно-лучевая литография 769^ где Сох — емкость окисла на единицу площади, AVFB — измеренная величина сдвига напряжения плоских зон, вызванного инжектированным зарядом, е — элементарный электронный заряд. Общая эффективная плотность заполненных ловушек при данной величине инжектированного заряда определяется выражением Neo = Хад-ехрС-о^)], (8.27) где Ц$ — насыщенная эффективная плотность /-ловушек, а а{ — их сечение захвата. Экспериментальные результаты инжекции электронов для МОП-структур с поликремниевым затвором (Тох =30 нм) приведены на рис. 8.98 [112]. Облучение МОП-структур (аналог электронно-лучевой литографии) проводилось на электронно-лучевой литографической системе через поликремниевый затвор. Рис- 8-98. Сдвиг напряжения плоских зон перед Начальный сдвиг VFB, coot- облучением электронами, после облучения и после ветствующий величине ин- облучения и отжига МОП-структур жекции электронов <1014 см2, обусловлен захватом электронов на положительно заряженные ловушки. Последующий сдвиг VfB, соответствующий инжекции электронов > 1014 см2, обусловлен заряжением нейтральных ловушек. Детальный анализ кривых на рис. 8.97 позволил вьщелить шесть групп ловушек с различными сечениями захвата для образцов, облученных в соответствии с типовым процессом электронно-лучевой литографии (доза 20 мкК/см2, энергия электронов 20 кэВ). Полученные данные приведены в табл. 8.7. Ловушки типа А и В идентифицируются как положительно заряженные центры вследствие их большого сечения захвата. Предполагается, что ловушки типа С и D являются нейтральными ловушками, индуцированными в результате электронно-лучевого облучения. Ловушки типа Е Табл. 8.7. Параметры ловушечных центров в приборах, подвергнутых облучению и отжигам. Ловушки А В С D Е F Заряд + + 0 0 0 0 Сечение захвата ai? см2 1-3x10 13 3-7 хЮ14 6-9х1016 5-9 х Ю-17 3-5 хЮ18 2-5 хЮ19 Эффективные плотности, см-2 Облученные 20 мкК/см2; 20 кэВ. 5 x10й 5х10п 5 x10м 5х10п 5х10п 6x10" Отожженные в N2, 30 мин 450°С <1х 10ю 3x10" 3x10" 2x10" 2x10" 4x10" 700°С — — — — 1x10м 3 x10м Контрольные образцы — — — — 9x10'° 3x10м PQ Он - С 1 ей X После экспонирования/ электронами Отжиг 30 мин в N2 Перед экспонированием электронами 1010 101* 1014 101# 101i Количество инжектированных электронов (1/см2)
Е? Глава 8. Влияние технологических процессов на надежность МОПТ и F существуют даже в необлучен- ных образцах МОП-структур. Эти ловушки идентифицируют с нейтральными ловушками, обязанными своим происхождением наличию воды в окисле [113, 114]. Таким образом, приведенные данные позволяют сделать вывод о том, что электронно-лучевая литография индуцирует в подза- творном окисле дефекты, для полного удаления которых требуются процессы термического отжига с температурой более 700°С, хотя для удаления положительно заря- рис. 8.99. Сдвиг напряжения плоских зон после об- женных дефектов и поверхностных лучения электронами и после отжига МОП- состояний достаточна температура структур относительно необлученного состояния отжига 450°С. Необходимо отметить также, что существенную роль в образовании дефектов играет доза облучения (рис. 8.99). При использовании в процессах электроннолучевой литографии резистов с высокой чувствительностью (< 10~6 К/см2) можно значительно снизить температуру необходимого отжига. 8.6. Уменьшение нарушений, привносимых технологическими процессами Снижение уровня нарушений, возникающих в процессе проведения технологических операций, обычно достигается, во-первых, подбором параметров процесса (давления газа в реакционной камере, ВЧ-мощности источника, величины и направления магнитного поля, напряжения смещения пластины и др.) и, во-вторых, подбором параметров последующих высокотемпературных отжигов. Эти приемы, особенно температурные обработки пластин, достаточно подробно описаны в разделах, рассмотренных выше. Однако на практике применяются также схемотехнические методы уменьшения нарушений (защитные шунтирующие диодные структуры) и технологические приемы, основанные на использовании новых бездефектных технологических маршрутов. Ниже рассматриваются примеры таких методов. 8.6.1. Применение защитных диодных структур Защитные диодные структуры используются для противодействия заряжению пластин [115]. Защита может быть достигнута при использовании как прямос- мещенных, так и обратносмещенных диодных структур. В связи с тем, что пря- мосмещенные диоды могут шунтировать ток значительной плотности, то защита окисных слоев с помощью даже очень небольших по площади диодных структур оказывается эффективной (рис. 8.100). Однако ток, протекающий через обратное- X О со |-3.0 о о к с к-2.0 X с"*1'0 Сдвиг на о После экспонирования электронами 1 Отжиг 30 мин в N2 J( 400°C Лг^^ \ ^^^^^ 4Б0°° -4г—■ ^Ill^ff^S^ 5000° <___ -Д. "ffi ~*g .—5 - 700°С I,,,* * ■ • „.л,,,, li—' '—1—« «—rL—* —1,„ f „ 10-« 1Q» Ю"4 10~* 10-* Доза облучения электронами (К/см2)
8.6. Уменьшение нарушений, привносимых технологическими процессами мещенный диод, является током утечки, и поэтому эффективность защиты зависит от относительных величин зарядного тока и тока диода. Если защитная диодная структура смещена в обратном направлении, а ток диодной структуры при этом оказывается меньше тока заряжения плазмы, то под- затворный окисел может попасть под зе- неровское напряжение пробоя защитного Плазма I Антенна Плазма \ Антенна I., а) 1— Подложка б) т Подложка 7 9 11 13 15 Толщина окисла (нм) 17 Рис. 8.100.Эквивалентная схема подза- творного окисла с подключенным параллельно защитным диодом: (а) прямое - диода. На рис. 8.101 показаны зависимости мещенный диод, (б) обратносмещенный напряжения пробоя от толщины окисного диод подзатворного слоя для случая пробоя р-п- перехода стока при заземленном затворе и для случая «собственного» пробоя окисного слоя. При этом общее время обработки пластин в плазме составило 10 мин. Защита окисных слоев тоньше 11 нм от пробоя не обеспечивается. Плотность обратного тока диодной структуры при отсутствии защиты металлическим слоем от светового излучения плазмы составляет 75 мкА • см2 (рис. 8.102), что примерно в 25 раз больше, чем плотность тока заряжения из Рис- 8Л01- Максимальное напряжение плазмы (равная 3,3 мкА • см2). Обратный пе^_Щ>обоем для подзатворного окисла ток утечки диодной структуры, имеющей площадь 4x4 мкм2, с экранирующим слоем алюминия площадью 80 х 80 мкм2, составляет 6 мкА • см2. Следовательно, диодная структура обеспечивает эффективную защиту только тогда, когда отношение площадей антенны и диодной структуры оказывается менее 23, или меньше двух, если диод экранируется от светового излучения плазмы металлическим слоем. Применение дополнительной сильной подсветки поверхности пластин во время технологической обработки может увеличить эффективность защиты с при- „. и защитного диода 1000ЙГ • Эксперимент 10? 1С? 10» 1СР к? Площадь диода (мкм2) 1(Р Рис. 8.102. Обратный ток диода при плазменном травлении без экрана от светово- менением обратносмещенного диода, но го облучения может оказаться и малоффективным. Небольшой обратносмещенный диод может оказаться неэффективным в качестве защиты от тока заряжения в случае окисных подзатворных слоев тоньше 11 нм. С другой стороны, /?-я-переходы карманов КМОП-структур обычно имеют достаточно большую площадь относительно площади антенны и могут пропускать значительный ток. Использование р-п-переходов карманов в качестве защиты не
72 Глава 8. Влияние технологических процессов на надежность MOIJT требует использования какого-либо нового оборудования или специальной топологии. На рис. 8.103 приводятся примеры использования прямо- и обратносме- щенных диодных структур для защиты КМОП ИС. На рисунке представлены поперечныесеченияКМОП-структур, изготовляемых по двухкарманной технологии. Выход КМОП-драйвера подсоединен к затвору, под которым расположен повреждаемый окисный слой. Поскольку выходной сигнал поступает из узловой точки, которая одновременно подсоединена к стокам я-МОПТ и /ьМОПТ, то /ья-переходы диодных структур сток—карман драй- верной схемы работают в качестве защитных диодов по отношению к окисному слою. Для каждой поляр- жительным током заряжения (а) и отрица- ности заряжающего тока один из за- тельным - (б) щитных диодов оказывается прямос- мещенным, чем всегда достигается адекватная защита. Так, например, если полярность тока заряжения оказывается положительной, то это состояние соответствует прямому смещению /?-я-перехода сток—карман /ьМОПТ. Если используется подложка /ьтипа, то обратносмещен- ный диод карман—подложка оказывается последовательно подключенным к прямосмещенному диоду /?+-сток—карман. Размер кармана обычно бывает достаточно большим для того, чтобы /7-я-переход карман—подложка мог отвести через себя антенный ток в качестве своего тока утечки. Если же при этом окажется, что ток утечки обратносмещенного диода карман-подложки меньше тока, собираемого антенной, то все же стрессовый ток, проходящий через окисный слой, будет заметно ослаблен. Применение защитных диодных структур оказывается эффективным только во время травления или же после завершения травления металлического слоя первого уровня. В случае антенн, которые не могут быть защищены диодами (например, во время травления поликремния), проектные нормы для отношения площади и периметра антенны к площади окисного слоя должны выбираться такими, чтобы произведение плотности тока заряжения и времени было меньше 10 мКл • см2 с тем, чтобы обеспечить приемлемые уровни выхода годных окисных слоев и количества скрытых повреждений, гарантирующих необходимый срок службы изделий. 8.6.2.Формирование межсоединений с использованием метода насечки Al («Damascene А1»,или «D-AI») Проблемы привносимых нарушений при формировании межсоединений связаны в основном с травлением алюминия в плазме и с осаждением защитных пле- Плазменный ток заряжения * J \ \ I I \ \ 1 п+1 \ п+1 Обратное смещение Р-карман □ шлет Прямое смещение N-карман сЬ CD Р-карман ТЕГТЕТ N-карман Р или N - подложка а) Плазменный ток заряжения tttttttt CD iium isj I Прямое I смещение 1 Р-карман CD Обратное 1 смещение I N-карман J 1 Р-карман LeJ LeJ N-карман J | Р или N - подложка S) Рис. 8.103. Схема КМОП-структуры с поло-
8.6. Уменьшение нарушений, привносимых технологическими процессами Табл. 8.8. Технологический маршрут формирования обычной структуры межсоединений и структуры «Damascena A1». Обычная структура А1-межсоединений Осаждение А1 TiN/Ti/AlCu/TiN/Ti: 50/25/450/40/20 нм Травление А1 (структура А) Осаждение Si02(HDP-CVD) Si02 - CMP Травление Si02 (структура В) Отжиг в Н2 (структура С) Damascena A1 Осаждение Si02 (PECVD) Si02: 700нм Реактивно-ионное травление Si02 Осаждение Al Al/Cu/Ti: 1000/30 нм А1-СМР Осаждение Si02 (PECVD) Травление Si02 (структура D) Отжиг в Н2 (структура Е) нок Si02. Уменьшение размеров элементов приборов до 0,18 мкм требует применения процессов осаждения Si02 в плазме высокой плотности («HDP», выше 10й см3) для полного заполнения узкого пространства между линиями металлической разводки. Осаждение пленок в такой плазме приводит к значительным нарушениям в подзатворном диэлектрике. Обычная структура А1 межсоединений «Damascene A1»- структура (D-A1) PECVD-SiO, ®:Без (В): Без отжига ©:ОтжигвН2 Рис. 8.104. Схема поперечного сечения обычной структуры А1-межсоединений и «Damascene A1»- структуры Для уменьшения нарушений, привносимых процессами плазменного травления и осаждения при формировании металлизации, используются так называемые процессы насечки металла (damascene Al, или D-A1). На рис. 8.104 приведена схема структур с обычной и D-Al-металлизацией. В табл.8.8 показано различие технологических маршрутов формирования обычных и D-Al-межсоединений. Исследования [116] показывают, что D-Al-процесс металлизации уменьшает эффективный антенный коэффициент и исключает из технологии HDPCVD- процесс, значительно уменьшая тем самым привносимые нарушения и увеличивая процент выхода годных суб-0,25-микронных МОПТ. Распределения кумулятивной вероятности для порогового напряжения и подпорогового 5-фактора 7 А 99.9 99 95 90 П 50 18 % 1 | •1 D-Al / / Обычный-Al 0.34 0.38 0.42 0.46 Vt (А/мкм) 75 80 85 90 95 100 105 110 S (мВ/декада) Рис. 8.105. Распределение кумулятивной вероятности порогового напряжения и подпорогового ^-фактора для различных структур А1-межсоединений
74 Глава 8. Влияние технологических процессов на надежность МОПТ показаны на рис. 8.105. Структуры D-A1 имеют более крутые характеристики, чем обычные А1-структуры. Слабые нарушения, возникающие в процессе D-A1 (структура D), достаточно полно отжигаются в Н2 (структура Е). 8.7. Контроль и управление привносимыми нарушениями в процессе изготовления МОПТ В данном разделе приведены примеры моделирования и контроля с помощью тестовых структур, которые могут использоваться для исследования и совершенствования технологии и оборудования, а также для мониторинга привносимой дефектности в технологическом процессе изготовления субмикронных МОПТ. 8.7.1. Моделирование накопления привносимых нарушений при проведении технологических процессов Данный пример моделирования ограничивается рассмотрением нарушений только при проведении плазменных процессов, однако методология может быть использована для расчетов зарядовых нарушений, вызываемых другими технологическими процессами, например, ионным легированием [117]. Необходимые данные для предсказания зарядовых нарушений во время плазменных процессов пред- Рис. 8.106. Верхний уровень информации для моде- ставлены на блок.схеМе рис. лирования о л пс г- 8.106. С использованием информации о каждом технологическом слое (геометрия антенн, площадь и толщина подзатворного окисла, расположение защитных диодов и др.) в рамках модели рассчитываются зарядные токи, протекающие через каждый подзатворныи окисел в течение каждой технологической операции. Далее, используя известные модели старения и пробоя подзатворного окисла, определяется интенсивность появления отказов окисла, а затем и отказов схемы. Определение токов заряжения Для предсказания выхода из строя подзатворного окисла необходимо сначала определить величину токов заряжения, проходящих через каждый подзатворныи окисел. На рис. 8.107 представлен процесс пошагового определения токов заряжения для одной технологической операции. Экспериментально определенный ток, приходящийся на единицу площади/длины антенны, является исходной информацией для расчетной модели. Плотность тока, приходящегося на единицу площади или длины периферии электрода, может быть определена с использованием следу- [Напряжение пробоя SiQ21 Защитные диоды Г Геометрия антенн I [(площадь, периметр)! | Окисел: Т , S 1 1 Расположение на пластине f \ , rv Скорость отказоЕ Плазменный! Цд JfccxeM и проблемы к модуль Ш - г пппшяпрй Технологический процесс. Травление Si* Травление контактов Отжиги Травление AI (Мет.1,...)
8.7. Контроль и управление привносимыми нарушениями в процессе изготовления MOIJT 1В Плотность тока заряжения Суммарный заряд собираемый каждой Чшшшшшшшшшшштшшшшшшшшшшшш Суммарный ток | заряжения I f Т* Ток заряжения каждого окисла Рис. 8.107. Блок-схема пошагового определения тока заряжения для каждого окисла ющей процедуры. Изготавливаются тестовые конденсаторы с использованием процессов жидкостного и плазменного травления. Подвергая образцы с жидкостным травлением воздействиям с различной величиной стрессового тока, можно получить группу контрольных тестовых конденсаторов, отличающихся степенью привносимых нарушений в подзатворный окисел. Далее, используя критерий равенства величины сдвига порогового напряжения AVt или сдвига С-К-характеристик для контрольных тестовых конденсаторов и конденсаторов, прошедших плазменную обработку, можно определить токи заряжения, вызванные плазменной обработкой, в соответствующих тестовых конденсаторах. Для примера на рис. 8.108 представлены несколько С-К-характеристик тестовых образцов, которые соответствуют различной степени нарушений. Можно видеть, что конденсатор, изготовленный с использованием плазмы (обозначенный символом +), совпадает с одной из С-К-кривых. В этом случае ток, индуцируемый плазмой, составляет 10 нА, а для определения плотности тока эта величина делится на площадь антенны или на длину периферии в соответствии с процессом либо удаления фоторезиста, либо травления материала антенны. Поэтому важно определить, какая часть антенны наиболее Рис. 8.108. C-V-характеристики конденсаторов, эффективно собирает заряды при про- изготовленных с использованием жидкостного ведении процесса. Влияние геометрии травления, после 60 с стресса постоянным то- межсоединений на величину тока за- ком при различных уровнях тока Топология Металл II fsFT] ! si*-2] ZX_Jl [Окнсел1{ [Окисел2[ |ОкиселЗ| Площадь окисла 10 6 s-^ е В 6 Л н у о g « щ 2 0, : г г : '. 3.0 Стрессовый ток: А: Контрольный образец В: 1пА С: 10 пА D: 1 мкА % D ^Ь \у/^\лс А i ... 1 ... i ... 1 ... 1 ... 1 ... 1 . ■ 2.0 -1.0 0.0 Напряжение затвора (В) ..<... 1.0
ш/76 Глава 8. Влияние технологических процессов на надежность МОПТ Площадь = 40000 мкм Толщина = 11,6нм 500 Вт 400 Вт -4-3-2-101234 Расстояние от центра пластины (см) ряжения рассмотрено в разделе 8.2.3. (рис. 8.23, 8.24 и 8.25). Таким образом, при моделировании необходимо знать для каждого технологического слоя площадь и длину периметра экспонируемых антенн. Зарядовый ток антенны распределяется между затворами, соединенными с антенной, а величина тока заряжения, проходящего через данный под- затворный окисел с площадью Аох, будет прямо пропорциональна AJlLA.n где ХД. — это сумма площадей подза- творных окислов, подсоединенных к антенне. На рис. 8.109 представлены характерные величины токов заряжения, проходящих через подзатворный окисел, в зависимости от местоположения на пластине. Такая радиальная зависимость токов заряжения характерна как для RIE-травления, так и для MERIE-травления. Таким образом, используя такую простую радиальную функцию, можно моделировать оптимальные зависимости для распределения плотности токов заряжения по пластине. Рис. 8.109. Зависимость величины тока заряжения от местоположения на пластине при различной мощности источника плазмы Модель пробоя окисла Используя процедуру, описанную выше, можно определить ток заряжения для каждого подзатворного окисла. Для определения вероятности пробоя окисла можно воспользоваться стандартной моделью пробоя, рассмотренной в главе 7. Существующие методы (например, нарастающее напряжение) позволяют получить необходимые характеристики пробоя окисла. В данном случае используется «1/£-модель» [118], в соответствии с которой собственное время жизни окисла или время до пробоя (tBD) имеет вид: fBD ~^0ехР =т0ехр G71 (8.28) где Тох — толщина окисла , Vox — напряжение на окисле, (?их0- коэффициенты пропорциональности. На практике конденсаторы определенных размеров имеют характеристики пробоя, которые определяются их дефектностью, поэтому их время жизни становится вероятностной переменной величиной. Каждое дефектное место ведет себя подобно окислу с эффективной толщиной Tefr Чем больше дефектность, тем меньше Teff. Пробой окисла в этом случае моделируется следующим образом [119]: *BD ~^0exF GTeff (8.29) Отметим, что случайная переменная Teff заменила Тож в выражении (8.28). Вероятность, что подзатворный окисел будет иметь время пробоя менее данной
8,7. Контроль и управление привносимыми нарушениями в процессе изготовления МОПТ 7 7' величины tBD, определяется вероятностью того, что окисел содержит специфическую величину Tefr Уравнение (8.29) можно распространить на случай переменного во времени напряжения, применяя квазистатическое приближение. Тогда времязависимый диэлектрический пробой (TDDB) можно моделировать как [119]: 1 еив 1=— ie~GTeff/Vpx(t)-dt, (8.30) х0 J о где tBD — время до пробоя, Vox - напряжение на окисле, Тох — толщина окисла, т0 и G— экспериментально определенные параметры для данной технологии. Каждый плазменный и ионно-имплантационный процесс привносит нарушения в подза- творный окисел, и эксперимент показывает, что эти нарушения добавляются друг к другу. В этом случае уравнение (8.30) превращается в эквивалентное: (8.31) где д.__1_Г„-ет#/*К'> (8.32) 0 о а / — номер технологического процесса в маршруте. Для случая, когда через окисел протекает ток заряжения, VfJ) является величиной постоянной для данного процесса и определяется из уравнения Фаулера— Нордгейма: * total ~ ^total ' {l/ \ехр(^-Л V г ох т (8.33) где С и е0 — постоянные, АШа1 — площадь окисла, /total — ток, проходящий через окисел. Vox можно определить, решая (8.33). Так как V^t) постоянная величина в одном процессе то: A^-^.cxP~GiTi)Teff , (8-34) где Хф которое вызывает пробой окисла внутри временного периода tBD, может быть определено путем решения (8.31). Допуская, что дефекты распределены равномерно и независимо по тестовым пластинам и действующим схемам, можно использовать распределение Пуассона для описания плотности дефектов. Вероятность того, что прибор выйдет из строя за время tBD или менее, равна вероятности того, что прибор содержит один или более дефектов размером Xeffwm менее. С использованием распределения Пуассона эта вероятность может быть выражена как: Р(выход из строя) — \ — е eff , (8.35) где D(XeJ^ — плотность дефектов размером Xeffwm меньше. D(Xe^ как функция Хе// определяется статистикой пробоя тестовых конденсаторов. Вероятность отказа
78 Глава 8. Влияние технологических процессов на надежность МОПТ схемы за некоторое время равна вероятности отказа по крайней мере одного из приборов схемы. Это выражается следующим образом: Р(выход из строя) = 1: /=1 -AD(X\eff) (8.36) где п — число МОП-приборов в схеме, D(X*efJ) — плотность дефектов для /-того прибора. Полная схема расчета вероятностей отказов демонстрируется рис. 8.110. Для определения вероятностей отказов подзатворных окислов в течение всего времени жизни, включая отжиги и время работы схемы, можно преобразовать уравнение (8.31): 1 = :2A'+A^" + A<* (8.37) Чтобы вычислить общую сумму привносимых нарушений за весь технологический маршрут, необходимо определить характеристики каждого отдельного технологического процесса с точки зрения привносимых нарушений (например, установление стрессового тока при плазменных процессах) и затем просуммировать привносимые нарушения после каждого процесса. Однако при высокотемпературных обработках, которые следуют после каждого технологического процесса, привносимые нарушения частично отжигаются (пассивируются), что приводит к улучшению состояния подзатворного окисла. Рис. 8.111 иллюстрирует изменение заряда до пробоя для МОП-конденсаторов, которые подвергались различному стрессу и отжигались при различной температуре. Сплошная линия на рисунке имеет наклон -1, показывая, что для пробоя в окисле необходим заряд величиной 30 Кл/см2. Кривые, соответствующие отсутствию отжига и отжигу при 400°С, имеют приблизительно тот же самый наклон -1, это означает, что улучшения состояния окисла не происходит. Пунктирная линия показывает, что отжиги при температуре 800 и 900°С уменьшают величину заряда до пробоя на одну треть. Этот параметр достаточен Ток заряжения Уравнение для туннельного F-N тока ъУ— Xeff=f[D(Xcff)] Тесты пробивного напряжения окисла D(Xeff) I Скорость отказов О 5 10 15 20 25 30 Инжектированный заряд перед отжигом (Кл/см2) Рис. 8.110. Последовательность расчета вероятности отказов для каждого подзатворного окисла Рис. 8.111. Влияние отжигов (20 мин) на величину заряда до пробоя. Отжиг при 400°С выполнен в форминг-газе, а при 800 и 900°С — в азоте
8.7. Контроль и управление привносимыми нарушениями в процессе изготовления МОПТ 77S^ для количественного определения состояния подзатворного окисла после отжига и составляет 1/3 для типичного случая. Влияние температурного отжига теперь легко учитывается в рамках описанной модели. Например, для технологического маршрута, состоящего из трех последовательных плазменных процессов и температурных отжигов после первого и второго Рис. 8.112. Схема дифференциального усилителя, используемого для моделирования плазменного процесса, можно определить привносимые нарушения путем решения следующего уравнения: 1 - Т (А плазма 1 + А плазма 2 ) + ^ плазма 3 * (8.38) Пример моделирования Для демонстрации изложенной методики моделирования была исследована надежность дифференциального усилителя (рис. 8.112 и 8.113).На рис. 8.114 показана схема нескольких технологических процессов изготовления дифференциального усилителя, которые влияют на надежность схемы и которые использовались для моделирования и расчета. Типичные величины стрессовых токов взяты из публикаций. На рис. 8.113 показана топология слоя с антеннами из поликремния и металла. Показаны также диффузионные области. Поскольку стрессовый ток в данном случае положительный (т.е. направлен от затвора через окисел в подложку), то защитные диоды выполнены путем подсоединения каждого затвора к /ьподложке. На рис. 8.112 от- ,М10 £ М1 ми. M14D | ОРТ] [ МЗ | М7СЮ ,.,..М12 , ] Металл О Диффуз. область Рис. 8.113. Топология дифференциального усилителя (рис. 8.112) 1 минута 2 минуты д|| | Травление I ™ I—к фоторезиста I м2р-^И J=12.5x10-7A/m2| 40 минут Рис. 8.114. Схема технологического маршрута, используемого для моделирования привносимой дефектности усилителя (рис. 8.112)
|г780 Глава 8. Влияние технологических процессов на надежность МОПТ ХУ3 V* Ь*Н ^.,7 Ю20 t : Без процесс 0 6 С процессом отжига нарушений а отжига нарушений ■-— ■ ■ Травление ш [ Si* A1 Фоторезиста! щ\ ' 4М% jflj 3.49% j 1 0% Процент отказов 8.64% i..i Р».,,.!.! ^['м.', -■■^■. , r'..>^»»*K« "r,rl. I'l, 1 ^■'.■..''г!"!'.!. .3 Ю 15 20 Время (годы) Рис. 8.115. Кумулятивные отказы в процессе изготовления схемы и ее работы мечены также три наиболее уязвимых транзистора. На вкладке рис. 8.115 показана полученная вероятность отказов за счет отдельных технологических процессов, выраженная в процентах. На рисунке демонстрируется также рассчитанная скорость отказов в течение времени работы схемы (20 лет) с учетом отжигов, проводимых в техпроцессе, и без них. Полученные данные показывают, что интенсивность отказов в течение работы схемы с использованием отжигов на шесть порядков меньше. Таким образом, моделирование привносимых нарушений в процессе изготовления ИС может быть использовано для прогнозирования надежности ИС не только в процессах производства, но и в процессе дальнейшей работы. 8.7.2. Контроль привносимых нарушений с использованием тестовых структур Первоначально исследования нарушений, возникающих при проведении технологических операций, проводились с использованием емкостных антенных структур, которые состояли из собирающего заряд электрода большой площади и подсоединенного к нему конденсатора с тонким изолирующим диэлектриком. Такие структуры позволяли изучать процессы, связанные с накоплением зарядов на проводящем электроде и возникновением нарушений в изолирующем диэлектрике в результате протекания токов заряжения. Однако для осуществления контроля зарядовых нарушений в современном производстве совершенно недостаточно использовать только такие антенные структуры, поскольку процессы накопления зарядов в реальных интегральных схемах значительно сложнее и связаны с процессами взаимодействия антенн затвора, стока, истока и подложки между собой. Поэтому тестовые структуры, используемые в производствах ИС для контроля зарядовых нарушений, как правило, содержат антенные структуры, интегрированные с КМОП-транзисторами. Тестовая структура «Charm-2» Для определения возможности внесения зарядовых нарушений технологическим процессом необходимо ответить на два вопроса: 1) потенциал, возникающий на поверхности пластины в процессе обработки пластины, достаточно высокий для возникновения тока в подзатворном окисле и если да, то: 2) ток в подзатвор- ном окисле достаточно большой для того, чтобы вызвать зарядовые нарушения? Для ответа на эти вопросы используются пластины с тестовыми структурами «Charm-2», в которых применяются потенциальные сенсоры и сенсоры заряда. С помощью последних получают вольт-амперные характеристики источника зарядов. Масштабирование антенных коэффициентов в сенсорах «Charm-2» по-
8.7. Контроль и управление привносимыми нарушениями в процессе изготовления МОПТ 23 зволяет определить токи заряжения для любых подзатворных окислов и предсказать величины зарядовых нарушений в подзатворных окислах различной толщины [120]. Потенциальные сенсоры «Charm-2» (рис. 8.116я) аналогичны широко используемым «антенным конденсаторам»: собирающий заряд электрод ССЕ (charge-collection-electrode) соответствует «антенне», a EEPROM- транзистор соответствует емкости затвор-подложка. Эти потенциальные сенсоры значительно чувствительнее «антенных конденсаторов». В потен- Рис.8.116. Charm-2: a - сенсор потенциала, циальном сенсоре «Charm-2» неболь- 6-сенсорзаряда шое количество заряда достаточно для того, чтобы изменить пороговое напряжение EEPROM-транзистора. Зарядовые сенсоры (датчики заряда) «Charm-2» — это по сути те же потенциальные сенсоры (датчики потенциала), но содержащие резистор, соединяющий ССЕ и подложку (рис. 8.116 (б)). EEPROM-транзистор измеряет напряжение на резисторе. Плотность тока при этом напряжении вычисляется, используя закон Ома, величину резистора и размер ССЕ. Суммируя данные сенсоров с различными резисторами, можно определить У/К-характеристики источника зарядов. Поскольку ультрафиолетовое (UV) излучение может инжектировать электроны в зону проводимости подзатворного диэлектрика, где они могут перемещаться низкими электрическими полями (т.е. UY-стирание в EPROMS), то тестовые пластины «Charm-2» могут содержать сенсоры, чувствительные к ультрафиолетовому излучению (датчики UV). Поскольку сенсоры, чувствительные к электростатическому заряжению, экранируются от UV, то возможно определенно разделить ультрафиолетовые и электростатические эффекты. Для обеспечения хорошей точности измерения сенсоры «Charm-2» калибруются перед использованием. Данные калибровки используются для преобразования порогового напряжения EEPROM в данные о поверхностном потенциале или потоке зарядов. При этом обеспечивается хорошая точность (±0,1 В для напряжения) и воспроизводимость результатов. Благодаря малым размерам сенсоров потенциала и заряда UY-распределения потенциалы и //^-характеристики имеют разрешение по пластине 8x8 мм. Тестовая структура SPIDER Схемная тестовая структура SPIDER предназначена для обнаружения нарушений, индуцируемых технологическим процессом (Sematech process-induced damage effect revealer) и содержит «антенные структуры», соединенные с транзисторами. Номинальная длина и ширина канала этих транзисторов составляет 0,5 и 5 мкм, соответственно, а толщина подзатворного диэлектрика 90 А[121]. Структура SPIDER содержит 18 тестовых модулей, каждый из которых чувствителен к специфическому технологическому процессу. Poly-модули состоят из «) ССЕ б) liiiig^iiniii Подложка ССЕ к"""|,'""-""!""'У!"""<;|:> v т '»■;»"««;! у.тч! т~ Подложка
Cs Глава 8. Влияние технологических процессов на надежность МОПТ четырех транзисторов с различными размерами и формами поликремниевых антенн, соединенных с затворами транзисторов. Из них три транзистора соединены с поли-81-антенными пластинами, площадь которых возрастает с увеличением номера транзистора, а четвертый транзистор имеет поликремниевую антенну в виде длинной линии (54 мм) для детектирования плазменного тока заряжения от края линии. Эти модули чувствительны к процессам формирования поли-Si -затвора (травление поликремния, удаление фоторезиста, травление спейсера, осаждение диэлектрика на поликремний). Металл-1-модуль с металлическими антеннами предназначен для детектирования зарядовых нарушений при таких процессах, как травление металла, удаление резиста с металла и осаждение межуровневого диэлектрика. Full-flow-модули обеспечивали регистрацию суммарного эффекта деградации прибора от процессов формирования поли-Si, контактов и металла-1. Via-модули регистрировали суммарный эффект деградации прибора при процессах формирования металла-1, межуровневого слоя и металла-2. Кроме того, тестовая структура SPIDER содержит контрольные модули, в которых контрольные приборы защищены от зарядовых нарушений, возникающих при проведении перечисленных технологических процессов. В качестве основных индикаторов зарядовых нарушений, возникающих в тестовых модулях при проведении плазменных процессов, используются измерения деградации таких параметров транзисторов, как проводимость^ и сдвиг порогового напряжения Vv Тестовая структура SPIDER2 Тестовая схема SPIDER2, предназначенная для обнаружения и удаления нарушений, индуцируемых полупроводниковыми процессами (Semiconductor Process Induced Damage Effect Revealer and Remover), спроектирована для обслуживания полупроводникового производства третьего тысячелетия [122]. Физический размер чипа 20 х 20 мм. Более 800 систематически расположенных тестовых приборов позволяют рассчитывать и проектировать межсоединения для продвинутых (advanced) БИС. Действительно, антенны, собирающие заряд, соединены не только с МОП-затвором, но также и с истоком и стоком транзистора и с подложкой. Антенны имеют взаимно различные направления, расстояния, размеры, промежутки, формы и позволяют детектировать взаимодействия этих антенн по всей поверхности пластины. Кроме того, контрольные приборы и приборы с защитными кольцами, которые защищают приборы от зарядовых нарушений, позволяют проводить относительные и количественные сравнения. Ниже рассматриваются тестовые структуры, связанные с антеннами большой площади, с вычитанием и сложением антенных эффектов стока/истока/подложки, с эффектами расстояния и направления для чипов больших размеров и с флюктуациями плазмы при больших диаметрах пластин. Эффекты антенн с большими площадями На рис. 8.117 приведена схема тестовой структуры с большой площадью антенны круглой и прямоугольной формы. МОП-конденсатор с тонким подзатвор- ным окислом расположен в центре антенны (вид сверху). Зачастую антенны тако-
8.7. Контролъ и управление привносимыми нарушениями в процессе изготовления МОПТ 78 3^ го рода не приводят к значительным зарядовым нарушениям даже при антенных коэффициентах ~105 и более. В то же время в реальных приборах интегральных схем затворы МОП- транзисторов и МОП-конденсаторы получают значительные зарядовые нарушения с антенным коэффициентом 103 и менее. Это происходит в результате сильной емкостной связи между антенной большой площади и поверхностью пластины, находящейся под антенной, как показано пунктирной линией на рис. 8.117 — вид сбоку. Эта область подложки, расположенная под затвором и под антенной, Вид сверху: Плазменный заряд Вид сбоку: Плазменный заряд Затвор Подзатворный „_ окисел Область с емкостной связью < с антенной > Потенциал зависит от потенциала антенны Подложка Потенциал Рис. 8.117. Эффект антенны большой площади Потенциал в данной работе называется «локальной подложкой» и отличается от остальной части подложки (объема подложки) тем, что электрический потенциал в области локальной подложки отличен от потенциала объема подложки в течение переходного периода действия потенциала антенны. При этом потенциал локальной подложки ближе к потенциалу затвора, нежели потенциал объема подложки. Разница потенциалов на подзатворном окисле между затвором и локальной подложкой становится меньше, чем между затвором и объемом подложки. Такой эффект приводит к уменьшению тока через подзатворный окисел и уменьшению зарядовых нарушений в подзатворном окисле. Эффект локальной подложки становится тем значительнее, чем дальше от края затвора простирается область антенны, под которой располагается затвор. В большинстве случаев реальных ИС линия межсоединений затвора никогда полностью не перекрывает площадь подзатворного окисла, а подзатворный окисел не располагается в средней части антенны. Поэтому реальные МОП-транзисторы подвержены зарядовым нарушениям даже при антенных коэффициентах 103 и менее. Эта ситуация представляется следующим образом: антенна с большой площадью отодвинута от затвора на некоторое расстояние, а соединение затвора с антенной выполнено узким проводником. Вычитание антенных эффектов В случае тестовых пластин с емкостными антеннами потенциал объема подложки равномерный, несмотря на профиль плазменного потенциала у верхней поверхности пластины (рис. 8.118-8.120) [123]. При Плазма Подложка •-ГггГ./ Антенна Индукционная катушка \ О О Плазма О Ток i -^tc_i Пластина Рис. 8.118. Модель плазменных нарушений. Плазменный потенциал имеет вогнутую форму
Глава 8. Влияние технологических процессов на надежность МОПТ Потенциал Индукционная - катушка О О О Плазма ."Ток tekga Пластина Потенциал 1 Плазма ^* Антенна / Подложка » Плазма ! Ток отсутствует i Пластина Рис. 8.119. Модель плазменных нарушений. Потенциал плазмы имеет выпуклую форму Рис. 8.120. Модель плазменных нарушений. Плазменный потенциал однородный Плазменный - заряд /за 4 Плазменный заряд //2 5000 : 1 а Плазменный / заряд Плазменный заряд больших площадях емкостных антенн, как описано в предыдущем разделе, потенциал затвора следует за изменениями плазменного потенциала, а потенциал локальной подложки подвержен влиянию равномерного потенциала подложки в объеме, если не поврежден подзатворный окисел. Поэтому значительные зарядовые нарушения возникают по пластине в зависимости от однородности профиля плазмы: вогнутого (рис.8.118) или выпуклого (рис.8.119); нарушения отсутствуют при равномерном плазменном потенциале (рис.8.120). Однако МОП-транзисторы с большими площадками антенн (размером 100 мкм х 100 мкм), подсоединенными ко всем четырем электродам (рис. 8.121), редко подвержены зарядовым нарушениям, даже при значительной неоднородности плазмы. Это объясняется существованием эффекта вычитания сток/исток/подложка-антенных эффектов, как иллюстрирует рис. 8.122 (схема поперечного сечения — рис. 8.121). Все четыре площадки (рис. 8.121) действуют как антенны, и плазменный потенциал заряжает затвор, исток, сток и подложку до одного и того же уровня. Следовательно, потенциал локальной подложки, который подвержен значительному влиянию антенн стока и истока через прямой физический контакт, имеет близкую величину к потенциалу затвора (рис.8.123 и 8.124), хотя объем подложки может иметь потенциал, близкий к равномерному. С большими комбинированными по форме антеннами, присоединенными к затвору/истоку/стоку/подложке (рис.8.125), транзисторы не обнаруживают нарушений по всей поверхности пластины даже с неоднородной плазмой, если Рис. 8.121. МОП-транзистор с антеннами, подсоединенными ко всем четырем терминалам. Антенный коэффициент каждого составляет 5000
8.7. Контроль и управление привносимыми нарушениями в процессе изготовления МОПТ 785" Плазменный /заряд Т8^ Локальная подложка Потенциал Токи > заряжения 185 В Подложка в обьеме Потенциал Потенциал 1 Плазма 1 х^ ^^Локальная 1 ^"■*^ ^-0*^ подложка I 1 Антенна затвора *шг^ %^ .> / \ / \ .; Плазма : ч. " *""* Локальный ток i ♦ ♦ 1 1 * L | ♦ Т Т ♦ ♦ Т | Пластина Рис. 8.122. Схема поперечного сечения МОП- транзистора с антеннами затвора, стока, истока и подложки. Благодаря сильной связи с истоком и стоком локальная подложка имеет потенциал только на 2 В ниже, чем затвор Рис 8 ш. Модель плазменных на- антенны имеют одинаковую форму, размеры и рушений с учетом антенн стока/ близко расположены. Это объясняется тем, что истока/подложки и локальной подток через подзатворный окисел определяется ложки Для вогнутой формы плаз- разницей потенциалов между антенной затво- менного потенциала ра и локальной подложкой под затвором. А эти две величины очень близки по всей поверхности пластины (рис. 8.123, 8.124). Этот эффект назван «эффектом вычитания антенных эффектов сток/исток/ подложка». Эффекты расстояния и направления антенн для чипов больших размеров В обычных приборах И С проводники межсоединений истока/стока/под- Потенциал Плазма Антенна затвора \ Локальная v шодложка Плазма Локальный ток М Н Н Пластина Рис. 8.124. Модель плазменных нарушений с учетом антенн стока/ истока/подложки и локальной подложки для выпуклой формы плазменного потенциала Плазменный • заряд Плазменны Антенна' Рис. 8.125. МОП-транзистор с комбинированными антеннами, соединенными со всеми четырьмя терминалами
86 Глава 8. Влияние технологических процессов на надежность МОПТ Рис. 8.126. Антенные эффекты расстояния/направления и вычитания/сложения для больших размеров чипов и вогнутой формы плазменного потенциала ложки и затвора не имеют одинаковые длину, направление и форму. Они почти всегда различны. На рис. 8.126 представлены семь упрощенных комбинаций различных расстояний и направлений для одинаковых комбинированных антенн, подсоединенных к затвору и стоку (для простоты рассмотрения). Однако такой же механизм может быть применим к истоку и даже с более сложными соединениями, такими как антенные комбинации исток/подложка, исток/сток, исток/сток/ подложка и т.д. Тестовые структуры этих семи комбинаций располагаются у левого края, в центре и у правого края пластины, которая экспонируется U-образным профилем плазменного потенциала. Верхние четыре случая имеют эффект вычитания, так как антенны затвора и стока направлены в одну сторону. В двух верхних случаях отсутствуют зарядовые нарушения, поскольку антенны затвора и стока расположены в позициях с одинаковым потенциалом. Однако в следующих двух случаях, где антенны затвора и стока расположены на различных расстояниях от МОП-транзистора, разница потенциалов на подзатворном окисле зависит от различия плазменных потенциалов в местах, где расположены антенны. В зависимости от полярности разницы потенциалов на подзатворном окисле имеет место инжекция либо из затвора, либо из подложки (см. рис. 8.126). В нижних трех случаях демонстрируется сложение антенных эффектов стока и затвора. В этих трех случаях антенна затвора и антенна стока расположены в противоположных направлениях. Поэтому разница потенциалов на подзатворном
8.7. Контроль и управление привносимыми нарушениями в процессе изготовления МОПТ 78 окисле всегда увеличивается (добавляется), когда тестовая структура располагается на наклонном профиле плазменного потенциала. Если величина неоднородности плазменного потенциала значительна, то различие потенциалов затвора и локальной подложки вызывается значительным током, протекающим внутри подложки. В этом случае (верхний в центре) инжек- ция из затвора может возникнуть даже в приборах, имеющих одинаковые антенны затвора и стока, расположенные в центре пластины. Эффекты флюктуации плазмы при больших диаметрах пластин При измерении равномерности плазменного потенциала в реакционной камере на одинаковом расстоянии от поверхности пластины обычно результаты измерения усредняются по времени. Поэтому на самом деле плазменные флюктуации существуют даже в однородной усредненной по времени плазме. Флюктуации плазмы существуют за счет неравномерной генерации плазмы, неравномерной электронной температуры плазмы, плазменной нестабильности, плазменного дугового пробоя, импульсной модуляции плазмы и других шумовых эффектов. При больших диаметрах пластин в системе, в которой существуют плазменные флюктуации, зарядовые нарушения будут определяться также эффектами расстояния и направления (рис. 8.127). Физические расстояния для плазмы, связанные с существенным изменением потенциального профиля, обычно соотносятся с Дебаевской длиной и толщиной области пространственного заряда. Эти величины в большинстве случаев имеют порядок 0,1—10 мм. Поэтому эффекты расстояния, направления, вычитания, добавления и флюктуации становятся значительными, когда размеры проводниковых линий межсоединений в больших чипах сравнимы с длиной 0,1—10 мм или более. Кроме того, хотя для объяснения различных эффектов используется понятие равномерности плазменного потенциала, равномерность потоков ионов и электронов по поверхности пластины также может вызывать подобные эффекты. Более того, эти эффекты существуют в равной степени при плазменном травлении, осаждении и ионной имплантации. С помощью тестовых струк- Рис. 8.127. Плазменные флюктуации. Эффект плаз- тур SPIDER2 можно контроли- менных флюктуации становится значительнее при ровать рассмотренные эффекты, больших диаметрах пластин и поштучной обработке вызывающие деградацию под- в плазменной камере Потенциал -М—Н—Й
г88 Глава 8. Влияние технологических процессов на надежность МОПТ затворного диэлектрика. Пластины с этими структурами могут использоваться не только для совершенствования технологических процессов, оборудования и мониторинга производства, но также для получения данных о взаимодействии антенн затворов, истоков, стоков и подложки при различных размерах, формах, расстояниях и направлениях. Эти данные могут быть использованы как входные параметры для программного расчета контрольно-проектных норм (DRC - Design Rule Check) с учетом плазменных нарушений и внесения соответствующей коррекции на стадии проектирования слоев БИС. ЛИТЕРАТУРА 1. S. J. Fonash, «Plasma processing damage in etching and deposition», IBM J. Res. Develop. Vol.43, No. 12, January/March 1999. 2. H.C. Shin and Chenming Hu, «Thin gate oxide damage due to plasma processing», Semicond. Sci. Technol. 11(1996), No.4, 463-473. Printed in the UK. 3. H.C. Shin, King C.-C. and С. Ни, 1992, «Thin oxide damage by plasma etching and ashing process », Prooc. IEEE Int. Reliability Physics Symp., pp.37—41. 4. Fang S. and McVittie J., 1992 «A model and experiments for thin oxide damage from wafer charging in magnetron plasmas», IEEE Electron Device Lett., 13, 347—9. 5. Garscadden A. and Emeleus K.G., 1962, «Notes on the effect of noise on Langmuir probe characteristics», Proc.Phys. Soc, 79, 535-41. 6. Gary A. and Mathews G.B., 1931, «A Treatise on Bessel Function and their Applications to Physics», (London:Macmillan). 7. Fang S., S.Muzakawa and J.P McVittie , «Modeling of Oxide Breakdown from Gate Charging During Resist Ashing», IEEE Trans. on.Electr. Dev, Vol .41, No. 10, October, 1994. 8. GRMisium, «Macroscopic modeling of oxygen plasmas», J.Vac. Sci.Technol., Vol .A8, p. 1642, 1990. 9. O.O. Awadel Kirim, S.J. Fonash, PI. Mikulan and Y.D.Chan, «Plasma-charging damage to gate Si02 and Si02-Si interfaces in submicron channel transistors: Latent defects and passivation/ depassivation of defects by hydrogen», JAppl.Phys., 79(1), January, 1996. 10. Hung K.K., Ко Р.К., Hu C. and Cheng Y.C., 1990, «A physical-based MOSFET noise model for circuit simulators», IEEE Trans. Electron. Dev, 37, 1323. 11. Hung K.K., Ко Р.К., Hu С and Cheng Y.C., 1990, «Rendom telegraph noise of deep- submicromiter MOSFET 's», IEEE Electron. Dev. Lett., 11, 90. 12. Tsuchiya T, Kobayashi T and Nakajima S., «Hot-carrier-injected oxide region and hot electron trapping as the main cause in Si nMOSFET degradation», IEEE Trans. Electron. Dev, Vol.ED- 34, pp.386-391, 1997. 13. Koyanagi M., «Submicron Devices II», ed., M.Kisimo, p.132, Maruzen, Tokyo,1998. 14. Hsu FC. and Tarn S., «Relationship between MOSFET degradation and hot- electron -induced interface-state generation», IEEE Electron. Dev. Lett., Vol. EDL-5, pp.50-52, 1984. 15. Das N.C. and Nathan V, «Hot carrier induced interface trap anealing in silicon field effect transistors», JAppl.Phys., Vol.74, pp. 7596-7599, 1993. 16. Sun S.C. and Plummez J.D., «Electron mobility in inversion and accumulation layers on thermally oxidized silicon surfaces», IEEE Trans. Electron. Dev, Vol.ED-27, pp. 1497-1508, 1980. 17. K. Eriguchi, MAzai, YUraoka and M.Kubota, «Plasma-induced Transconductance Degradation of nMOSFET with Thin Gate Oxide», IEICE Trans. Electron., Vol.E-78-C,No 3, March, 1995. 18. Di Maria D.J, Carrier E. And Arnold D., «Impact ionization trap creation and breakdown in silicon dioxide films on silicon», JAppl.Phys., Vol.73, pp.3367—3384, 1993.
ЛИТЕРАТУРА 789 19. Krisch KS.and Sogini C.G., «Suppression of interface-state generation in reoxidized nitrided oxide gate dielectrics», JAppl.Phys., Vol.76, pp.2284-2292, 1994. 20. Eriguchi K, Uzaoka Y, Nakagava H., Tamaki T, Kubota M. and Nmuma N., «Quantitaaative evalution of gate oxide damage during plasma processing using antenna-structure cappacitors», Jap.JAppl.Phys., Vol.33, No.lA, pp.83-87, 1994. 21. E.Harari, «Dielectric breakdown in electrically stressed thin films of thermal Si02», JAppl. Phys., Vol.49, No.4, pp.2478, 1978. 22. S. Fang and J.PMcVittie, «Thin oxide damage from gate charging plasma processing», IEEE Electron. Dev. Lett., Vol. 13, No.5, May, 1992. 23. H.C. Shin, and C. Hu, «Dependence of P Plasma-Induced Oxide Charging Current on Al Antenna Geomety», IEEE Electron. Dev. Lett., Vol. 13, No. 12, Desember, 1992. 24. A.Salah, O.O. Awadelkarim, F. Preuninger and Y.D.Chan, «Observation of a new type of plasma etching damage; Damage to n-channel transistors arising from inductive metal loops », Appl.Phys.Lett, 68 (12), 18 March, 1996. 25. J.Zaho, H.S.Chen and C.S. Tang, Annual Proceedings of the Reliability Physics Symposium (1996), p.33. 26. H.C. Shin, and C. Hu, Semicond. Sci. Technol.,11, 463 (1996). 27. M.G. El Hassan, O.O. Awadelkarim and J.Werking, Proceedings of the Second International Symposium on Plasma Processing- Induced Damage (1997), p.251. 28. PHeremans, J.Witters, G.Groeseneken, and H. Meas, IEEE Trans. Electron. Dev, Vol.36, pp.1318, 1989. 29. M.G. El Hassan, O.O. Awadelkarim and J.Werking, «Observation of chanel shortening in n-metal-oxide-semiconductor field- effect transistors arising from interconnect plasma processing», J. Vac. Sci.Technol. A16 (3), May/Jun ,1998. 30. C.R.Viswanathan, Characterization Methods for Submicron MOSFET's, H.Haddera, Ed. Boston, M.A.: Kliwer, 1994, ch.4, pp. 67-108. 31. D.K.Schroder, Semiconductor Material and Device Characterisation. New York: Wiley, 1990, pp.283. 32. M.G Ancona, N.S.Saks and D.McCarthy, IEEE Trans. Electron. Dev, Vol.35, pp.2221, (1988). 33. PHeremans, J.Witters, G.Groeseneken and H.Meas, «Analisis of the charge pumping technigue and its application for the eveluation of MOSFET degradation», IEEE Trans. Electron. Dev, Vol.36, pp.1318-1335, July, 1989. 34. M.Pagey R.Milanowski, E.Snyder, N.Bui, B.Deem, B.Bhuva and S.Kerns, «Unified model for n-chanal hot-carrier degradation under different degradation mechanisms», Int. Reliab. Phys. Symp., 1996,pp.289-293. 35. B.Doyle, M.Bourcerie, J.-C. Marchetaux and A. Boudou, «Interface state creation and charge trapping in the medium-to-high gate voltage range (V/2 = V = Vd) during hot-carrier stressing of n-MOS transistors», IEEE Trans. Electron. Dev, Vol.37 g , pp.744-754, March, 1990. 36. M.Okandan, S.J.Fonash, M.Ozaita, FPreuninger,YD.Chan and J.Werking , «Cyclic Current- Voltage Characterization Applied to Edge Damage«; IEEE Electron Dev. Lett., Vol.18, No. 10, October, 1997. 37. S.J. Fonash, M.Ozaita, O.O.Awadelkarim, F.Preuninger, YD.Chan., «Detection and comparison of localized states by plasma exposure or plasma charging during reactive ion etching», JAppl.Phys., Vol.79, p.2091, 1996. 38. PShufflebotham, M.Weise, D.Pirkle and D.Denison, Mater. Sci. Forum, 140-142, 255 (1993). 39. K.Chenng and C.Chang, JAppl.Phys., 75, p.4415, 1994. 40. S.Bothra, C.T.Gabriel, S.Lassing and D.Pirkle, «Control of Plasma Damage to gate oxide during high density plasma chamical vapor deposition», J.Electrochem. Soc, Vol.142, No.l 1, Nov., 1995. 41. C.Gabriel, in «Microelectronic Processis, Sensors, and Controls», K.Elliott, J.Hauser, D.Kwong and A.Ray Editors, Proc.SPIE, 2091, pp.239-247(1994).
С5 Глава 8. Влияние технологических процессов на надежность МОПТ 42. S.Lassing, K.Olsonand WPatrik, in Proc. ofTwelfth International VLSI Multilevel Interconnect, Conf, June 1993, pp. 122-124. 43. T.Gu, M.Okandan, O.O. Awadelkarim, SJ.Fonash, J.FRembetscki, PAum and Y.D.Chan, IEEE Electron. Device. Letters., EDL-15, 48, 1994. 44. E.Cartier, J.H.Stathis and D.ABuchanan, Appl.Phys.Lett. 63, 1510 (1993). 45. C.H.Seager and D.S.Gineley Appl.Phys.Lett. 34, 337 (1979). 46. LJaszzebski, J.Lagowski, G.M.Gullen and J.I.Pankove, Appl. Phys. Lett. 40, 713 (1982). 47. C.T. Sah, J.Y.C. Sum and J.J. Tzan, Appl.Phys.Lett. 43, 204 (1983). 48. S. J. Pearton, Mater. Res.Soc. Symp. Proc. 59, 457 (1986). 49. O.O. Awadelkarim, T. Gu, RA. Ditizio, P.I. Mikulan, S.J. Fonash, KA. Reinhardt and YD.Chan, Appl. Phys. Lett. 62, 958 (1994). 50. E.H. Poindexter and PJ. Caplan, Prog.Surf.Sci., 14, 201 (1983). 51. A. Stesmans, Appl. Phys. Lett. 48, 972 (1986). 52. K.L. Brower, Appl. Phys. Lett. 53, 508 (1988). 53. E.H. Poindexter and P.J. Caplan, J.Vac.Sci. Technol. AG, 1352 (1988). 54. F.J. Feigel, W.B.Fowler and K.L.Yip, Solid State Commun., 14, 225 (1974). 55. AH. Edwards and W.B.Fowler, Phys. Rev., B26, 6649 (1982). 56. W.L. Warren, E.H. Poindexter, M.Offenberg and WM. Muller-Warmuth, J. Electrochem. Soc, 139,872(1992). 57. N.H.Nickkol, A.Yin and , S.J. Fonash, Appl. Phys. Lett. 65, 3099 (1994). 58. K.L. Brower, Phys. Rev. B42, 3444 (1990). 59. T. Brozek and C.R. Viswanathan, «Increased hole trapping in gate oxides as latent damage from plasma charging», Semicond. Sci. Technol., 12(1997), 1551 — 1558. Printed in UK. 60. T. Brozek, Y.D.Chan and C.R. Viswanathan, «A model for threshold voltage shift under positive and negative high-field electron injection in СМОП transistors», Jap. J. Appl. Phys. 34, pt.l, 969,(1995). 61. J.C. King and C.Hu, «Effect of low and high temperature anneal on prociss-induced damage of gate oxide», IEEE Electron. Device. Letters., 15, 475, 1994. 62. T. Brozek, Y.D.Chan and C.R. Viswanathan, «Degraded СМОП hot barrier life time-role of plasma etching induced charring damage and edge damage», Proc. IEEE Int.Rel. Phys. Symp., p.260, (1995). 63. T. Brozek and C.R. Viswanathan , «Generation of hole traps in thin silicon oxide layers under high-field electron injection», Appl. Phys. Lett. 68, 1826 (1996). 64. J.FVerwey «Nonavalonche injection of hot carriers into Si02», JAppl.Phys., 44, p.2681, 1973. 65. R. Woltjer, G.M.Paulzen. H.Lifka and PWoerlee, «Positive oxide charge generation during 0,25 мкм PMOSFET hot carrier generation», IEEE Electron. Dev. Lett., 15, 427, 1994. 66. S. Hoddad, C. Chang, B. Swaminathan and J.Hien, «due to hole trapping in flash memory cells», IEEE Electron. Device. Letters., 10, 74, 1989. 67. NJ.Chou and B.L. Crowder, JAppl.Phys ., 41, p. 1731, 1970. 68. T.Tokuyama, Proceeding of the 5th Conference on Solid State Devices, Tokyo 1973, in Oyo Butsuri (Japan) Suppl. 43, 499, 1974. 69. T.R. Gass and V.K.G. Reddi, Appl.Phys Letters., 23, p.268, 1973. 70. RA. Moline and AG Gullis, Appl.Phys Letters., 26, p.551, 1975. 71. T.Hirao, GFuse, K. Inoue, STakayanagi, YYaegashi, S. Ichikawa and T.Izumi, JAppl.Phys ., 51,p.262, 1980. 72. T.Izumi and T Matsumori, JAppl.Phys ., 14, p. 1067, 1975. 73. T.Izumi and T Matsumori, «Ion Implantation in Semiconductors in The Proceedings of the 4th conference on Ion Implantation in Semiconductors and Other Materrials, Osaka, 1974, edited by S.Namba (Plenum, New York, 1975) p.539». 74. T.Izumi, M.Satoh, M.Kawamura and T Matsumori, Ratiat. Eff. 47, 51,1980. 75. T.Izumi and T Matsumori, T Hirao, YYaegashi, G.Huse and K. Inoue, Nucl. Sci. 28, 4105,1981. 76. AStesmans, J.Braet and J.Witters, Jap JAppl.Phys., 55(6), 15 March, 1984.
ЛИТЕРАТУРА 79IJJ 77. P.M. Lenahan, K.L. Brower, PV Dressendorf and W.C.Johnson, IEEE Trans. Nucl. Sci, 28, 4105, 1981. 78. E. H. Poindexter and.P J. Caplan, J.Vac. Sci. Technol., A6 (3), May/Jun., 1988. 79. E. H. Poindexter and.P. J. Caplan, Prog. Sci., 14, p.201., 1983. 80. E. H. Poindexter and.P. J. Caplan, PK. Vasudev and R.C.Henderson, in Science and Technology of Microfabrication, edited by R.E. Howard, E.L. Hu, S.Namba and S.WPang (Materials Research Society, Pittsburgh, 1987, p.241. 81. Б.И. Вихрев, Н.Н. Герасименко и Г.П. Лебедев, «Микроэлектроника», 6,52, 1977. 82. S. Sugiura and S.Shinozaki, «Degradation in Quality of Very thin Gate Oxide by Arsenic Ion Implantation», J. Electrochem. Soc: Solid-State in Science and Technology, Vol.134, No.3, pp.681-684, March, 1987. 83. Khairurrijal, W. Mizubayashi, S.Miyazaki and M.Hirose, «Unified analytic model of direct and Fowler - Nordheim tunnel currents through ultrathim gate oxides», Appl.Phys Letters., Vol.77, No.22,p.27, Nov., 2000. 84. WRHarrell, C.Gopalakrishnan, «Implication of advanced modeling on the observation of Poole-Frenkel effect saturation», Thin Solid Films 405, pp.205-217, 2002. 85. K. Kotani, T Shibata, T.Ohmi, «Hot-carrier-immunity degradation in metal oxide semiconductor field effect transistors, cansed by ion-bombardment process», Jap JAppl.Phys., 29, No. 12, pp.2289-2291, 1990. 86. H.Muto, H.Fujii, K.Nakanishi and S.Ikeda, «A. mechanism of gate oxide deteriozation during As ion implantation», IEEE Trans. Electron. Dev., Vol.38, No.6, Jun., 1991. 87. M.I. Current, ABhattacharyga and M.Khid, «Control of surfase charging during high current ion implatation», Nucl. Instrum. Method. Phys. Rec, Vol.B37/338, pp.555-558, 1989. 88. S.B.Felch, VK. Basra and CM. McKenna, «Devece dependence of charging effects from high current ion implatation », IEEE Trans. .Electron. Dev., Vol.35,pp.2338-2342, Dec, 1988. 89. E.H. Nocollian and J.R.Brews, MOS Physics and Technology, New York, NY: Wiley 1982. 90. M. Noyori and Т. Ногу in Insuulating Films on Semiconductors, J.J. Simonne and J.Buxo, Eds. Amsterdam, The Netherlands: Elsevier, pp. 159—164, 1986. 91. B. Svensson and G.Holmen, «Electron emission from ion-bombarder aluminum», JAppl. Phys., Vol.52, pp.6928-6933, Nov. 1981. 92. K.Nakanishi, H.Muto, H.Fujii, S.Sasaki, H.Yamamoto, S.MatsudaandS.Kato, «Deteriozation of insulating films on silicon wafer due to surface charging duringion implantation», J. Electron Mat., Vol.19, No.7, pp.739-746, 1990. 93. B.J. Doherty D.J. McCarron, Nucl. Instr. and Meth., B37/38, p.559, 1989. 94. Negai N., T Kawai, M. Naito, Y Nishigami, H. Fujisawa and K.Nishikawa, Nucl. Instr. and Meth., B37/38, p.572, 1989. 95. T Higuchi, M.Sato and T Tamai, Nucl. Instr. and Meth., B37/38, p.605, 1989. 96. C.P Wu and F. Kolondra, J. Electrochem. Soc, Vol.138, p.3100, 1991. 97. K. Mameno, ANishida, H.Nagasawa, H. Fujiwara, K.Suzuki and K.Yoneda, «Elimination of negative charge-up during high current ion implantation», IEICE Trans. Electron., Vol.E- 77-C, No.3, March.., 1994. 98. H. Ito and N.R. White, Nucl. Instr. and Meth., B55, p.527, 1991. 99. JA. Strain, YTanaka, N.R. White and R.J. Woodward, Nucl. Instr. and Meth., B55, p.97, 1991. 100. H. Ito and M.I. Current, Ion Implantation Technology Conference Proceedings, pp.432-435, 1996. 101. M. Niwayama, H.Kubo, K.Yoneda, n-Kasugacha, M.-Ku, HAsech and H. Ito, «A Study of charge neutraliization Method during high current ion implantation for ultra thin gate dielectric». Internat.Conf.on Ion Implantation Proceedings,Vol.2, pp.598-601, 1998 102. A.J.Lelis and T.R. Oldham, «Reliability Effects of X-Ray Lithography Exposures on Submicron- channel MOSFET's», IEEE Trans, on Nuclear Science, Vol.40 , No.6,Dec, 1993. 103.F.-C. Hsu and STam, «Relationship Between MOSFET Degradation and Hot-Electron- Induced Interface-State Generation», IEEE Electron Device Lett., ED4—5, pp.50—52, 1984.
92 Глава 8. Влияние технологических процессов на надежность МОПТ 104.M.Koyonagi, A.G. Lewis, RA. Martin, T.-Y Huang and J.Y Chen, «Hot-Electron-Induced Ounch through (HEIP) Effect in Submicrometer PMOSFET's», IEEE Trans.Electron Device, ED34, pp.839-844, 1987. 105. A Acovic, C.C.-H. Hsu, L.-C. Hsia, A.Balasinski and T.R Ma, «Effects of X-Ray Irradiation on GIDL in MOSFET's», IEEE Electron Dev. Lett., Vol.13, No.4, Apr., 1992. 106. TV Nordstrom and C.F. Gibbon, «The Effect of Gate Oxide Thickness on the Radiation Hardness of Silicon-Gate CMOS», IEEE Trans, on Nuclear Science, Vol.28 , No.6, pp.4349- 4353, 1991. 107.TTsuchiga, M.Harada, K.Deguchi and TMatsuda, «Effects of Synchrotron X-Ray Irradiation on Hot Carrier Reliability in Subquarter-Micrometer NMOSFET's», IEICE Trans. Electron., Vol.E76-C, No.4, Apr., 1993. 108. S.N. Saks, M.G. Ancona and J.A. Modolo, «Rediation Effects in MOS Capacitors with Very Thin Oxides at 80°K», IEEE Trans, on Nuclear Science, Vol.31, pp.1249-1255 , 1984. 109. J.M. Aitken and D.R.Young, «Electron trapping in electron-beam irradiated Si02», JAppl. Phys., 46(9), June, 1978. 110.R. CastaigneandAVapalle, Surf.Sci., 28, 157, March, 1971. lll.TH. Ning and H.N. Yu, JAppl.Phys ., 45, p.5373, 1974. 112.TTsuchiga, N.Shiono, O.Nakajima, C.Hashimoto ans YSakabara, «Electron Beam Induced Damage in Poly-Si Gate Mos Struktures and Its Effect on Long-Term Stability», J. Electrochem. Soc: Solid-State Science and Tehnology April, 1983. 113. E.N.Nicollian, C.N.Berglund, PF.Schidt and J.MAndrews, JAppl.Phys., 42, p.5654, 1971. 114.F.J.Feigl, D.R.Young, D.J.Dimaria, S.Lai and J.Colise, JAppl.Phys., 52, p.5665, 1981. 115. F Shone, K.Wu, J.Shaw, E.Hokelet, S. Mittal and AHaranahalli, «Gate oxide charging and its elimination for metal antenna capacitor and transistor in VLSI CMOS double layer metal technology», Symp. VLSI TECH. Digest Papers 73-4, 1989. 116. K.Shiba and YHayashi, «Investigation of Plasma-Induced Charging Damage for n-MOSFETS With Conventional or Demescene Al interconnects», IEEE Internat. Conf. Ion Implantation Semicondn, 1999. 117.R.Tu, J.C.King, H.Shin and C.Hu, «Simulating Process-Induced Gate Oxide Damage in Circuits», IEEE Trans, on Electron. Dev, v.44, N.9, September 1997. 118. I.C.Chen, S.Holland and C.Hu,«A quantitative physical model for time-dependent breakdown in Si02», in Proc.1985 Int. Rel. Phys. Symp., p.24, 1985. 119. E.Rosenbaum, PLce, R.Moazzami, P.Ko, and C.Hu, «Circuit reliability simulator-oxide breakdown module», in IEDM Tech. Dig., p.331, 1989. 120. W.Lu Kaszek «Understanding and controlling wafer charging damage», Solid State Technology, June 1998. 121. Y David Chan, «Using SEMATECH Electrical Test Structures in Assessing Plasma Induced Damage in Poly Etching», Jpn. J. Appl. Phys. Vol.33, p.4458-4460, Pt.l, No.7B, 1994. 122.PK.Aum, R.Brandshaft, D. Brandshaft, and TB.Dao, «Controlling Plasma Charge Damage in Advanced Semiconductor Manufacturing - Challenge of Small Feature Size Device, Large Chip Size, And Large Wafer Size», IEEE Trans, on Electron. Dev, v.45, N.3, March 1998. 123.KPCheung and C.P.Chang, «Plasma-charging-damage-A physical model», J. Appl. Phys., v.75, No.9, pp.4415-4419, 1994.
СПИСОК СОКРАЩЕНИЙ А - площадь, а также индекс, относящийся к примесным атомам акцепторной природы а0 - постоянная кристаллической решетки Ъ - индекс, относящийся к объему (подложке) С - полная емкость или емкость, приходящаяся на единицу площади Cd - емкость области обеднения Cim - емкость инверсионного слоя Cdiel - емкость диэлектрического слоя (кроме Si02) Сох - емкость подзатворного окисного слоя (Si02) С d - емкость затвора относительно стока С/? - суммарная емкость нагрузки CN, CF, С0 - концентрация атомов азота, фтора, кислорода, соответственно D - коэффициент диффузии, а также — индекс, относящийся к примесным атомам донорной природы De, Dh - коэффициенты диффузии электронов и дырок , соответственно Dit - энергетическая плотность поверхностных состояний [см2 • эВ1] Е - напряженность электрического поля Есг - критическая напряженность электрического поля EcrL - допустимая(критическая) величина вертикальной составляющей напряженности электрического поля в подзатворном окисном слое Еы - напряженность электрического поля перед пробоем EeffL - вертикальная (поперечная) составляющая эффективной напряженности электрического поля Е±> Ever " вертикальная (поперечная) составляющая напряженности электрического поля Ер Еш - продольная (латеральная) составляющая напряженности электрического поля Esat - напряженность электрического поля в области насыщения скорости носителей (вблизи стока) Етах - максимальная величина напряженности электрического поля в области насыщения (Esat) Etot - обобщенная напряженность электрического поля ; <Е> - усредненная величина напряженности электрического поля; Е* - эффективная напряженность электрического поля в подзатворном диэлектрическом слое 8 - энергия, а также плотность энергии
сокращений энергия, соответствующая уровням дна зоны проводимости и потолка валентной зоны энергия, соответствующая уровню Ферми энергия, соответствующая квазиуровням Ферми для электронов и дырок, соответственно; ширина запрещенной зоны; основание натурального логарифма, а также индекс, относящийся к электронам коэффициент разделения зарядов, а также кумулятивная вероятность выхода из строя, а также знак функциональной зависимости; тактовая частота ( крутизна тока стока э/, эк, Vd=fix) крутизна (максимальная) максимальная начальная крутизна (при Vd —> 0) максимальная крутизна в режиме насыщения протяженность области пространственного заряда (ОПЗ) /?-я-перехода в горизонтальном (латеральном) направлении протяженность ОПЗ /?-я-перехода в горизонтальном направлении в случае отсутствия смещения постоянная Планка h/2n (редуцированная постоянная Планка) ток стока ток стока при Vg = 0 ток подложки компоненты тока утечки, обусловленные GIDL-эффектом компоненты тока утечки, связанные со смыканием областей пространственного заряда истока и стока ток прибора во включенном и выключенном состоянии индекс, относящийся к границе раздела плотность тока плотность туннельного тока плотность туннельного тока (через подзатворный диэлектрический слой) плотность тока прямого туннелирования плотность тока утечки плотность тока инжекции (в подзатворный диэлектрический слой) компонента плотности тока
Список сокращений 795" Kj - коэффициент идеальности для тока Id Kgm - коэффициент идеальности для gmsat KL, Kp - коэффициенты линейного и параболического режимов роста окисла при термическом окислении кремния, соответственно к - постоянная Больцмана, а также коэффициент масштабирования Ld - дебаевская длина экранирования LD - дебаевская длина экранирования в собственном полупроводнике Lg - длина затвора L - толщина спейсера у основания Lc - длина канала Leff - эффективная (электрическая) длина канала Lov - протяженность участка перекрытия области стока затвором LAs, LB, Lp - диффузионная длина мышьяка, бора, фосфора, соответственно - характеристическая длина (параметр, имеющий размерность длины) 5 - эффективная «длина диффузии» концентрационных профилей, образующих /?-/?-переход v 4 - длина свободного пробега электронов и дырок - характеристическая длина для распределения электрического поля в канале : 1} « (Xj • Xdep • Тох)1/3, (теоретическая) - «эмпирическая» характеристическая длина: 12 « 0,2 • Тох1/3 • ХУ2 (где все величины должны быть выражены в сантиметрах); - эффективная протяженность LDD -области в направлении канала т* - эффективная масса носителей; т0 - масса свободного электрона NA, ND - концентрация легирующей акцепторной или донорной примеси, соответственно Nf - приведенная плотность центров фиксированного заряда (N{= Qf/q) Nf0 - исходная плотность центров приведенного фиксированного заряда Nt - концентрация ловушечных центров 7УЛ - плотность поверхностных ловушек (состояний) на границе раздела lLDD диэлектрик/подложка (Si02/Si) — [см 21 Nbt> Not - приведенная поверхностная плотность захваченных на ловушки зарядов, распределенных по объему подзатворного окисного слоя Nd - концентрация легирующей примеси в области стока Ncr - критическсая концентрация Nsub> NB - концентрация легирующей примеси в подложке (в объеме) NgUard " концентрация легирующей примеси в охранной области Nj - концентрация взаимно скомпенсированных примесей в центре /?-я-перехода Dit - энергетическая плотность поверхностных состояний (см2 • эВ1)
96 Список сокращений Nm - концентрация подвижных носителей заряда (ионов) в подзатвор- ном диэлектрике NSN - приведенная поверхностная концентрация атомов легирующей примеси Nst - плотность поверхностных ловушек (состояний), образовавшихся под воздействием электрического стресса п - показатель идеальности (п > 1) экспоненциальных членов вольт- амперных характеристик, а также концентрация электронов ni - концентрация носителей в собственном полупроводнике ninj - концентрация инжектированных в подзатворный окисел электронов nSfinv - приведенная поверхностная плотность носителей в инверсионном слое о - индекс, относящийся к начальному (исходному) состоянию (t = 0) Р - мощность, рассеиваемая КМОП-вентилями Peff - мощность, рассеиваемая в холостом режиме poff - концентрация дырок, а также периметр Qf - приведенная (поверхностная) плотность фиксированного заряда Qbd - плотность накопленного в результате инжекции до пробоя заряда в подзатворном окисле (диэлектрике) Qb - суммарный заряд под затвором в области обеднения Qn =c0X-(Vg-v() Qn(y) - плотность заряда носителей вдоль инверсионного слоя канала (как функция координаты Y) Qinv - поверхностная плотность заряда в инверсионном слое Qt - поверхностная плотность заряда, захваченного на ловушки q - элементарный заряд (д = 1,602 • Ю-19 Кл) R - сопротивление Rs - последовательное сопротивление Rout - выходное сопротивление прибора (МОПТ) Rtot = Vd/Id - общее (статическое) сопротивление прибора Sn - коэффициент Сорета (Sn = \yjcn/q) S - подпороговый 5-фактор, соответствующий наклону подпороговых ^(^-характеристик [мВ/декаду] Т - абсолютная температура [°К] Тап - температура термообработки Тп - кинетическая температура носителей Tcr,i> Тсг>2 - критические значения температуры Tinv0 - толщина слоя инверсии (в канале) при Vd —> 0 Тох - толщина подзатворного окисного слоя
Список сокращений 79 эквивалентная ( приведенная к Si02) толщина подзатворного диэлектрика толщина слоя исходного естественного окисла время, а также - индекс, относящийся к ловушкам (traps) длительность термообработки (отжига) срок службы (до пробоя) подзатворного слоя двуокиси кремния (или другого диэлектрика) время задержки распространения сигнала вентилем критическое время срок службы характеристическое время продолжительности действия нестационарной ускоренной диффузии (TED-эффект) характеристическое время, необходимое для перехода имлантиро- ванных атомов бора в раствор замещения, то есть время достижения наиболее полной их электрической активизации характеристическое время достижения стационарной температуры термоотжига (Тап) напряжение пороговое напряжение пороговое напряжение при малых величинах Vd изменение порогового напряжения под воздействием инжекции носителей в подзатворный окисел (диэлектрик) температурный потенциал (VT= kT/q) потенциал работы выхода, соответствующий работе выхода напряжение плоских зон напряжение на затворе относительно истока (если не оговорено другое) напряжение на затворе относительно стока эффективное напряжение на затворе (overdrive) разность потенциалов, соответствующая разнице работ выхода электронов из материала затвора и подложки внешнее напряжение, подаваемое на электрод затвора внешнее напряжение, подаваемое на электрод стока падение напряжения на слое подзатворного окисла в вертикальном направлении напряжение на стоке относительно истока (если не оговорено другое) напряжение смыкания ОПЗ истока и стока напряжение на истоке относительно подложки встроенный (диффузионный) потенциал рл-переходов напряжение питания
Hff?98 Список сокращений Vcc - максимально допустимая величина VDD (при которой GIDL-kom- понента тока утечки достигает максимально допустимого значения) v0 - дрейфовая скорость носителей v(y) - дрейфовая скорость носителей вдоль канала (в зависимости от координаты Y) vsat - скорость носителей в режиме насыщения (в области отсечки канала) W - ширина канала Wtop - топологическая ширина канала Weff - эффективная ширина канала WD - протяженность области обеднения под каналом Wd - напряженности ОПЗ стока Wem - работа выхода (электронов) Xj - глубина залегания/ья-перехода х - координата (в вертикальном направлении) у - координата (в горизонтальном направлении) Y - процент выхода годных а - коэффициент лавинного размножения носителей, а также коэффициент масштабирования линейных размеров а^ - коэффициент масштабирования линейных вертикальных размеров и длины затвора при селективном подходе а^ - коэффициент масштабирования концентрации легирующей примеси aw - коэффициент масштабирования линейных размеров (по оси Z) и ширины линий межсоединений 5 - коэффициент DIBL эффекта, или «статический коэффициент обратной связи» г - диэлектрическая проницаемость (е = s0— г') г' - относительная диэлектрическая проницаемость 80 - диэлектрическая проницаемость вакуума eSi - относительная диэлектрическая проницаемость кремния гох - относительная диэлектрическая проницаемость двуокиси кремния л - коэффициент (г| < 1), входящий в формулу для Eeff (ле= 1/2 для электронов и nh = 1/3 для дырок) 0 - параметр, входящий в эмпирическую формулу для эффективной подвижности (jueff) Л =LJ\\ KD = /D2/'D1 |i - подвижность носителей |i0 - подвижность носителей в объеме (вдали от границы раздела) \ie, \ih, - подвижность электронов и дырок
Список сокращений 799^ эффективная (приповерхностная) подвижность носителей подвижность носителей, обуславливаемая рассеянием на фононах (тепловых колебаниях кристаллической решетки) подвижность носителей, обуславливаемая рассеянием на микрорельефе границы раздела (поверхности) подвижность носителей, обуславливаемая кулоновским рассеянием на неподвижных центрах (NA, ND и др.) N/n, удельное сопротивление удельное сопротивление контактных областей постоянная времени, а также характеристическое время релаксации энергии носителей высота барьера VJVTAV^kT/q) потенциал соответствующий положению уровня Ферми поверхностный потенциал (соответствующий величине изгиба энергетических зон)
Производство книг на заказ Издательство «Техносфера» тел.: (495) 234-01-10 e-mail: knigi@technosphera.ru Реклама в книгах: • модульная • статьи Подробная информация о книгах на сайте http://www.technosphera.ru Красников Геннадий Яковлевич Конструктивно-технологические особенности субмикронн МОП-транзисторов Издание 2-е, исправленное Редактор - О.М. Орлов Компьютерная верстка - А.В. Бурага Корректор - Н.И. Кононенко Дизайн - М.В. Лисусина Выпускающий редактор - О.Н. Кулешова Ответственный за выпуск - О.А. Казанцева Формат 70 х 100/16. Печать офсетная. Гарнитура Ньютон Печ.л. 50. Тираж 1000 экз. Зак. № 1235. Бумага офсет №1, плотность 65 т/м2. Издательство «Техносфера» Москва, ул. Краснопролетарская, д. 16, стр.2 Отпечатано в ООО ПФ «Полиграфист» 160001 г. Вологда, ул. Челюскинцев, дом 3