Текст
                    А.В. АГУНОВ
СХЕМОТЕХНИКА СИСТЕМ АВТОМАТИЗАЦИИ



Министерство образования и науки Российской Федерации Государственное образовательное учреждение высшего профессионального образования «САНКТ-ПЕТЕРБУРГСКИЙ ГОСУДАРСТВЕННЫЙ МОРСКОЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ» А.В.АГУНОВ СХЕМОТЕХНИКА СИСТЕМ АВТОМАТИЗАЦИИ Допущено Министерством образования и науки Российской Федерации в качестве учебного пособия для студентов высших учебных заведений, обучаю- щихся по специальности «Системы электроэнергетики и автоматизации судов» направления подготовки дипломированных специалистов «Системы объектов морской инфраструктуры» Санкт-Петербург 2005
УДК 621.372 А27 Лгунов А.В. Схемотехника систем автоматизации: Учеб.пособие. СПбГМТУ; СПб., 2005, 104 с. Изложены основы теории построения систем автоматизации, ориентированных на применение цифровых методов обработки сигналов. Рассмотрены вопросы тео- рии сигналов, принципы работы элементов систем автоматики, архитектура и про- ектирование информационно управляющих систем. Приведено решение примеров, способствующих лучшему усвоению и закреплению материала Предназначено для студентов вузов специальности 140400 «Системы электро- энергетики и автоматизации судов». Ил. 70. Табл.18. Библиогр.: 17 назв. Рецензенты: кафедра электрификации и автоматизации судов СПбГЭТУ (ЛЭТИ) (завкафедрой д.т.н., проф. ВВ.Путов) кафедра судовых автоматизированных электроэнергетических систем ГМ А им. адм. С.О.Макарова (нач. кафедры д.т.н., проф. С.Е.Кузнецов) кафедра комплексных систем управления технических средств ПЛ ВМИИ (нач. кафедры к.т.н., доц. В.В.Морозов) ISBN 5-88303-355-5 ©А.В.Агунов, 2005 © СПбГМТУ, 2005
ПРЕДИСЛОВИЕ Современный мир электронной техники, как и современная жизнь, меня- ется очень быстро. Только за последние двадцать лет мощность персональ- ных компьютеров увеличилась более чем в тысячу раз. Такие грандиозные изменения трансформировали подходы к разработке и проектированию сис- тем автоматизации. Если еще вчера для решения разнообразных задач пред- почтение отдавалось аналоговым методам, то сегодня, благодаря достигнуто- му уровню микропроцессорной техники, практически повсеместно использу- ется цифровая электроника. Создание устройств, использующих методы цифровой обработки сигна- лов, в основном требует приложения усилий двух групп инженеров, первая из которых специализируется на разработке аппаратной части системы, а вторая специализируется на разработке ее программной части. Как правило, инжене- ры, занимающиеся программированием, строго ориентированы на глубокое изучение математических методов и алгоритмов цифровой обработки сигна- лов, а инженеры разрабатывающие электронику, - на глубокое изучение фи- зических процессов, протекающих в электрических цепях и методах их расче- тов. Недостаточный обмен информацией между этими двумя областями тех- ники приводит к тому, что инженер, занимающийся программированием, впрочем, как и инженер, разрабатывающий электронику, имеют относительно смутное представление о работе друг друга. Цифровая электроника - очень мощное средство, однако, если между специалистами, создающими такую аппаратуру, нет глубокого взаимопони-. мания, они не могут использовать методы цифровой обработки сигналов дос- таточно эффективно. Цель данного курса - «перекинуть мосты» между двумя упомянутыми группами специалистов, другими словами, адаптировать их знания друг к другу. В этой связи в курсе рассматриваются как общие для перечисленных обеих групп вопросы, так и специализированные вопросы для каждой из них. Там, где это возможно, автор стремился упростить изложение таким образом, чтобы оно было понятным специалистам обеих групп. Учитывая то, что каж- дая из групп специалистов будет знакомиться со специфическими профес- сиональными вопросами более подробно в других курсах, такое упрощение можно считать оправданным. С целью закрепления теоретического материала изложение сопровожда- ется решением конкретных задач, связанных с выбором того или иного эле- мента, той или иной схемы системы с цифровой обработкой сигналов, про- стейшими расчетами, позволяющими понять физическую сущность явлений и процессов, происходящих в системах. Надеюсь, что эта книга будет полезна читателю. 3
Раздел 1. ИНФОРМАЦИОННЫЕ СООБЩЕНИЯ И СИГНАЛЫ Глава 1. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ СИГНАЛОВ § 1. Информация, сообщения и сигналы Разнообразие задач, решаемых автоматическими системами, определяет разнообразие их структур и сложность устройств. Это могут быть сравни- тельно простые устройства или приборы и весьма развитые комплексы, включающие большое количество сложных подсистем. В любом случае рабо- та автоматических систем сводится к преобразованию, передаче на расстоя- ние, к хранению, обработке и выдаче потребителю (человеку оператору или какому-либо автоматическому устройству) разнообразной информации. Понятие «информация» является сложным и имеет ряд аспектов, важ- нейшими из которых являются смысловой и количественный. По одному из определений информация - это то, что дает возможность получателю логиче- ски сформулировать или изменить представление. При этом под представле- нием понимается любая структура (образ, схема, модель), абстрактная или конкретная, свойства которой служат символом или соответствуют в опреде- ленном смысле свойствам некоторой другой структуры. Для передачи или хранения информации требуется тот или иной язык, ко- торый характеризуется знаками и правилами их применения. Совокупность знаков, содержащих некоторую информацию, называют сообщением. Материальные носители сообщений (а следовательно, и информации) мо- гут быть самые разнообразные: бумага с текстом, пленка с ферромагнитным слоем, механические колебания воздуха, колебания тока или напряжения и т.д. Все возможные носители сообщений называют сигналами в широком смысле. В узком смысле сигналами чаще называют лишь колебания электриче- ского тока (напряжения), электромагнитные волны или механические колеба- ния упругой среды, распространяющиеся на расстояние и несущие сообщения. Сигналы формируются путем изменения тех или иных параметров физи- ческого носителя по закону, определяемому знаками, подлежащими передаче и хранению. Этот процесс (изменение параметров носителя) принято назы- вать модуляцией. Примером может служить печатный или письменный текст, а также рисунок на бумаге, образованный изменением яркости и цвета его от- дельных элементов. Для формирования сообщений, содержащих ту или иную информацию, используется множество разнообразных знаков. Очень часто бывает необхо- димо передать или хранить очень большое число различных сообщений. В таких случаях для информационного обмена используется язык с иерархиче- ской структурой, позволяющей строить знаки различного ранга. Низшим (первым) рангом являются символы. Если число используемых различных символов ограничено, то совокупность их называют алфавитом. В техниче- ских системах часто используют алфавит, состоящий всего лишь из двух раз- личных символов: знаков «+» и «-», цифр «О» и «1». 4
Из комбинации некоторого числа различных символов алфавита строятся знаки следующего второго ранга - слова. Комбинирование символов исполь- зуемого алфавита для построения знаков с различным содержанием и различ- ного ранга называется кодированием, т.е. кодирование есть описание (иден- тификация) смыслового содержания информации комбинациями символов используемого алфавита, выполняемое по определенным правилам. Обратная операция, т.е. выявление смыслового содержания информации в совокупно- сти (комбинации) переданных или записанных (зарегистрированных) симво- лов, называется декодированием. Код применяемого языка определяется алфавитом символов и правилами их комбинирования, т.е. правилами построения знаков различного ранга. Число символов используемого алфавита называется основанием кода. Так, например, в двоичном коде используются лишь два символа «О» и «1». Кодирование символами конечного алфавита является одним из способов представления сообщений. В общем случае представлением сообщений назы- вается способ формализованного описания различных сообщений. Различают цифровое (квантованное по уровню), дискретно-аналоговое (квантованное по времени) и аналоговое представления. При цифровом представлении сообщение описывается упорядоченной совокупностью цифр с конечным, заранее выбранным числом разрядов. При дискретно- аналоговом представлении сообщение описывается упо- рядоченной совокупностью величин, каждая из которых может иметь любое значение. При аналоговом представлении сообщение описывается функциями одно- го или нескольких непрерывных аргументов. Преобразование сообщения из аналоговой формы в дискретно-аналого- вую называют дискретизацией. § 2. Способы представления сигналов В электронике носителем сообщения является сигнал в виде электромаг- нитных колебаний. Такой сигнал приближенно (без учета ограниченности сигнала во времени) можно записать в виде гармонического колебания негармонического периодического колебания u(t) = f(t + mTx), или в виде колебания разных форм, в том числе модулированного, или непе- риодического: UM(t) = U(t)CQS^(t), где Umax, coq, - соответственно амплитуда, частота и начальная фаза гармо- нического колебания; Т\ - период повторения; т -целое число; U(t) и (p(t) - соответственно амплитуда и фаза колебания, изменяющаяся во времени в со- ответствии с передаваемым сообщением.
Такое описание сигнала называется временным представлением. Гармоническое колебание удобно представлять и в виде проекции на оси прямоугольной системы координат отрезка прямой, вращающегося вокруг на- чала этой системы с угловой скоростью cdq. Такие вращающиеся отрезки ус- ловно называют векторами. Если считать, что длина вектора в определенном масштабе отражает амплитуду гармонической функции, начало совмещено с началом системы координат, угол с горизонтальной осью в момент, прини- маемый за начало отсчета времени, равен <р$, а направление вращения проти- воположно ходу часовой стрелки, то проекция вектора на вертикальную и го- ризонтальную оси в любой момент времени будет описываться как /и('О = Упи.х81п('и0Г + <р0;,- Векторное представление гармонических функций, частоты которых равны между собой, облегчает операции их сложения и вычитания и позволяет нагляд- но представить себе фазовые и амплитудные соотношения между различными линейными комбинациями этих функций. Так, например, амплитуда Ue и на- чальная фаза (р напряжения, представляющего собой сумму двух напряжений: w, (t) = t/jSinf со/ + ср, ); u2(t) = (72sinf со/ + ф2); Uz +U2 + 2(7,C/2cosf <р, -<pj; Ф = arctg ЦвШф, +{728Шф2 ЦсОБф! + (72СО8ф2 могут быть определены путем суммирования векторов U\ и Ui (рис. 1.1). <Р Рис. 1.1. Векторная диаграмма 6
Диаграмма совокупности векторов, отображающих гармонические на- пряжения или токи одинаковой частоты и учитывающая фазовые соотноше- ния между ними, называется векторной. Применение векторных диаграмм оказывается полезным при качественном, а иногда и количественном анализе электрических процессов. «Негармоническое периодическое колебание удобно представлять в ви- де суммы гармонических колебаний разных частот. В этом случае прибегают к спектральному представлению сигнала, в основе которого лежат ряды Фу- рье. Ряд Фурье функции x(t), удовлетворяющей условию Дирихле, согласно которому x(t) должна быть непрерывна во всех точках промежутка (О, Г|), кроме точек Zj, /2» 6» •••» 4 (в конечном числе), где она имеет разрывы, при этом в промежутке (О, имеется лишь конечное число экстремумов (или их вовсе нет), может быть записан в следующей форме: 00 4- у1/ 6Z„COSnCOZ 4- b„sinn(i)t), (1.1) Л=1 =у Л* где Ч, = Х<t№&nfSitdt (п = 0Л.2....Л А о Ьп - — \ х(i)sinnotdt (п-1,2,3,.../* / о .. г ап, Ьп - коэффициенты разложения в ряд Фурье. Выражение (1.1) может быть представлено и в виде х( t) = +£ A„cos( not 4- <р J, где Ап = Ja2n +b2 - амплитуда и-й гармоники; tg<pft = —— - тангенс фазово- а Л го угла л-й гармоники; А = — - постоянная составляющая. 2 Совокупность значений Ая вместе с постоянной составляющей называют амплитудным спектром сигнала. Совокупность значений (рп называют фазо- вым спектром. Используя формулу Эйлера е7’ = cos<p 4- Jsincp, (1.1) можно представить в виде л=—<зо
ГДС с. = 11x(t)e-Jm“dt, (п = О,±1,±2,...л т< Ь Частотный спектр непериодического колебания формально можно по- лучить из спектра соответствующего периодического колебания, принимая Тх —> оо. В этом случае разность частот между двумя соседними гармониче- скими стремится к нулю, т.е. частотный спектр из дискретного (линейчатого) становится непрерывным (сплошным). Если функция x(t) является абсолютно интегрируемой, т.е. удовлетворяет соотношению < М, где М - некоторая конечная величина, то частотный спектр этой функции на- ходится путем вычисления интеграла Фурье: S( jw) = J x(t )e,a'dt. Здесь S(ja)) - комплексная функция частоты, называемая спектральной ха- рактеристикой {спектральной плотностью) функции. Модуль спектральной функции S(a>) называют амплитудным спектром непериодической функции x(t). В этом спектре содержатся составляющие, соответствующие всем точкам частотной оси, т.е. в любом конечном интер- вале оси частот содержится бесконечно большое число гармоник, амплитуды которых бесконечно малы и определяются выражением — Т S(<s>)da>. 2ni График модуля спектральной функции S(co) по форме совпадает с оги- бающей спектра амплитуд периодической функции, полученной из исходной непериодической путем продолжения ее в обе стороны временной оси с неко- торым периодом Г|, и отличается от нее лишь масштабом по оси ординат. Комплексная функция S(ja>) может быть представлена в алгебраической или показательной форме: S(Ja) = a((a) + Jb(a) = S(w)e^“’; 00 а(©7 = | х(t Jcos&tdt; 00 b((a) = J x(t ^sinco/tZ/; —co 8
Sfco) = tgtpf co> = b(a) a(a) Вычисление временной функции x(t) по заданной ее спектральной плот- ности S(jco) осуществляется с помощью обратного преобразования Фурье: x(t) = ^-]s(j<x>)e^'dby: 2л < j » | QO x(t)- — J Sfco>cosfcoZ + cp;Jco=—J CO^COSf СОГ + cp>J(O. —oo 0 Чтобы избежать неоднозначности представления колебаний разных форм, используют понятие комплексного аналитического сигнала'. z(t) = s(t) + jsl(t)1 (1.2) где S\(t)- функция, сопряженная сигналу s(t). Сопряженная сигналу s(t) функция S\(t) должна удовлетворять следую- щим требованиям: малым изменениям исходного сигнала s(t) должны соот- ветствовать малые изменения его мгновенной амплитуды (огибающей): A(l) = Js2(t) + st(l) и мгновенной фазы , . s.(t) VfCHarctg-^r—; при неизменной форме сигнала его фаза не должна зависеть от мощности; для гармонических сигналов понятия мгновенной амплитуды, мгновенной фазы и мгновенной частоты со(7) = dt должны приводить к обычным понятиям амплитуды, фазы и частоты. Таким требованиям удовлетворяет единственная функция S\(t), связанная с исходной s(t) преобразованиями Гильберта: о 9
В случае если s(t) гармоническая, преобразование Гильберта для s(t) — = cos cot дает s\(t) = sin cot\ для s(t) = sin cot дает S\(t) = - cos cot. Если функция состоит из суммы гармонических составляющих и=х ancosn(ot + d„sin/KO/ ), л=| то преобразование по Гильберту может быть осуществлено по л=х s}(t) = V ( awsin/icof - bncosncot). л-1 — При непериодических функциях нужно иметь в ваду, что спектр S(jco) функции s(t) и спектр S\(jco) сопряженной ей по Гильберту S\(t) связаны сле- дующими соотношениями: S\(jco) = ~j S(jco) при щ>0; С S](jco) =j S(jco) при щ<0, т.е. амплитудный спектр сопряженной по Гильберту функции такой же, как и у исходной s(t), а спектр фаз сдвинуг на л/2 для отрицательных частот и на -л/2 для положительных. Для аналитического сигнала z(t), в общем случае негармонического, по аналогии с гармоническим колебанием вводят понятие комплексной ампли- туды. Переходя в (1.2) к показательной форме, получим: z(t) = A(t )ем" = A(t )ел^'^ = A(t )е™, где A(t) = A(t)eJl*<,h4,o]- комплексная огибающая сигнала. Отметим некоторые свойства аналитического сигнала. 1. Модуль аналитического сигнала z(t) является огибающей сигнала s(t): \z(t$=z(t)z(t) = A2(t). 2. Спектр аналитического сигнала содержит спектральные составляющие лишь с положительными частотами. Действительно, спектр S2(jco) аналитического сигнала •- z(t) = s(t) + j\(t) следует определять по формуле £ (J^) = $( J<&) + А (j&) • При щ>0, когда S](f'co) = -jS(jco)'. Sz (jw>) = S( jto) + j(-j)S( j^) = 2S( jco), 10
а при со<0, когда S^oj) - jS(j(o): Sz(.J<*>) = s( №) + jjS( j&) = 0. Следовательно, спектр S:(jco) аналитического сигнала z(t) связан co спек- тром S(jco) исходного сигнала s(t) соотношениями: 2S( j(j>) при со>О; О при со<0. 3. Интеграл Фурье для аналитического сигнала с учетом предыдущего свойства имеет вид z(t) = ~~ j S,( ja>)eiMda=- Jsf>)e^d(n. “00 0 4. Сигнал s(t) и сопряженный ему по Гильберту сигнал S\(t) являются ор- тогональными: г о поэтому действительную и мнимую части аналитического сигнала называют квадратурными составляющими. § 3. Спектральные характеристики сигналов В спектральном представлении сигнала внимание переносится с времен- ных зависимостей на частотный состав, т.е. сигнал представляется не функ- цией времени, а функцией частоты. Совокупность гармонических колебаний, на которые может быть разложен данный сигнал, называют спектром этого сигнала. Полосу частот, в которой наблюдаются гармонические колебания, составляющие данный сигнал, называют шириной его спектра. Рассмотрим частотный спектр периодического импульсного сигнала (рис. 1.2), описываемого на периоде следующим образом: 2, 11
Рис. 1.2. Периодический импульсный сигнал Коэффициенты разложения этой функции в ряд Фурье принимают значе- ния (n = l,2,..J; bn=0. На рис. 1.3 приведен график амплитудного частотного спектра исследуе- мого сигнала для т/t =1/2. Как видно из графика, в спектре сигнала преобла- дают низкочастотные составляющие. Рис. 1.3. Спектр периодического импульсного сигнала (т/Тi = 1/2) Определим, как распределяется энергия сигнала между его гармониками. Для этого вычислим сначала полную мощность в соответствии со следующи- ми выражениями: 12
sn ='A; Л о о где 5п - полная мощность; /д, Ua, - действующие значения соответственно тока и напряжения; i(t), u(t) - мгновенные значения соответственно тока и напряжения; При т = Т\!2 квадрат действующего напряжения U* = 0,5, а действующее на- пряжение ид = 0,707. Аналогичные результаты с учетом единичной нагрузки (Ян = 1 Ом) и в соответствии с законом Ома I = U/R получим и для /д: 1а = 0,707. Таким об- разом, Sn= 0,5. Определим теперь величину активной мощности сигнала при единичном сопротивлении нагрузки. Активная мощность определяется согласно 1 Г P = -]u(t)i(t)dt А о или, учитывая, что сопротивление нагрузки чисто активное и равно единице P = Mu2(t)dt. о Воспользовавшись равенством Парсеваля, согласно которому А 0 л=1 последнее выражение можно представить как Используя полученное выражение, найдем величины активных мощно- стей для первых пяти гармоник исследуемого сигнала: Ро = 0,25; Р\ = 0,2026, Л = 0,0225; Р5 = 0,0081. 13
Для вычисления энергии, переносимой каждой из гармоник в процентном отношении к полной энергии сигнала, воспользуемся следующим соотноше- нием: ^=—100%. Тогда = 50%; = 40,5%; = 4,5%; W5 = 1,6%. Таким образом, в диапазоне частот (О...4со) сосредоточено 95% мощно- сти сигнала. Изменение соотношения между длительностью г и периодом Г] следования импульсов приводит к перераспределению мощности сигнала по отдельным участкам спектра - уменьшается удельный вес его низкочастот- ных составляющих, возрастает удельный вес его высших гармоник. Вычисле- ния показывают, что например, при х/Т\ — 1/5 95% мощности сигнала содер- жится в диапазоне частот (0... Юсо). Полосу положительных частот, в которой сосредоточено 95% энергии сигнала, принимают за ширину спектра. Иногда шириной спектра считают область положительных частот от мак- симума до первого нуля спектральной кривой. При этом для импульсных сиг- налов, отличных от нуля лишь в ограниченном интервале времени, ширина спектра F и длительность импульса т связаны простой зависимостью где р - число, близкое к единице. Это соотношение иногда называют соотношением неопределенностей. При определении энергии непериодического сигнала x(t) пользуются тео- ремой Рэлея (для единичной нагрузки): JO 1 эо W^\x1(t)dt = —\\S(j^d<ii. -00 -X Подробное спектральное и временное описание сигнала далеко не всегда бывает необходимо, иногда достаточно указать следующие три его основные характеристики: длительность сигнала Г; ширину спектра F\ превышение сигнала над помехой, т.е. ' п где Рс - мощность сигнала (активная); Рп -мощность помехи (активная). Произведение основных характеристик сигнала называют объемом сиг- нала: V = TFD. 14
Глава 2. ДИСКРЕТИЗАЦИЯ ИНФОРМАЦИОННЫХ СИГНАЛОВ § 1. Теорема о дискретизации Первичный сигнал чаще всего представляет собой непрерывную функцию времени. Однако во многих случаях целесообразно (или необходимо) превра- тить его в дискретный сигнал, т.е. заменить непрерывную функцию времени последовательностью ее отсчетов, взятых через некоторый интервал времени. Значения функции, взятые в дискретные моменты времени, образуют «отсче- ты» (выборки) аналогового сигнала. Частота, с которой п{ изводятся отсчеты 9 дискретных значений сигнала (частота дискретизации), определяет точность представления этого сигнала в виде дискретно-времённой функции. Дискретизация сигнала может быть осуществлена с помощью специаль- ного устройства, показанного на рис. 1.4. Рис. 1.4. Функциональная схема устройства дискретизации сигнала Генератор импульсов выдает на вход ключевого элемента последователь- ность очень коротких импульсов с периодом повторения Т. На другой вход ключевого элемента поступает исходное сообщение x(t). Ключевой элемент замыкает цепь сообщения только на время действия импульса. В результате на выходе ключевого элемента получается последовательность дискретных выборок сообщения Xp(t). t. При такой дискретизации сигналов появляется возможность одновремен- ной передачи нескольких сообщений по одному каналу путем временного уп- лотнения каналов, т.е. передачи в промежутках между отсчетами одного сиг- нала отсчетов других сигналов. Кроме того, поскольку сигнал представлен не 15
всеми его значениями, а лишь некоторыми выборочными, происходит сжа- тие сообщения, что очень важно для эффективного хранения сигнала. Проанализируем процесс дискретизации. Если в соответствии с рис. 1.4 осуществить операцию умножения некоторого заданного сигнала x(t) на дру- гой сигнал P(t), представляющий собой последовательность одинаковых им- пульсов (единичных импульсов), то в результате получится сигнал Xp(t), ко- торый также является последовательностью импульсов, но с амплитудами, ограниченными функцией x(t) как огибающей. Это процесс модуляции. В процессе модуляции принимают участие модулирующий (первичный) сигнал, содержащий передаваемую информацию, и высокочастотный пере- носчик (несущее колебание). Результатом процесса модуляции является моду- лированное колебание. В рассматриваемом случае сигнал x(t) является модулирующим сигналом, сигнал P(t) - несущим сигналом, а сигнал Xp(t) - модулированный сигнал. В качестве переносчика модулирующего колебания могут использоваться не только периодическая последовательность импульсов, но и другие элек- трические процессы, например высокочастотное гармоническое колебание и даже шумы. Например, если по каналу связи передается сигнал на низкой частоте Qi (один тон) uM(t) = U0+ Д t/cosQ/, то при амплитудной модуляции переносчика uH(t) = Ucosa0t в такт с передаваемым сигналом должна меняться амплитуда переносчика: иАМ A t/cosQ/ = П + zncosfty )UQcos(ji0t = = t/0cos(D0z + mU()coso)(/cosf2]/ = t/0cosco0/ + +---- cosf coo + Ц )t+---- cosf co0 - Q, )t, где m = Л U/Uq - коэффициент модуляции, характеризующий относительную величину изменения амплитуды переносчика (глубину модуляции). Таким образом, в результате воздействия на амплитуду переносчика вме- сто низкочастотного сигнала получаются три высокочастотных колебания: на несущей частоте о)о (частота переносчика) и на двух боковых частотах (Do И (Do ~ fi). В том случае если по каналу связи передается не одна частота, а сложный сигнал, боковые частоты спектра амплитудно-модулированного колебания превращаются в боковые полосы. При этом верхняя полоса ((Оо + nf2|) повто- ряет спектр модулирующего сигнала, а нижняя полоса ((Do - nDj) симметрич- на верхней относительно несущей частоты (Do. 16
Ширина спектра амплитудно-модулированного колебания определяется лишь спектром модулирующего сигнала и равна удвоенной максимальной частоте (£2т) этого спектра. В импульсных устройствах переносчиком служит последовательность ко- ротких импульсов. Параметрами такой последовательности являются ампли- туда, частота, фаза и длительность импульсов. В соответствии с этим разли- чают амплитудно-импульсную, частотно-импульсную, фазово-импульсную модуляцию и модуляцию импульсов по длительности (широтно-импульсная). Возможны и модификации импульсной модуляции, когда одновременно изменяется несколько параметров переносчика, например, амплитуда и фаза (квадратурная модуляция) и т.п. x(t) x(f) при Гу ->0 а б Рис. 1.5. Амплитудно-импульсная модуляция во временном (а) и спектральном (б) представлениях При импульсной модуляции спектр переносчика сигнала имеет линейча- тый характер (рис. 1.5), причем огибающая этого спектра соответствует спек- тру одиночного импульса переносчика. Амплитудно-импульсная модуляций (АИМ) такого переносчика вызывает появление боковых частот около СПЫ6- тральной линии спектра переносчика (см. рис. 1.5). При других вида& ЙЙ- пульсной модуляции спектр получается более сложным; полосы бокоОД'Х час- 17
тот, возникающих около линий спектра переносчика, могут быть более широ- кими и несимметричными. Во всех видах импульсной модуляции ширина спектра определяется длительностью Ti одиночного импульса переносчика: Выясним условия восстановления дискретного сигнала. Восстановление аналогового сигнала по его дискретному представлению означает полное вос- становление спектра этого сигнала в частотной области. Фильтр нижних час- тот. амплитудно-частотная характеристика которого показана штриховыми линиями на рис. 1.5, будет обеспечивать полное восстановление сигнала x(t), если значение частоты среза фильтра/, превышает значение верхней гранич- ной частоты спектра сигнала /тах. Отсюда следует, что сигнал должен иметь ограниченную полосу частот. Заметим, что спектр Xp(f) представляет собой последовательность спек- тров x(f) модулирующего сигнала (см. рис. 1.5), период которой точно равен частоте дискретизации fs = 1/Г|. Если значение частоты дискретизации уменьшается, происходит сближение последовательностей спектров x(f) в спектре xp(f). При недостаточно высокой частоте дискретизации происходит перекрытие последовательностей спектров x(f) в спектре Xp(f). В этом случае спектр обрабатываемого сигнала x(t) уже не повторяется в спектре Xp(f), по- этому его не удается выделить фильтром нижних частот. Такой эффект извес- тен как эффект наложения спектров. Из рис. 1.5 видно, что наложения спек- тров не будет, если частота дискретизации по меньшей мере вдвое превышает значение верхней граничной частоты спектра сигнала/пах, x.Q.fs > 2/паХ. Теперь можно окончательно сформулировать теорему о дискретизации, впервые доказанную В.А. Котельниковым в 1933 году. Теорема Котельникова. Для точного представления и восстановления аналогового сигнала должны выполняться следующие условия: 1) сигнал должен занимать ограниченную полосу частот; 2) частота дискретизации должна превышать верхнюю граничную частоту спектра сигнала не менее чем в два раза. Отметим, что на практике частоту дискретизации выбирают в 5-6 раз больше верхней граничной частоты спектра сигнала. § 2. Квантование сигналов Кроме дискретизации по времени в ряде случаев целесообразна (или не- обходима) дискретизация его по значениям (уровням). Это преобразование сигнала называется квантованием. В результате квантования бесконечное число значений сигнала заменяет- ся конечным их числом. При квантовании сигналов появляется возможность цифровой обработки поступающего сообщения. При квантовании сигнала руководствуются следующими соображениями. 18
Поскольку сигнал всегда передается при наличии помех, то с точки зре- ния защиты от них следует различать только те значения передаваемого сиг- нала x(t), разность <5 между которыми не менее чем вдвое превосходит мак- симальное значение помехи £тах, т.е. 8>2£ . ^тах Выбор такого шага квантования позволяет различать соседние по вели- чине значения передаваемой функции даже при самом неблагоприятном на- ложении помехи (рис. 1.6). Уровни соседних по величине значений функции x(t) оказываются при этом настолько далекими друг от друга, что наложение на сигнал максимально возможной помехи <fmax не сможет перевести значение функции сигнала даже за середину между соседними уровнями. В этом слу- чае появляется возможность на приемной стороне отделить сигнал от нало- женной на него помехи путем возвращения каждого из принятых отсчетов к ближайшему из выбранных уровней. /пах Уровень 2 Уровень 1 /пах Рис. 1.6. К выбору шага квантования Число таких разрешенных уровней сигнала определяется как С/ _ max где Цпах - максимальный уровень сигнала; д - шаг квантования. Разрешенные уровни, как правило, отличаются от истинных отсчетов (рис. 1.7) и, следовательно, квантование вносит в сигнал ошибку - шум кван- тования. Однако эта ошибка при правильном выборе <5 окупается новыми свойствами, которые приобретает сигнал. Квантованный сигнал передается от ретранслятора к ретранслятору без искажений помехами, если последние не настолько велики, чтобы переводить его с одного уровня на другой. Воз- вращением сигнала к разрешенным уровням при каждой ретрансляции можно устранить возможное накопление помехи при ретрансляциях. Впрочем, опре- делить (fmax чаще всего невозможно. В этом случае задаются некоторой вели1-' чиной <fmax исходя из допустимой вероятности ошибочного приема. Ошибка будут появлятьсяi когда £ >3/2. 19
(ошибка квантования) Рис. 1.7. Квантование непрерывной функции x(t) по уровню Квантованный сигнал можно кодировать. Приняв выбранные уровни за элементы кода (число уровней равно основанию кода), можно передавать ка- ждый отсчет x(kAt) одним узким импульсом, высота которого кратна шагу квантования (см. рис. 1.7). Однако выгоднее передавать отсчеты x(kdt), ис- пользуя код с меньшим основанием. В этом случае каждый отсчет x(kdt) пе- редается не одним элементом кода, а той или иной комбинацией элементов, как показано на рис. 1.8. Следовательно, число п элементов сигнала возраста- ет, но число уровней закодированного сигнала уменьшается. Это приводит к энергетическому выигрышу, поскольку появляется возможность осуществ- лять связь при меньшем отношении сигнал/помеха. Выгодна передача сооб- щения в двоичном коде, так как в этом случае сигнал должен лишь вдвое пре- восходить по напряжению максимальную помеху для уверенного отличия по- сылки от ее отсутствия. Этот способ кодирования называется кодово- импульсной модуляцией. В общем случае число возможных сообщений, которое может быть пере- дано сигналом из п элементов, при коде, содержащем т элементов, равно N = m". На рис. 1.8 используемое число уровней т = 2, а число элементов сигнала п - 4. Следовательно, число возможных сообщений N в сигнале равно 16. 20
4 3 2 1 О Xp(t) • 0101010 “П 01011 1 0 1 010 I 010 1 1 0|0।0 1 Импульсно-кодовый сигнал Рис. 1.8. Кодово-импульсная модуляция Число N возможных сообщений может служить мерой количества ин- формации, которое несет данное сообщение, так как это число показывает, из скольких возможных сообщений выбрано данное сообщение. Однако само по себе число N неудобно для характеристики количества информации, так как не выражает пропорциональности между количеством информации и числом элементов сигнала п и, кроме того, зачастую приводит к чрезвычайно большим значениям. Поэтому принято количество информации I измерять логарифмом числа возможных сообщений N. В соответствии с формулой Хартли I = log,?/ = log2tfi" = nlog2/n. Основание логарифма, равное двум, выбрано для того, чтобы наименьшее количество информации, получаемое от одного элемента (п = 1) при двоич- ном коде (т = 2) считать за единицу. Таким образом, количество информа- ции измеряется в двоичных единицах. Количество информации на один элемент сигнала характеризует содержа- тельность сообщения и носит название энтропии'. Н = — = log2/n. п 21
Количество информации, переносимое сигналом, можно выразить через основные характеристики сигнала. Пусть на вход приемного устройства дей- ствует смесь сигнала и помехи. Будем считать, что присутствие сигнала мо- жет быть замечено, если действующее значение этой смеси превысит дейст- вующее значение помехи (сигнал и помехи считаем независимыми, поэтому их средние мощности можно складывать). Тогда число различных уровней Здесь Р = U2/R. Если ширина спектра сигнала F, то в соответствии с теоремой Котельникова функция конечной длительности Т определяется отдельными значениями, число которых п = T/At. Учитывая что как минимум 1/At =fs = 2F для п можно записать п = 2 FT. Следовательно: = wlog2m = 2F71og2 = FTlog2 l+-f- Количество информации, передаваемое в системе связи за единицу времени: C=^ = MogJl+M 1 \ *П J называется пропускной способностью системы, или скоростью передачи ин- формации. Например, пропускная способность телефонного канала, рассчитанного на передачу сигнала при F = 3500 Гц и ли = 2, составляет: С = 2Flog2m = 70001og2 2 = 7000 двоичных единиц в секунду (7000 бит/с, или 7000 Бод). § 3. Цифровое кодирование Одним из наиболее распространенных кодов, используемых для пред- ставления квантованных сигналов, является натуральный двоичный код. В этом коде вклад каждого отдельного бита зависит от его относительной позиции в упорядоченной последовательности битов. Самый правый разряд кода - это младший значащий разряд (МЗР), самый левый - старший знача- щий разряд (СЗР). В 4-разрядном двоичном числе, например 1101, СЗР имеет вес 23, а МЗР - 2°. Десятичное число, которое представляет данная кодовая комбинация, находится путем сложения весов отличных от нуля разрядов: 1101 = 1-23+Ь22+0-2’ +1-2° =13. В общем случае для п бит в последовательности СЗР имеет вес 2. 22
При этом максимальное число, которое можно представить таким кодом, есть 2й-1. Для кодирования 2" различных значений (уровней) нужны минимум «-разрядов. Например, для двоичного представления всех десятичных цифр требуется минимум 4 разряда. Двоичный код допускает использование любого количества разрядов для представления числа. Например, двоичное число 00001101 также представля- ет десятичное число 13. При цифровой обработке сигнала важнее рассматривать двоичную после- довательность в виде дробной части некоторого целого числа (например, в виде части полного диапазона изменения сигнала). В этом случае веса от- дельных разрядов в «-разрядном числе имеют несколько иные значения: вес СЗР - 1/2 или 2 , вес МЗР - 2’”. Перед числом подразумевается двоичная за- пятая, которая в двоичной системе счисления эквивалентна десятичной запя- той в десятичной системе счисления. Кодовая комбинация 1101 в этом случае представляет десятичную дробь, которая вычисляется следующим образом: i-2_*+i-2~2+о-2_3+1-2^ 1 1 j_=j_ j_ JL_i3 2* +22 +24 ”2 + 4 + 16~16‘ Здесь общий знаменатель равен 2Л. МЗР заслуживает особого внимания. Величина максимально представи- мого данным кодом дробного числа (МАКСПЧ), т.е. числа, соответствующе- го единичным значениям всех разрядов, рассчитывается как МАКСПЧ = НПД - МЗР, где НПД - нормированный полный диапазон (единица). Например, для « = 4 получим 1-±=Я 16 16 Кроме того, вес, присваиваемый МЗР (1/2”), определяет разрешение «-разрядного двоичного кода. Для 4-разрядного кода это величина, равная 1/16 или 6,25%. Понятие разрешения имеет очень большое значение для аналого- цифрового преобразования, так как этот параметр определяет минимальное представимое напряжение (МИНИН) при квантовании аналогового сигнала: МИНПН = ПДИС х РАЗР, где ПДИС - полный диапазон изменения сигнала; РАЗР - разрешение ис- пользуемого двоичного кода. Например, если полный диапазон изменения аналогового сигнала состав- ляет 10 В, то, используя 4-разрядное кодирование (п=4), получим МИНПН = 10— = 625 мВ. 16 23
Эго означает, что с помощью 4-разрядного кода при полном диапазоне изменения сигнала 10 В нельзя представить напряжение, меньшее 625 мВ. Значимость данного ограничения зависит от допустимости или недопустимо- сти пренебрежения информацией, которую несет преобразуемый аналоговый сигнал, имеющий уровень, меньший минимального представимого напряже- ния. Для повышения точности преобразования нужно увеличивать п, при этом изменяется разрешение кода. Если в предыдущем примере взять п = 8, то по- лучим МИНПН = 10-- = 39 мВ. 2“ В случаях, когда необходимо сохранить возможность передачи изменения знака аналогового сигнала, используются биполярные коды. Двоичные величины, представляющие такие сигналы, должны иметь зна- ковый разряд. Этот разряд (самый левый — старший разряд) удваивает полный диапазон изменения сигнала и число его уровней, но при этом вес МЗР для любой полярности становится равным 2'(л’ , а не 2-*1, т.е. разрешение ухудша- ется по сравнению с его значением без знакового разряда. Для предотвращения действия помех, из-за которых некоторые символы кодового слова могут быть считаны и опознаны неверно, используют помехо- устойчивые или корректирующие коды. Число символов, опознанных в кодовом слове неверно, характеризует число ошибок. Помехоустойчивое кодирование сообщений дает возможность обнаруживать ошибки в принятых сообщениях или обнаруживать и исправ- лять их. Представим «-элементный двоичный код с помощью «-мерного куба, ка- ждая вершина которого отображает одну из возможных кодовых комбинаций, а длина ребра куба равна единице. На рис. 1.9 приведена геометрическая мо- дель кода (для случая п = 3, когда по осям координат откладываются значе- ния каждого из трех разрядов двоичной кодовой комбинации А =(01^2^3)), впервые предложенная Р.В.Хеммингом. Рис. 1.9. Геометрическая модель кода 24
Обозначим число разрядов, в которых одна комбинация отличается от другой как кодовое расстояние. Для того чтобы вычислить кодовое расстоя- ние между комбинациями A i и А2, необходимо подсчитать минимальное чис- ло ребер, разделяющих соответствующие им вершины куба. Другое правило вычисления кодового расстояния - это когда комбинации А] и А2 суммируются по модулю 2, после чего подсчитывается количество единиц в полученной сумме. Операция суммирования по модулю 2 определяется соотношениями: 0Ф0 = 0; 1Ф0 = 1; 1Ф0 = 1; 1Ф1 = 0, т.е. представляет собой двоичное сложение без переноса единицы в старший разряд. Найдем, например, кодовое расстояние для следующих комбинаций: 4 =111 4=001 но . Кодовое расстояние d = 2, так как число единиц в сумме А1 Ф Аг равно двум. Если все 8 кодовых комбинаций (см. рис. 1.9) являются разрешенными (допустимыми), то кодовое расстояние между соседними комбинациями d = 1. В данном случае отсутствует какой-либо признак, позволяющий судить о появлении ошибки в кодовой комбинации, поэтому такой код не является по- мехозащищенным. Если принять, что разрешенными комбинациями будут лишь комбинации (001), (010), (100) и (111), то кодовое расстояние d = 2, а искажение символа в одном из разрядов приведет к получению запрещенной кодовой комбинации ((000), (011), (101) или (НО)), что выявляется при проверке. Полученный та- ким образом код позволяет обнаруживать одиночные ошибки. Для d = 3 в ка- честве разрешенных кодовых комбинаций можно принять, например, 010 и 101. При этом обеспечивается не только обнаружение, но и исправление оди- ночной ошибки. Действительно, получение запрещенной кодовой комбина- ции 110 указывает на наличие ошибки в старшем разряде. Данный код позво- ляет обнаруживать и двойные ошибки, так как при одновременном искаже- нии символов в двух разрядах кодовой комбинации последняя также попадает в число запрещенных. Из сказанного следует, что построение помехозащищенных кодов всегда связано с введением избыточности в передаваемые кодовые комбинации. При этом корректирующая способность кода, т.е. число обнаруживаемых и ис- 25
правляемых с его помощью ошибок, определяется главным образом кодовым расстоянием. В общем случае минимальное кодовое расстояние где /обн - число обнаруживаемых ошибок; /ИС|1р - число исправляемых ошибок, причем ГОбн>/цспР- Простейшим из помехозащищенных кодов является код с проверкой па четность (t7=2), который используется в цифровых системах при вводе и хранении информации. В данном случае к кодовой комбинации, состоящей из п информационных разрядов, добавляется один дополнительный (провероч- ный) разряд так, что сумма по модулю 2 двоичных единиц в полученной ко- довой комбинации, включая проверочный разряд, должна быть равна нулю. Например, если кодовое слово будет определяться как пр ;'ojo 0 1 1 О проверочный разряд равен нулю. Если в результате проверки установлено, что контрольная сумма прини- мает значение, равное единице, то это указывает на наличие ошибки. Например, сообщение было принято как пр 1 -сигнал ошибки Поскольку сумма по модулю 2 разрядов кодового слова равна единице, мож- но угверждать, что сообщение было принято с ошибкой. Примером корректирующего кода, позволяющего обнаружить две ошиб- ки и исправить одну (J=4), является итеративный код. Структура итератив- ного кода представлена на рис. 1.10. 26
Информационные символы, передаваемые подряд, записываются в не- сколько строк, так что символы второй строки передаются после первой и т.д. В данном примере (см. рис. 1.10) в каждой строке располагаются пять инфор- мационных символов. После каждых пяти информационных символов пере- дается символ проверки строки на четность. После передачи некоторого чис- ла строк, т.е. кодовых слов (в примере после шести слов), передается прове- рочное кодовое слово, которое состоит из пяти символов проверки на четность, символов, расположенных в одном столбце, и один символ провер- ки на четность всех символов проверок. Совокупность проверок однозначно укажет на искаженный символ. Информационные символы Проверка по столбцам Проверка проверок Рис. 1.10. Пример структуры итеративного кода Например, если в результате приема сообщения о“ ’Гб У "ГГГ. 1 000 1! О 11010'1 1 ооо 1: о ;о о 1 1 о;о ;Л_1_ 1.0 о;_\ '01 01 1'1' неверно опознан третий символ четвертой строки, то это будет обнаружено при сравнении принятых от передающей стороны и вычисленных на прием- ной стороне проверочных символов в третьей строке и в четвертом столбце 0 1 0’1 Г 1 0 0 0 1 110 10 10 10 1 0 0 110 1110 0 0 1 Ь"1 f JL _ '0 1 Для исправления ошибки следует инвертировать неверно опознанный символ. 27
Глава 3. СИГНАЛ КАК СЛУЧАЙНЫЙ ПРОЦЕСС § 1. Вероятностные характеристики сигнала Если на передающей стороне сигнал можно представить некоторой функ- цией времени/(?), то с точки зрения получателя такое представление не соот- ветствует истинному положению вещей. Действительно, отправитель очень много знает о передаваемом сигнале, так как в его распоряжении находятся и сообщение, и код, преобразующий сообщение в сигнал. Он может до передачи записать сигнал и, таким образом, наперед знать каждое его значение. Получатель не имеет столь подробных сведений о сигнале. В процессе связи (до окончания приема) сигнал для получателя является в той или иной степени неожиданным. Лишь в этом случае сигнал приносит получателю ин- формацию. Если бы на приемной стороне сигнал мог быть представлен опре- деленной функцией времени (тем более периодической), то отпала бы необ- ходимость в передаче такого сигнала, так как заранее были бы известны все его будущие значения. По существу на приемной стороне должен быть извес- тен лишь код, принятый в данной системе связи. Могут иметься, конечно, не- которые представления об общих характеристиках ожидаемого сигнала или догадки о характере передаваемого сообщения. Но в остальном передаваемый сигнал является для получателя случайным процессом. Это значит, что полу- чателю могут быть известны лишь совокупность возможных сообщений и ве- роятность появления каждого из этих сообщений. В результате приема одно из этих сообщений превращается из вероятного события в достоверное, а все остальные - в невозможные события. Возможные значения случайного сигнала называют выборочными, а все множество выборочных значений - выборочным пространством сигнала. Например, в случае двоичного кодирования выборочное пространство состо- ит из двух элементов (посылка-пауза). Напряжение теплового шума в цепях аппаратуры может принимать любое значение, и, следовательно, выборочным пространством в этом случае является вся действительная ось (-оо< х <+°о). В процессе передачи информации одни значения сигнала сменяются дру- гими, образуя выборочные функции или реализации случайного сигнала. Реа- лизация зависит от передаваемого сообщения и меняется от опыта к опыту, т.е. случайный сигнал представляется функцией времени /(/) лишь в рамках данной его реализации. Если же зафиксировать внимание на данном моменте времени /, но иметь в виду все возможные реализации случайного сигнала, то его значение превращается в случайную величину Следовательно, случай- ный сигнал может выступать как функция времени и как случайная величина в любой фиксированный момент времени. В соответствии с отмеченной двойственностью случайного сигнала он может быть задан двояко: во-первых, случайный сигнал считается заданным, если известны все его реализации и их вероятности, как, например, в таблице, 28
показанной на рис. 1.11; во-вторых, указывается закон распределения для слу- чайных величин, представляющих сигнал в различные моменты времени. Удобным, хотя и менее подробным способом задания случайного сигнала, является указание его средних характеристик. pw w w Рис. 1.11. Табличное задание случайного сигнала Среднее значение сигнала p(t) определяется как математическое ожида- ние случайной величины образуемой сигналом в данный момент времени. Эта характеристика представляет собой ту среднюю функцию времени, около которой располагаются все возможные реализации сигнала. Для непрерывного сигнала = J xpt(x)dx где х - выборочные значения сигнала; р((х) - плотность вероятностей выбо- рочных значений. Пусть F (х) - функция распределения выбранного сечения X., т.е. веро- ятность Р того, что случайный процесс в момент времени t примет значение, меньшее х: При помощи функции распределения можно указать вероятность того, что случайная величина попадет в заданный полуоткрытый промежуток (рис. 1.12) P(a<x<b) = F(b)-F(a). b Рис.1.12. Графическая иллюстрация вероятности попадания случайной величины в полуоткрытый промежуток Если функция имеет производную, то р. (х) - dF* (x)/dx называют плотностью вероятностей случайного процесса X. (рис. 1.13). При заданной плотности вероятностей вероятность того, что случайная величина попадет в заданный промежуток, равна Р(а < х < Ь) = F(b)-F(a) = \p. (x)dx. 29
Для дискретного сигнала среднее значение определяется как *=i где Р - вероятности выборочных значений. t=ti t=t2 Рис. 1.13. Совокупность реализаций случайного процесса Дисперсия D(t) является мерой разброса реализаций случайного сигнала относительно «средней» реализации p(t), т.е. она определяется как математи- ческое ожидание квадрата уклонения случайного сигнала XftiJ от среднего значения //. Для непрерывного сигнала -КЮ D(t) = | [х- jifГ)]2 pt(x)dx. Для дискретного сигнала *=1 Для передачи сигнала, представляющего собой случайный процесс, чрез- вычайно важным обстоятельством является взаимозависимость его элемен- тов. С этой точки зрения принято различать сигналы по признаку последей- ствия. В сигналах без последействия последующие значения не зависят от предыдущих, т.е. вероятностные взаимосвязи между элементами отсутству- ют. В сигналах с последействием, наоборот, предыдущее значение сигнала влияет на следующие за ним элементы. Степень взаимной зависимости слу- чайных значений сигнала в различные моменты времени характеризуется ав- токорреляционной функцией сигнала Ku(t\, It). Для непрерывного сигнала X- X ) = J J [Х1 ~И(Ч7][Х2 ~ Р^л,( ’ 30
где a'i и Х2 - значения случайных величин и L , образуемых сигналами в моменты t] и ty, р, ,_(Х|,х,) - плотность вероятности совместного появления значения jq в момент t\ и значения jq в момент t2- Для дискретного сигнала СО СО К а(^\ 22 22 [Х» ~ М/Ч XJ ~ ) ?ij ’ ,=1 У=1 где Ру - вероятность совместного появления значения х, в момент t\ и значе- ния Xj в момент /2. Процессы с различными автокорреляционными функциями представлены на рис. 1.14, где изображены реализации двух случайных сигналов с одинако- выми jufz) и D(t), но с различной степенью взаимной зависимости значений сигнала в различные моменты времени (во втором случае эта зависимость сильнее, чем в первом). Степень взаимной зависимости значений двух случайных сигналов £ и ц в различные моменты времени характеризуется взаимной корреляционной функцией СО 00 где X] - значения случайной величины , образованной сигналом £ в момент времени у2 - значение случайной величины Г| , образованной сигналом г\ в момент времени Z2; Р^г(х\>У1) ~ плотность вероятности совместного появ- ления значения Х\ сигнала в момент /] и значения у2 сигнала ц в момент /2- Рис. 1.14. Слабокоррелированный (а) и сильно коррелированный (б) случайные процессы Важной характеристикой остается спектр сигнала. Однако понятие спек- тра амплитуд, введенное для спектральной характеристики функций времени, не может быть полезным для характеристики случайного процесса, так как различные реализации этого процесса могут иметь различные спектры ам- 31
плитуд. Поэтому вместо спектра амплитуд вводится статистический спектр G(Q) сигнала, который характеризует распределение средней мощности сиг- нала по частотам, составляющим сигнал. Таким образом, спектр G(£l) пред- ставляет собой зависимость средней спектральной плотности мощности от частоты: его значения измеряются в ваттах на один герц. Шириной спектра F называется интервал частот, для которых G(Q) имеет еще заметную величину (рис. 1.15). в(Я) Рис. 1.15. Спектр сигналов с малым (1) и большим (2) последействием Спектр сигнала и его функция корреляции не являются независимыми ве- роятностными характеристиками сигнала. Обе они характеризуют взаимоза- висимость значений сигнала. Чем ярче выражено в сигнале последействие, тем меньше ширина спектра F. Всякое ограничение спектра увеличивает кор- реляцию. § 2. Модели случайных сигналов Равномерно распределенный сигнал - это сигнал с равномерным рас- пределением на некотором промежутке (а ,Ь), т.е. вероятность появления сигнала в том или ином месте промежутка (а, Ь) одинакова на всем протяже- нии промежутка (рис. 1.16). Функция распределения сигнала, т.е. вероятность того, что случайный сигнал в некоторый момент времени / примет значение меньше некоторого х, определяется как:
1 при x>b; О при х < а; при а < х < Ь. Плотность вероятности dF£x± dx и pt(x) = 0 при прочих х. Математическое ожидание ^(0= f xp/x)dx = j jxdx = а F(x)^\ при а < х < b, Дисперсия 00 D(t) = J [х - />]2 pt (x)dx = —00 (b-a )\ 12 33
Гауссов сигнал. Если колебание является суммой случайных сигналов, содержащих очень большое число слагаемых, вклад которых одинаково мал, то суммарный сигнал оказывается распределенным по нормальному (Гауссо- ву) закону (рис. 1.17): Ол/27Г где p(t) - среднее значение сигнала; о = \/Р - среднее квадратическое от- клонение сигнала. Величина а может быть определена как расстояние между точками пере- гиба кривой плотности вероятности (в точке перегиба вторая производная равна нулю). Р(х)д Точка перегиба Рис. 1.17. Нормальное распределение Нормальному распределению соответствует шум, спектр которого со- средоточен около некоторой частоты cdq, это так называемый Гауссов шум. Глава 4. СИГНАЛ И КАНАЛ СВЯЗИ § 1. Основные характеристики канала связи Для описания канала связи, если не вдаваться в детали его схемы, можно использовать три основные характеристики: • время действия канала Тк, т.е. время, в течение которого канал выпол- няет свои функции; • полосу пропускания канала Fk, т.е. полосу частот гармонических коле- баний, пропускаемых каналом без значительного ослабления; • динамический диапазон зависящий от чувствительности (Лпш) и допустимых нагрузок (Ртах) аппара- туры канала. 34
Произведение этих величин называют емкостью канала связи: Геометрическим образом сигнала (канала) может служить прямоугольный параллелепипед с размерами Т, Fy D (Тк, F%, Dk) вдоль осей прямоугольных координат времени t, частоты f и уровня d (рис. 1.18). Рис. 1.18. Геометрические образы объема сигнала и емкости канала Передача сигнала по данному каналу связи возможна лишь в том случае, если основные характеристики сигнала не выходят за границы соответст- вующих характеристик канала. Если же это не соблюдается, но объем сигнала не превышает емкости канала связи, то передача сигнала возможна лишь по- сле согласования сигнала с каналом, которое заключается в преобразовании объема сигнала. К применяемым преобразованиям сигнала относятся: 1) транспонирование спектра (однополосная модуляция, детектирование) - весь спектр сигнала переносится в новый частотный диапазон; 2) усиление или ослабление — мощности сигнала и помехи увеличиваются (уменьшаются) в одинаковое число раз; 3) запись и воспроизведение с различными скоростями - сигнал записыва- ется со скоростью V, а воспроизводится со скоростью V\ — aV, при этом дли- тельность сигнала Т сокращается в а раз, а ширина спектра F увеличивается в а раз; 4) накопление - сигнал п раз повторяется на передающем конце и сумми- руется (накопляется) на приемном. Длительность сигнала при этом увеличи- вается в п раз, а превышение сигнала над помехой на передающем конце мо- жет быть уменьшено, так как при накоплении на приемном конце оно снова будет восстановлено. Ширина спектра остается неизменной; 5) смена кода - приводит к изменению числа импульсов, используемых для передачи сигнала. Это изменяет длительность (ширину спектра) сигнала, но позволяет выбрать иное превышение сигнала над помехой вследствие иной помехоустойчивости нового кода; 35
6) задержка - сигнал задерживается на время /о путем записи и после- дующего воспроизведения или с помощью неискажающей линии задержки. Основные характеристики сигнала остаются неизменными. Перечисленные преобразования редко встречаются в чистом виде, как правило, каждое из них сопровождается задержкой, усилением или ослабле- нием. § 2. Учет особенностей линии передачи сигнала По мере того, как растет длина линий, соединяющих передатчик и прием- ник информационно управляющей системы и увеличиваются частоты переда- чи, все большую важность приобретает учет характеристик линий передачи. В случае длинных линий, т. с. линий, физические размеры которых сравнимы с длиной волны передаваемого по ней сигнала, соединительный кабель боль- ше нельзя рассматривать просто как проводник с пренебрежимо малым ак- тивным сопротивлением. При этом линию передачи представляют моделью, одно звено которой показано на рис. 1.19. Число звеньев модели определяется как * = 5,5,/г ДА„, / где /за1) - время запаздывания сигнала; Д/ - ширина спектра передаваемого сигнала. Указанные на рис. 1.19 параметры R, L, С, G - соответственно удельные сопротивление, индуктивность, емкость и активная проводимость (на едини- цу длины). Параметр G служит мерой потерь, обусловленных утечкой между двумя проводниками. Вход Выход Рис. 1.19. Модель одного звена лини передачи Конструктивно линия выполняется в виде отрезка кабеля длиной /. На вход кабеля подается сигнал, вырабатываемый источником, а к выходу под- ключается активная нагрузка. Для длинной линии отношение амплитуды волны к амплитуде тока является постоянной величиной, называемой волно- вым сопротивлением и определяемой по формуле При несогласованной нагрузке (Rh Ф р) она поглощает только часть энер- гии падающих волн, возбуждаемых источником сигнала. В этом случае воз- 36
никают отраженные волны, которые движутся к началу линии, и если источ- ник не согласован с линией (Rh Ф р), то снова происходит отражение, приво- дящее к искажению сигнала на выходе линии. Для характеристики режима ли- нии пользуются коэффициентом бегущей волны (кбв), введенным А.А.Пис- толькорсом в 1927 году: кбв-—. R При р = R коэффициент бегущей волны кбв = 1. Другими словами, отра- женной волны не будет, если линию нагрузить активным сопротивлением R, равным волновому сопротивлению линии р. Поэтому для устранения искаже- ний сигнала линия должна быть согласована с обеих сторон, т. е. в линии должен быть образован режим бегущей волны, другими словами, режим, ко- гда отраженная волна отсутствует. Согласованные линии передают входной сигнал без искажения формы, с запаздыванием на время = klnjLC. У радиотехнических кабелей реактивные параметры L и С от практически не зависят, однако активное сопротивление R с ростом увеличивается, вследствие чего затухание Р с частотой возрастает. В табл. 1.1 приведены значения затухания для рых высокочастотных кабелей. частоты частоты некото- Таблица 1.1 Марка кабеля Затухание <5Ь дБ/м, при частоте/ МГц 1 2 10 50 100 500 1000 РК-50-7-11 — — 0,02 0,06 0,09 0,28 0,4 РК-75-4-11 0,0105 0,0147 0,033 0,074 0,105 0,28 0,46 РК-75-7-11 •• • 0,012 0,032 0,05 0,14 0,23 РК-100-7-11 • • 0,017 0,051 0,085 0,27 0,42 Амплитудно-частотная характеристика (АЧХ) кабеля некоторой длины / с ростом частоты снижается и определяется как K(f) = . При некоторой частоте /гв амплитудно-частотная характеристика пересекает линию —]=. Полоса частот от 0 до fM является полосой пропускания кабель- V2 ной линии длиной I. Таким образом, с увеличением длины кабеля полоса пропускания кабельной линии уменьшается. 37
Для оценки наибольшей допустимой длины кабеля необходимо опреде- лить активную ширину спектра входного сигнала А/, оценить по табл. 1.1 за- тухание <51 (дБ/м) и найти для выбранного типа кабеля затухание а (1/м) по формуле а = 0,1155г Затем определить искомую длину кабеля, при которой его АЧХ K(f) пере- секает линию -у=. Зная длину кабеля и учитывая, что скорость распростра- нения волн в кабелях типа РК составляет 185 м/мкс, определяют время запаз- дывания сигнала. Раздел 2. ЭЛЕМЕНТЫ ИНФОРМАЦИОННО-УПРАВЛЯЮЩИХ СИСТЕМ Глава 1. АНАЛОГОВЫЕ УСТРОЙСТВА § 1. Инструментальные и масштабирующие усилители Инструментальные (измерительные) и масштабирующие усилители ис- пользуются для повышения чувствительности приборов для измерения физи- ческих величин, а также для согласования отдельных элементов и узлов ин- формационно-управляющих систем. Усилитель не только реализует свою ос- новную функцию усиления сигнала, но может также обеспечивать его фильтрацию, математическую обработку или коррекцию нелинейностей. Схемы используемых на практике масштабирующих усилителей весьма разнообразны и определяются как схемами усилительных каскадов, так и схемами межкаскадных связей. С целью расширения частотной полосы уси- лители часто выполняют с гальваническими связями между каскадами. Масштабирующий усилитель по схеме, показанной на рис.2.1, питается от источника напряжения Е. Напряжение смещения подается на базу транзисто- ра VT со средней точки делителя R1R2. Здесь большая часть напряжения Е падает на сопротивлении /?1, а небольшая часть, определяющая напряжение смещения Ucm, падает на сопротивлении /?2, к которому через конденсатор С1 параллельно подключен источник входного сигнала Егс внугренним со- противлением Rr. Коллектор транзистора VT через резистор Rk соединен с положительной клеммой источника питания Е. Эмиттер транзистора VT через резистор Еэ=Еэ\+Кэ2, параллельно части Rj2 которого подключен конденса- тор Сэ, соединен с отрицательной клеммой источника питания Е. Сопротив- ление нагрузки Rh через конденсатор С2 подключено к коллектору транзи- стора VT. Положительная и отрицательная клеммы источника питания соеди- нены между собой конденсатором Сф. 38
Рис.2.1. Традиционное изображение схемы усилителя с общим эмиттером По причине пренебрежимо малого внутреннего сопротивления источника питания, а также из-за того, что положительная и отрицательная клеммы источ- ника питания соединены между собой конденсатором Сф, выбранным таким об- разом, что его сопротивление на рабочих частотах усилителя в соответствии с (где f- частота в Гц, Сф - емкость в Ф) становится пренебрежимо малым, можно считать, что положительная и отрицательная шины источника питания для входного сигнала представляют собой один провод. Чтобы подчеркнуть это, схему электрическую принципиальную часто представляют в виде, пока- занном на рис.2.2. Жирной линией на схеме обозначают направление прохо- ждения сигнала. С2 Рис.2.2. Один из часто встречающихся видов изображения схемы усилителя с общим эмиттером 39
Из схемы видно, что для входного сигнала резисторы RI и R2 соединены параллельно. При условии, что ток делителя R\R2»Ib, где 1в - ток базы транзистора, входное сопротивление усилителя определяется как Rbx = R1 || ^2. Для обеспечения условия согласования источника сигнала с усилителем по напряжению необходимо, чтобы RBx » Rr. Конденсатор Cl выбирают таким образом, чтобы его сопротивление на низшей частоте вход- ного сигнала было гораздо меньше входного сопротивления усилителя. Сопротивление в цепи коллектора транзистора для усиливаемого сигнала, при условии, что сопротивление конденсатора С2 на низшей частоте выход- ного сигнала гораздо меньше сопротивления нагрузки, соединено параллель- но с сопротивлением нагрузки. Следовательно, сопротивление в цепи коллек- тора транзистора Rk является выходным сопротивлением усилителя. Для обеспечения условия согласования усилителя с нагрузкой по току необходи- мо, чтобы Rbmx = Rk » Rh- Напряжение источника определяется из условия Е > 2иВыхКз, где А? = - (1,1 -1,4) - коэффициент запаса, при котором обеспечивается неискаженная передача сигнала. Напряжение на коллекторе транзистора по постоянному току (напряжение покоя) U^n принимают равным половине напряжения ис- точника питания, что обеспечивает расположение рабочей точки транзистора в середине нагрузочной прямой. Напряжение смещения Ucm принимают равным напряжению на эмиттере транзистора Uj, поэтому Uj выбирают таким образом, чтобы величиной па- дения напряжения на переходе база-эмиттер UBj (0,2-0,4 В для германиевых и 0,6-0,8 В для кремниевых транзисторов) можно было пренебречь, т. е. (Л, = Ucm >^бэ- Коэффициент усиления каскада (масштабирование) по напряжению опре- деляется как р- _ ^вых t/RX ’ □Л где (7вых и (7вх - соответственно напряжение на выходе и входе усилителя. Учитывая, что С/вых ~ Uk = IkRk, где UK, Ik ~ соответственно напряжение и ток коллектора транзистора, а t/вх = Уэ~ 1э^э, где UB, 1Э- соответ- ственно напряжение базы и ток эмиттера транзистора и принимая во внима- ние то, что 1К ~ Ij, выражение для коэффициента усиления каскада по напря- жению можно записать в следующем виде: Знак «минус» в формуле показывает, что фаза выходного сигнала противопо- ложна, т.е. сдвинута на 180° относительно фазы входного сигнала. 40
Конденсатор Cj шунтирует R32 по переменному току, поэтому коэффици- ент усиления по переменному току будет Конденсатор Сэ выбирают таким образом, чтобы его сопротивление на нижней частоте усиливаемого сигнала было гораздо меньше сопротивления Rji- Исходными данными для расчета усилителя являются: • сопротивление источника сигнала; • сопротивление нагрузки; • уровень входного сигнала; • уровень выходного сигнала; ♦ диапазон рабочих частот. Если некоторые данные не заданы, ими задаются самостоятельно. Пример 2.1. Предположим, что нам нужно рассчитать усилительный кас- кад с уровнем входного сигнала 250 мВ, уровнем выходного сигнала 2,5 В, сопротивлением источника сигнала 450 Ом, сопротивлением нагрузки 3 кОм, работающего в диапазоне частот от 100 до 1000 Гц. Расчет усилителя начнем с определения напряжения источника питания: примем Е= 9 В, тогда напряжение покоя на коллекторе транзистора Ukii 4,5 В. Для обеспечения согласования усилителя с нагрузкой по току примем Rk = 30 кОм, тогда ток коллектора транзистора I = = JLL = o,15-1O’3=O,15 мА. к RK 30000 Для определения сопротивления в цепи эмиттера зададимся величиной 1,6 В, тогда с учетом того, что 1к ~ 1$, сопротивление в цепи эмиттера транзистора будет Я, =£э =-----!^_^ = ю,6101 = 10,6 кОм. 3 I, 0,1510“’ Для тока делителя R1R2 можно записать Учитывая, что напряжение смещения равно Uj = В, выражение для этого же тока можно записать в следующем виде: R2 41
Подставляя (2.2) в (2.1), получим 9-1 6 Л1=-----—Я 2 = 4,63Я2. 1,6 (2.3) Задавшись величиной входного сопротивления, на порядок большей, чем сопротивление источника сигнала, условие согласования источника сигнала с усилителем можно определить как 4500 = Я1 + Я2‘ (2.4) Подстановкой (2.3) в (2.4) определим величину резистора R2: 4500-5,63 4,63 = 5472 Ом. Из (2.3) находим Rl=25335 Ом. Определим коэффициент усиления: g — ^ВЫХ _ ^,5 _ 17вх 0,25 Сопротивление в цепи эмиттера по переменному току, обеспечивающее расчетный коэффициент усиления на нижней частоте рабочего диапазона усилителя, будет RK 30000 К ~ 10 = 3000 = 3 кОм. Тогда = 7?э -R-n =10,6-3 = 7,6 кОм. Определим емкость конденсатора Сэ в предположении, что его сопротив- ление на нижней частоте рабочего диапазона усилителя 76 Ом: С, =----------= 21-10"* =21 мкФ. 3 2тг100-76 Определим емкость конденсатора С| в предположении, что его сопротив- ление на низшей частоте входного сигнала гораздо меньше входного сопро- тивления усилителя: ---------= 35 • 10"* = 35 мкФ. 2Л-100-45 Определим емкость конденсатора Сг в предположении, что его сопротив- ление на низшей частоте выходного сигнала гораздо меньше сопротивления нагрузки: 42
Исходя из рассчитанных напряжений и токов, а также верхней частоты рабочего диапазона усилителя, выбирают требуемый тип транзистора, причем граничная частота коэффициента передачи выбранного транзистора должна быть не менее чем в полтора раза выше верхней частоты рабочего диапазона усилителя. И, наконец, вычисленные значения величин резисторов и конденсаторов округляются до ближайших значений из рядов предпочтительных чисел, ус- тановленных Международной электротехнической комиссией и отечествен- ными стандартами. В табл.2.1 приведены ряды £ номинальных значений сопротивлении по- стоянных резисторов и емкостей постоянных конденсаторов. Таблица 2.1 Индекс ряда Номинальные значения (единицы, десятки, сотни Ом, килоом, мегаом, гигаом, пикофарад, микрофарад, фарад) Допуск Е6 1,0 1,5 2,2 3,3 4,7 6,8 20% Е12 1,0 1,5 2,2 1 3,3 4,7 6,8 10% U 1,8 2,7 3,9 5,6 8,2 1,0 1,5 2,2 3,3 4,7 6,8 Е24 1,1 1,6 2,4 3,6 5,1 7,5 5% 1,2 1,8 2,7 3,9 5,6 8,2 1,3 2,0 3,0 4,3 6,2 9,1 • Инструментальные усилители чаще всего выполняются на операцион- ных усилителях. Операционные усилители (ОУ) позволяют перенести идею синтеза различных классов устройств на основе унифицированных элементов во многие сферы электронной техники, причем одновременно упрощаются проектирование, изготовление и настройка электронного устройства, повыша- ются эксплуатационные, а в ряде случаев и технические его характеристики. Операционные усилитель (ОУ) - это дифференциальный усилитель по- стоянного тока с очень большим (порядка 105) коэффициентом усиления, большим (порядка 1 мОм и более) входным сопротивлением и небольшим порядка 2 кОм) выходным сопротивлением. На рис.2.3 показана упрощенная эквивалентная схема ОУ. ОУ строится так, что он усиливает только разность напряжений, подан- ных на его входы, т.е. • ВЫХ ~ к-( ВХ! ' ВХ2^- 43
^вых Рис.2.3. Эквивалентная схема ОУ В инженерной практике реальные устройства, как правило, заменяются некоторыми идеализированными моделями, что дает возможность более про- сто. но с достаточной для решения практических задач точностью, предста- вить себе пути решения поставленных проблем. Идеальный операционный усилитель имеет следующие характеристики: • коэффициент усиления при разомкнутой петле обратной связи равен бесконечности; • входное сопротивление R, равно бесконечности; • выходное сопротивление равно нулю; • ширина полосы пропускания равна бесконечности (бесконечно протя- женная АЧХ); • при (7bxi ~ Цзхз напряжение (7вых = 0 (отсутствует напряжение сме- щения нуля). Перепишем (2.5) в виде: п -у - „вых MBX) '-'BX2 „ Если (7вых имеет конечное значение, а коэффициент усиления К бесконечно велик, то ^ВХ1 “ ^ВХ2 0 .... . и . .. . .. U =и вхI BX2 ; Поскольку входное сопротивление Rt для дифференциального сигнала бесконечно велико, то током через R, можно пренебречь. Последние два допущения существенно упрощают разработку схем’ на ОУ. Сформулируем их в виде следующих правил. Правило /. При работе ОУ в линейной области на двух его входах дейст- вуют одинаковые напряжения. Правило 2. Входные токи для обоих входов ОУ равны нулю. 44
На схемах электрических принципиальных ОУ обозначается треугольным символом, как и на рис.2.4. Рис.2.4. Обозначение ОУ на схеме электрической принципиальной Инструментальный усилитель служит первым каскадом измерительной или преобразовательной схемы, где основным требованием является точ- ность. Во многих случаях входной сигнал подается на инструментальный усилитель с мостовой схемы или датчика, преобразующих неэлектрическую величину в аналоговый электрический сигнал. Основные проблемы, которые приходится решать разработчику при усилении этого сигнала для обработки последующими каскадами, связаны с подавлением шумов и нестабильностью коэффициента усиления при воздействии внешних факторов. На рис.2.5 показана принципиальная схема простого инструментального усилителя на одном ОУ. Согласно правилу 2, входные токи для обоих входов ОУ равны нулю, поэтому ток, определяемый напряжением U2, протекает че- рез резисторы R3 и R4 на землю. Делитель напряжения на этих резисторах определяет величину напряжения на неинвертирующем входе ОУ: или, учитывая что, как правило, /?1 = R3, aR2 = R4: Я2С/2 (2.6) R2 (ЗОкОм) R1 (ЮкОм) R3 (МкОм) R4 (ЗОкОм) Рис.2.5. Инструментальный усилитель на одном ОУ 45
Согласно правилу 1, напряжение на инвертирующем входе ОУ должно быть равно напряжению на его неинвертирующем входе. С другой стороны, в соответствии с первым законом Кирхгофа алгебраическая сумма всех токов, втекающих в узел, образованный соединением резисторов Л1, R2 и инверти- рующим входом ОУ, и вытекающих из узла, должна быть равна нулю. В то же время в силу правила 2 равен нулю и входной ток ОУ. Отсюда следует, что токи i/ii и IR2, протекающие соответственно через резисторы RA и R2, равны: = “*Я2- (2-7) Поскольку правый вывод резистора RI находится под потенциалом U3, а на левом выводе действует потенциал входного напряжения U\, то, согласно закону Ома: ” Я1 Аналогично для /да получаем Подстановка этих соотношений в уравнение (2.7) дает Ц -и, и, -иа Rl R2 Подставляя (2.6) в (2.8), получим (2.8) Полученное соотношение определяет коэффициент усиления инструмен- тального усилителя. Как видно из этого соотношения, если два входа инстру- ментального усилителя соединить друг с другом и подключить их к одному источнику напряжения, так что на входах будет действовать синфазное на- пряжение U\ - U2, то Uа = 0. Термин «синфазное напряжение» используется для обозначения общего для обоих входов напряжения. Коэффициент усиле- ния синфазного напряжения для инструментального усилителя равен нулю. Реальный инструментальный усилитель не может обеспечить полного подав- ления синфазного напряжения. Количественной мерой способности инстру- ментального усилителя подавлять синфазное напряжение является так назы- ваемый коэффициент ослабления синфазного сигнала (КОСС): Кд КОСС = S-, Кс где Кд и К-с - соответственно коэффициент усиления дифференциального на- пряжения и коэффициент усиления синфазного напряжения. КОСС может 46
меняться от 100 в некоторых применениях до более 10000 для высококачест- венных усилителей. В соответствии с правилом 1, входное сопротивление усилителя Авх равно Явх = Я1 + Я3. Из-за разброса параметров реальных элементов усилителей, а также из-за принятых при расчете усилителя допущений реальный усилитель после его изготовления может нуждаться в настройке. § 2. Активные фильтры Фильтры - это частотно-избирательные устройства, которые пропускают или задерживают сигналы, лежащие в определенных полосах частот. До 1960-х годов для реализации фильтров применялись, в основном, пассивные элемен- ты, т. е. индуктивности, конденсаторы и резисторы. Основным недостатком таких фильтров является большой размер катушек индуктивности, особенно для низких частот. С разработкой интегральных операционных усилителей появились новые активные фильтры на ОУ. В активных фильтрах применя- ются резисторы, конденсаторы и усилители (активные компоненты), но в них нет катушек индуктивности. В дальнейшем активные фильтры почти полно- стью заменили пассивные. В настоящее время пассивные фильтры применя- ются только на высоких частотах (выше 1МГц), за пределами частотного диапазона большинства ОУ широкого применения, но и здесь пассивные RLC цепи часто заменяются кварцевыми фильтрами и фильтрами на поверхност- ных акустических волнах. Сейчас во многих случаях активные фильтры заменяются цифровыми. Работа цифровых фильтров обеспечивается, в основном, программными средствами, поэтому они оказываются значительно более гибкими в приме- нении. Тем не менее, цифровые фильтры пока не могут заменить активные во всех ситуациях, поэтому потребность в активных фильтрах сохраняется. Активные RC фильтры принадлежат к классу линейных схем с сосредото- ченными параметрами. Передаточная функция линейной цепи л-го порядка (порядок цепи определяется степенью полинома знаменателя) с сосредото- ченными параметрами описывается следующим выражением: В(Р) 47
____ f,t П где p = 7 co; j = V-l; A(p) = ; B(p) = y)\bip' ; /?>??:; co - цикличе- i=0 1=0 ская частота; а, и b, - коэффициенты, определяющие параметры RC контуров (постоянная времени). Для решения задачи одновременной аппроксимации АЧХ и ФЧХ фильтра составляется система из п алгебраических уравнений вида А(Р) В(р) = К(со)е*(ы), где К(со) - амплитудно-частотная характеристика, ср(со) - фазочастотная ха- рактеристика. - ’ Решением системы уравнений для нормированных частот определяются коэффициенты а, и Ь,. В настоящее время нет необходимости при расчете фильтров каждый раз решать подобные системы уравнений. В радиотехнической литературе пред- лагается большое количество разнообразных таблиц для нормированных фильтров различных типов, позволяющих упростить их расчет.. На рис.2.6 и 2.7 представлены принципиальные схемы активных фильтров второго и третьего порядков (порядок фильтра определяется числом входя- щих в него элементов, способных запасать энергию, т.е. конденсаторов и ка- тушек индуктивности). Фильтр второго порядка получается путем каскадного соединения двух RC фильтров и введения положительной обратной связи для увеличения коэффициента передачи фильтра на граничной частоте. В фильт- ре третьего порядка на входе включен еще один дополнительный RC фильтр. Каскадируя фильтры второго и третьего порядков, можно получить фильтры более высоких порядков. Путем такого же последовательного соединения ФНЧ и ФВЧ получаются полосно-пропускающие фильтры. Параллельное со- единение ФНЧ и ФВЧ позволяет получить полосно-заграждающие (режек- торные) фильтры. Важной характеристикой полосно-пропускающих и полос- но-заграждающих фильтров является добротность Q = сос/Дсо, где со с - гРа- ничная частота; Дсо - ширина полосы пропускания (подавления) фильтра, отсчитываемая по уровню -3 дБ. 48
Рис.2.6. Активный фильтр нижних частот второго порядка (а) и нормированный активный фильтр нижних частот третьего порядка (б) Рис.2.7. Активный фильтр верхних частот второго порядка (а) и нормированный активный фильтр верхних частот третьего порядка (6) 49
Примечание. Децибел (дБ или dB) - логарифмическая единица, исполь- зуемая для измерения отклонения двух значений какой-либо величины или для выражения усиления или ослабления этой величины. Пример 2.2. Ослабление по напряжению -12 dB есть отношение напряже- ний 1:3,98: кос = 20 lg(C71/C72). Пример 2.3. Усиление по мощности в 1000 раз есть отношение мощностей 1 000: 1, в децибелах +30 dB 101g(Pl/P2). Основными типами фильтров, классифицированных по типу аппроксими- рующего полинома, являются фильтры Баттерворта, фильтры Чебышева и фильтры Бесселя. Фи.чыпры Баттерворта характеризуются максимально плоской АЧХ в полосе пропускания при относительно высокой крутизне затухания вне поло- сы пропускания. Фильтры Чебышева обеспечивают наибольшую крутизну затухания, но при неравномерной АЧХ в полосе пропускания. Чем сильнее неравномер- ность, тем больше крутизна затухания. В зависимости от допустимого уровня неравномерности АЧХ в полосе пропускания используются различные табли- цы для расчета этих фильтров. Фильтры Бесселя обладают максимально плоской характеристикой груп- пового времени запаздывания (сигналы всех частот в полосе пропускания имеют одинаковые времена задержки), но относительно небольшой крутиз- ной затухания вне полосы пропускания. При воздействии на фильтр ступен- чатого сигнала переходная характеристика фильтра имеет малую величину перерегулирования. Это особенно важно при работе с импульсными сигнала- ми, которые надо передавать с минимальными искажениями. В табл.2.2 приведены данные, позволяющие проводить простой расчет каскадно соединяемых активных фильтров. Здесь предполагается, что частота среза фильтра (отсчитывается по уровню -3 дБ) соо = 1 рад/с. Для всех рези- сторов фильтра Rq = 1 Ом. Емкости Со, всех конденсаторов указаны в таблице в фарадах. Параметры соо, ^о, Со, характеризуют некоторый нормированный фильтр; масштабирование их значений осуществляется с помощью уравнения (0.AQ = со Я С,. (2.9) Параметрами со и R задаются, а затем из этого уравнения определяются зна- чения емкостей С,. 50
Таблица 2.2 Порядок фильтра п Си Со2 Соз Он Со2 Соз Фильтр Бесселя Фильтр Баттерворта ? 0,9066 0,6799 1,414 0,7071 1,423 0,988 0,2538 3,546 1,392 0,2024 4 0,7351 0,6746 1,082 0,9241 1,012 0,39 2,613 0,3825 1,009 0,8712 0,3095 1,753 1,354 0,4214 1,041 0,3098 3,235 0,3089 6 0,6352 0,6098 1,035 0,966 0,7225 0,4835 1.414 0.7071 1,073 0,2561 3,863 0,2588 Фильтр Чебышева (2дБ) Фильтр Чебышева (0,25дБ) 2 2,672 0,5246 1,778 0,6789 27,82 3,113 0,03892 8,551 2,018 0,1109 4 4,021 1,163 2,221 1,285 9,707 0,115 5,363 0,2084 5 12,4 4,953 0,1963 5,543 2,898 0,3425 14,99 0,07169 8,061 0,1341 6 5,75 1,769 3,044 1,875 7,853 0,2426 4,159 0,4296 21,46 0,04902 11,36 0,09323 Пример 2.4. Предположим, что нам нужно рассчитать ФНЧ Баттерворта пятого порядка с частотой среза 200 Гц и входным сопротивлением 50 кОм. Для получения требуемого фильтра пятого порядка можно использовать по- следовательное каскадирование фильтров третьего и второго порядков. В табл.2.2 находим данные для фильтра Баттерворта с п = 5. В соответствии с (2.9) запишем: = ojAQi =—1.1L753 _ = 27,9 10'’=27,9 нФ; <оЛ 2л-200-50000 1,354 2к•200•50000 = 21,6 нФ; , 0,4214 !Л ” 628 10s ~ нФ; 3,235 628 Ю5 = 51,5 нФ; С vie 0,3089 “ 628-105 “ нФ. 51
Законченная конструкция представлена на рис.2.8. Рис.2.8. ФНЧ Баттерворта пятого порядка с частотой среза 200 Гц и входным сопротивлением 50 кОм (решение примера 2.4) Табл.2.2 можно также использовать для расчета фильтров верхних частот по схемам, показанным на рис. 2.7, при этом (2.9) записывают следующим образом: Задавшись значениями параметров со и С, определяют значения сопро- тивлений Ro,. Для определения значения сопротивлений ROi берут, например, значение С<и из таблицы, и обращая его, получают значение Roi в омах. Та- ким образом, Ли = 1/Coi, Ко2 ~ 1/Сог и т. Д- Предполагают, что со0 = 1рад/с, для всех конденсаторов фильтра Со = 1 Ф. Подставляя эти значения в определяют /?,. Глава 2. ЦИФРОВЫЕ УСТРОЙСТВА § 1, Синтез комбинационных логических схем Комбинационные логические схемы представляют класс цифровых уст- ройств, не содержащих элементов памяти и позволяющих решать относи- тельно несложные задачи цифровой обработки информации. Логические комбинационные схемы, входящие в состав любого цифрово- го устройства, строятся из логических элементов. Одним из основных требо- ваний к набору логических элементов является требование функциональной полноты. В алгебре логики показывается, что функционально полной систе- мой являются наборы из элементов И, ИЛИ и НЕ (рис. 2.9). Задача построения схемы из такого набора логических элементов сводит- ся, прежде всего, к ее представлению в виде выражения алгебры логики.
Двоичная переменная (у), значения которой зависят от значений других двоичных переменных (Х), Х2,...^с„), именуемых аргументами, называется пе- реключательной (двоичной, булевой) функцией. Задание двоичной функции означает, что каждому из возможных сочета- ний (наборов) аргументовХ\,хг, ...»хр поставлено в соответствие определен- ное значение у. И ИЛИ НЕ (конъюнкция) (дизъюнкция) (инверсия) Рис.2.9. Изображение логических элементов При составлении выражений, описывающих произвольную переключа- тельную функцию, важное значение имеют две простейшие переключатель- ные функции: конституенты единицы и нуля. Конституентой единицы (минитермом) называется переключательная функция п переменных, равная единице только на одном наборе значений ар- гументов. Конституентой нуля (макситермом) называется переключательная функция п переменных, равная нулю только на одном наборе значений пере- менных. Рассмотрим способ выражения переключательной функции в аналитичен ской форме. Пусть имеется функция у, заданная своими значениями для всех наборов (табл. 2.3). Таблица 2.3 Номер набора Х| *2 *3 у 0 0 0 0 1 1 0 0 j 0 _ 2 0 1 0 0 3 0 1 1 • 1 4 1 0 0 1 1 0 1 1 6 1 1 0 0 7 1 1 1 1 Для представления данной переключательной функции в аналитической форме необходимо: 1) записать сумму произведений всех аргументов, причем число таких произведений равно числу наборов, на которых данная функция равна едини- це; 53
2) над аргументами, принимающими значения нуля в данном наборе ар- гументов, ставятся знаки инверсии. Для переключательной функции, соответствующей табл.2.3, получим: У — Х| Х2 • Xj + Xj X, • х3 + X] • х2 • х3 ч- х, • х2 • х3 + х, • х2 • х3. Такое представление функций называется совершенной дизъюнктивной нормальной формой (СДНФ). Переключательную функцию можно представить также в совершенной конъюнктивной нормальной форме (СКНФ): f( х) = conj( j еаф)М/х), где j - совокупность номеров наборов, на которых функция равна нулю. Та- кое представление целесообразно применять, если число наборов, на которых функция равна нулю, меньше числа наборов, на которых функция равна еди- нице. Полученное аналитическое выражение служит основой для построения логической схемы. Прежде чем строить логическую схему, реализующую требуемую пере- ключательную функцию, целесообразно упростить выражение этой функции. Ниже приводится ряд возможных приемов упрощения. 1. Склеивание Ах + Ах - А ; (А + х)-(А + х) = А. 2. Поглощение Ах + А = А; (А + х)-А- А. 3. Вынесение за скобки ( А + х{)( А + х2) = А + ( х,х2). 4. Внесение в скобки х + хА = х + А; х(х + А) = Ах. Применив указанные приемы, получим: 54
Применив к первому минитерму закон де Моргана, согласно которому А - В = А + В; А + В = А - В, получим: На рис.2.10 приведена логическая схема, реализующая эту функцию. Рис. 2.10. Реализация функции § 2. Принципы логического проектирования последовательностных устройств Последовательностные устройства обладают свойством запоминания ин- формации, поскольку строятся на элементарных автоматах с памятью (триг- герах). Количество элементарных автоматов т с памятью, необходимое для. кодирования всех состояний М последовательностного автомата, определяет- ся соотношением: т > |log2M|. Значение т называют объемом памяти последовательностного автома- та. Элементарными автоматами с памятью, или триггерами принято назы- вать автоматы, которые характеризуются следующими свойствами: • число входных переменных - не более трех (входные переменные при- нято обозначать специальными символами в соответствии с функциями, вы- полняемыми триггерами), в это число не входит тактовый вход, на который подаются синхронизирующие импульсы, фиксирующие смену тактов работы устройства; • число внутренних состояний равно двум, чему соответствует одна внут- ренняя переменная (последнюю принято обозначать символом Q); • число выходных переменных - одна (у), причем значение у совпадает со значением Q (функция выхода y=Q), обычно в триггерах имеется возмож- ность наряду со значением Q получать инверсную переменную Q; 55
• функции переходов, называемые характеристическими уравнениями Q'+]=Q(x, О'), являются полными. Далее рассматриваются наиболее употребляемые из триггеров. Триггер RS типа представляет собой элементарный последовательност- ный автомат с двумя входами R и S, функционирующий в соответствии с табл. 2.4. В триггерах R-S типа одновременная подача единичных значений вход- ных переменных R и 5 недопустима, так как ведет к появлению критических состояний. В строчках таблицы переходов триггера (табл.2.4), соответствую- щих R5/=1, содержится знак неопределенности значения Qt+ . * Таблица 2.4 Такт t Q' Q'" R' S' 0 0 0 0 0 1 0 1 1 0 0 0 1 1 0 X 0 0 1 1 0 1 1 1 1 0 1 0 1 1 1 X Характеристическое уравнение R-S триггера представляется в следующей минимальной форме: или, с учетом закона инверсии (де Моргана): Q'" = S' R'Q'. Графическое обозначение такого триггера приведено на рис.2.11, а, такой триггер называют асинхронным R-S триггером. Тактируемый R-S триггер описывается уравнениями: Q" = S'C +е'(я' +С”) или Графическое обозначение тактируемого триггера приведено на рис.2.11, б. В большинстве случаев на практике требуется определить комбинацию входных сигналов при заданном переходе триггера из одного состояния в другое. Такая задача возникает, например, при синтезе счегчиков, регистров и т.п.
б Рис.2.11. Графическое обозначение R-S триггера: а - асинхронного и б - тактируемого Для решения этой задачи необходима характеристическая таблица триг- гера (табл.2.5). * Таблица 2.5 Q'->Q" R' s' 00 ♦ 0 01 0 1 10 1 0 11 0 Триггер D-типа относится к одновходовым триггерам. Характеристиче- ское уравнение триггера, согласно таблице переходов (табл.2.6), определяется соотношением Из уравнения следует, что триггер в момент времени t+1 принимает со- стояние, соответствующее значению переменной на D-входе в момент време- ни t. Табл.2.7 является характеристической таблицей для D-триггера. Характеристическое уравнение тактируемого D-триггера записывается в виде: Таблица 2.6 Таблица 2.7 D‘ 00 01 10 11 0 1 0 1 57
Из уравнения следует, что при наличии тактирующего сигнала (С=1) триггер переходит в состояние Q ,+ = D а при отсутствии тактирующего сигнала (С=0) триггер сохраняет предыдущее состояние. Графическое обо- значение тактируемого D-триггера приведено на рис.2.12. Рис.2.12. Графическое обозначение тактируемого D-триггера Триггер J-X-типа относится к двухвходовым устройствам, функциони- рующим в соответствии с табл.2.8. Таблица 2.8 Такт t Q' е"' jt к’ 0 0 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 0 1 1 0 1 0 1 1 1 i 1 0 Из табл.2.8 следует, что при комбинации сигналов J и К, соответствую- щей конъюнкции JK=\, триггер инвертирует предыдущее состояние (при JK=\, Q t+l — Qt). В остальных случаях J-АГ-триггер функционирует как R-S- триггер. При этом вход J эквивалентен входу S, а вход К - входу R. Функ- ционирование J-K-триггера описывается характеристическим уравнением: Q,+} =J'Q'+K'Q‘. Табл.2.9 является характеристической таблицей для /-/^-триггера. Таблица 2.9 jl к' 00 0 ♦ 01 1 * 10 ♦ 1 и ♦ 0 В схемотехнике наибольшее распространение получили тактируемые J-K- триггеры. Эти триггеры являются универсальными, поскольку коммутацией внешних выводов ЛХ-триггер можно превратить в триггер, выполняющий 58
функции других триггеров. Так, например, если в характеристическом урав- нении для У-Л?-триггера принять J‘= D1 и К‘ = D1, то в результате получим Q/+ — D Это выражение полностью совпадает с характеристическим урав- нением для D-триггера. Условное обозначение тактируемого J-ЛГ-триггера приведено на рис.2.13. Рис.2.13. Условное обозначение тактируемого ЛК-триггера Синтез синхронных последовательностных устройств выполняется ис- ходя из заданной (таблично или алгебраически) системы функций выходов и переходов в предположении, что элементная база определена (заданы разно- видности применяемых триггеров и комбинационных элементов). Составле- ние уравнений выходов и переходов предполагает предварительное установ- ление (на основании содержательного описания автомата) числа его внутрен- них состояний и кодирование последних наборами внутренних переменных. Для кодирования внутренних состояний наиболее удобными оказываются рефлексный или циклический код Грея. Преимущество кода Грея по сравнению с обычным двоичным кодом за- ключается в том, что при уменьшении или увеличении числа на единицу в со- ответствующей кодовой комбинации кода Грея изменяется значение только одного разряда. Это уменьшает неопределенность при изменении последова- тельных кодовых комбинаций в отличие от случая обычного кода. Например, в обычном двоичном представлении при переходе от кода числа три к коду числа четыре значения двух младших разрядов изменяются от 1 к 0, а в стар- шем разряде от 0 к 1: ООП—>3; 0100 Нет гарантии, что эти разряды изменят свои значения точно в один и тот же момент времени. Поэтому при переходе кода от числа три к коду числа че- тыре может реализоваться любая 3-разрядная комбинация битов, соответст- вующая возможным изменениям значений этих трех разрядов. В коде Грея при переходе от кода числа три к коду числа четыре изменяется значение только одного разряда (табл.2.10). Таким образом, при указанном переходе реализуется одна из двух кодовых комбинаций и отсутствует какая-либо не- однозначность. 59
Таблица 2.10 Десятичное число Код Грея 0 0000 1 0001 2 ООН ^3 0010 4 ОНО 5 0111 6 0101 7 0100 8 1100 9 1101 10 1111 11 1110 12 1010 13 1011 14 1001 15 1000 При синтезе последовательностных автоматов принципиально новой за- дачей, в сравнении с синтезом комбинационных схем, является обеспечение переходов каждого триггера в соответствии с выполняемыми ими функциями в автомате. Такие переходы описываются уравнениями, получившими назва- ние прикладных уравнений триггеров. С другой стороны, переходы каждого триггера определяются его характе- ристическим уравнением. Совместное решение прикладных и характеристических уравнений можно осуществить алгебраически или с помощью таблиц переходов и соответст- вующих им карт Карно (диаграмм Вейча). Метод карт Карно основан на представлении переключательных функ- ций в виде прямоугольных таблиц с числом клеток, равным числу всевоз- можных наборов, т.е. 2я. Каждая клетка диаграммы Вейча соответствует оп- ределенному набору, и в нее вписывается значение функции (0 или 1), кото- рое она принимает на данном наборе. В то же время каждой клетке диа- граммы соответствует конституента единицы. Специальная разметка столбцов и строк диаграммы и, следовательно, нумерация клеток производит- ся таким образом, что конституенты, соответствующие двум соседним клет- кам, обязательно склеиваются по одной из переменных. Для переключатель- ных функций двух, трех и четырех переменных разметка диаграммы показана на рис.2.14. Отметим, что в диаграмме для функции от трех переменных со- седними следует считать также крайние клетки каждой строки, а в диаграмме для функции от четырех переменных соседними являются крайние клетки каждой строки и столбца. При большем числе переменных разметка диаграм- мы и правила склеивания несколько усложняются. 60
Рис.2.14. Диаграмма Вейча для функций двух (а), трех (б) и четырех (в) переменных Минимизация переключательных функций начинается с заполнения диа- граммы Вейча. Если на данном наборе функция равна единице, то в клетке, соответствующей данному набору, ставится единица; остальные клетки отме- чаются нулями (что необязательно). В заполненной диаграмме обводят пря- моугольными контурами все единицы. Число клеток в контуре должно рав- няться целой степени числа 2. Говорят, что контур покрывает 7, 2, 4, 8 и т.д. клеток. Указанными контурами необходимо покрыть все единицы диаграм- мы; некоторые контуры могут содержать только одну клетку. Каждому контуру соответствует логическое произведение. Изолирован- ной единице (контуру, состоящему из одной клетки) соответствует произве- дение п переменных. Контуру из двух клеток соответствует произведение л-1 переменных, причем исключается та переменная, которая входит в данный контур как с инверсией, так и без нее. Если контур состоит из четырех еди- ниц, то ему будет соответствовать произведение п-2 переменных. В общем случае наличие единиц в 2т соседних клетках позволяет исключить из соот- ветствующего произведения т переменных. Следовательно, при образовании контуров надо стремиться к тому, чтобы количество контуров было возможно меньшим. При этом одни и те же клетки, заполненные единицами, могут вхо- дить в несколько контуров. Рассмотрим последовательность синтеза автомата с помощью карт Карно на следующем примере. Пример. Пусть требуется построить недвоичный счетчик с коэффициен- том пересчета Ксч = 3. Такой счетчик строится на основе двух триггеров, так как: т > |log2£j = |log23| = 1,58. Примем т = 2. Число избыточных состояний счетчика: ^ = 2M-^CW=23-З = l. 61
Из возможных состояний счетчика исключим состояние QtQ2. Тогда по- рядок изменения состояний счетчика будет соответствовать коду Грея: е,е2.- е,а. и т.д. Составим таблицу функционирования счетчика (табл.2.11), на основании которой составляем прикладные таблицы для каждого триггера счетчика (рис.2.15). Таблица 2.11 Номер состояния Q\ е: еГ ** гч О) 0 0 0 1 0 1 1 . 0 1 1 2 1 1 0 0 Прикладные таблицы отражают переход данного триггера из предыдуще- го состояния Q' в последующее Q'+'. Для составления прикладных таблиц в клетки карты, соответствующие номерам предыдущих состояний автомата, вписываются 2-разрядные двоичные числа, выражающие переход триггера Q'. —> Q'+l при изменении состояния автомата. В этих таблицах прочеркнутая клетка соответствует исключенному состоянию счетчика QXQ2. В качестве элементной базы выберем триггеры Л/С-типа (например, оте- чественная интегральная микросхема К155ТВ1 или ее зарубежный аналог SN7472). На основании полученных прикладных таблиц и характеристиче- ской таблицы J-Л^-триггера (табл.2.9) составляем карты Карно соответственно для J-входов (рис.2.16) и /f-входов (рис.2.17) каждого триггера. Для этого 2-разрядные двоичные числа в прикладных таблицах заменяют соответст- вующими обобщенными значениями из клеток характеристической таблицы для каждого входа триггера. В результате получается набор карт Карно, от- ражающих значения логических функций на всех входах каждого триггера в зависимости от состояний счетчика. Из полученного набора карт Карно со- ставляем логические уравнения входов триггеров, которые связывают между собой входы и выходы всех триггеров счетчика. Q? Q2 10 II «в 01 Рис.2.15.Прикладные таблицы счетчика 62
Рис.2.16. Карты Карно для ./-входов К2 Рис.2.17. Карты Карно для /6-входов Учитывая, что в прочеркнутых клетках, как и в клетках со звездочками, функция не определена, при проведении контуров в картах Карно данные клетки можно доопределить по своему усмотрению. OR Рис.2.18. Недвоичный счетчик с /6СЧ=3 Логические уравнения для J- и /6-входов счетчика будут следующими: 63
Таким образом, для построения недвоичного синхронного счетчика с A"tk=3 необходимо J-вход второго триггера соединить с прямым выходом первого триггера, а /С-вход первого соединить с прямым выходом второго триггера. На J-вход первого триггера и Л'-вход второго триггера необходимо подать постоянный потенциал, соответствующий логической единице. Схема счетчика приведена на рис.2.18. § 3. Узлы цифровых устройств При составлении логических схем необходимо выполнить два условия: 1 • не подсоединять к одному и тому же входу логического элемента не- сколько выходов; • не образовывать замкнутых цепей, по которым сигнал с выхода какого- либо элемента мог снова попасть (пройдя, возможно, другие элементы) на один из входов того же самого элемента. При наличии замкнутых цепей уст- ройство на логических элементах может иметь несколько внутренних состоя- ний, что используется для построения триггерных структур. Дешифратор - логическая схема, преобразующая поступающий на ее входы код числа в управляющий сигнал, формируемый только на том ее вы- ходе, номер которого соответствует поступившему на входы коду сигнала. Такие дешифраторы называются полными. Иногда возникает необходимость дешифрировать только одно или несколько входных слов (чисел). В этом случае имеют дело с неполными дешифраторами. Иное название дешифрато- ров - декодеры. В табл.2.12 в качестве примера приведена переключательная функция трехвходового полного дешифратора. Таблица 2.12 № х2 Х| *0 >>о У1 У2 Уз У4 У5 Уб У7 0 0 0 0 Р.. 0 0 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 0 0 2 0 1 0 0 0 * 1 0 0 0 0 0 0 1 1 0 0 0 Ж: 1 0 0 0 0 4 1 0 0 0 0 0 0 1 0 0 0 5 1 0 1 0 0 0 0 0 'U:. 0 0 6 1 1 0 0 0 0 0 0 0 1 0 7 1 1 1 0 0 0 0 0 0 0 1 Отечественная и зарубежная промышленность предлагает широкий выбор различных схем дешифраторов в интегральном исполнении. Например, дешифратор на интегральной микросхеме К155ИД4 имеет графическое представление, показанное на рис.2.19. В этом представлении обозначение DC указывает на дешифратор. Кружочки на выводах АО—АЗ и ВО-ВЗ говорят о том, что этот дешифратор с инверсными выходами (актив- ный уровень низкий). 64
Рис.2.19. Условное обозначение ИС К155ИД4 Данная микросхема может выполнять функции: • двойного дешифратора 2 на 4, то есть в микросхеме содержится два де- шифратора, каждый из которых имеет два входа и четыре выхода; • дешифратора 3 на 8; • двойного демультиплексора 1 на 4; • демультиплексора 1 на 8. Демультиплексор - это коммутатор, осуществляющий передачу инфор- мации из одного входного канала в тот выходной канал, номер которого задан на входе демультиплексора. Микросхема К155ИД4 имеет два адресных входа с весами 2°=1 и 2’=2 (выводы 3 и 13), осуществляющими выбор одного из выходов группы А и В. Например, если на входы 2° и 2* поданы две единицы, то это означает, что будут выбраны выходы с номером 3, так как 2°+2'=1+2=3. В дешифраторе с- выходами группы А используется отдельный стробирующий вход ЕА (вывод 14), в дешифраторе с выводами В - вход ЕВ (вывод 2). Для демультиплекси- рования на выходы А используется информационный вход DA (вывод 15), для демультиплексирования на выходы В - вход DB (вывод 1). Если объединить входы DA (вывод 15) и DB (вывод 1), то микросхема бу- дет работать как дешифратор 3 на 8, при этом объединенные входы будут рассматриваться как адресный вход с весом 22=4. Для решения некоторых конкретных задач выпускаются интегральные схемы, которые служат преобразователями кодов. В отличие от дешифратора преобразователь кодов может формировать произвольное число нулевых и единичных сигналов на выходах. Каждому входному набору (слову) ставится в однозначное соответствие выходной набор (слово). Примером преобразова- теля кодов может служить микросхема, преобразующая двоичный код в сиг- налы управления семисегментным индикатором (рис.2.20). Здесь, например, двоичному набору 0000 должно соответствовать формирование логической единицы на всех выводах, кроме G. 65
И G lB Рис.2.20. Преобразователь кода (а) для управления семисегментным индикатором (б) Обратную функцию выполняют шифраторы. У шифратора 2” входов, на один из них подается логическая единица, а на остальные ноль, на п выходах формируются слова, соответствующие двоичному коду номера единичного входа. Мультиплексор осуществляет подключение одного выхода к любому из имеющихся входов. Логический сигнал на выходе F (рис.2.21) принимает значение сигнала на входе в зависимости от управляющих сигналов Ао, А\, А2, ..., А,. Обычно вход Do подключается к выходу при наборе управляющих сигналов ООО.. .0, D\ - при ООО... 1 и т.д. D0 D1 D2 D3 D4 D5 D6 D7 MX АО А1 А2 Рис.2.21. Мультиплексор Функциональный узел, который выполняет функции, противоположные мультиплексору (сигналы, поступающие на вход, распределяются по не- скольким каналам), называется демультиплексором. Существуют мультип- лексоры и демультиплексоры для коммутации аналоговых (непрерывных) сигналов. Функции ключей в них выполняют полевые транзисторы. 66
Сумматор — схема, осуществляющая получение арифметической суммы двух одноразрядных двоичных чисел. Числа в двоичной системе счисления складываются по тем же прави- лам, что и в десятичной системе счисления. Например: Десятичная система Двоичная система счисления счисления 1 111 5 1 10 11 + + 1 9 10 0 1 7 0 10 10 0 Основу сумматоров составляют либо элементарные одноразрядные ком- бинационные сумматоры, либо элементарные автоматы с памятью. Соответ- ственно принято делить сумматоры на комбинационные и накапливающие. Одноразрядные комбинационные сумматоры осуществляют сложение двух двоичных разрядов х, у и значения переноса z из младшего разряда, осуществляют выработку значения суммы 5 и переноса р в старший разряд. Одноразрядный сумматор с тремя входами строится в соответствии с табл.2.13 двоичного сложения, из которой вытекают минимальные дизъюнк- тивные формы для функций 5 ир: s = xyz + xyz + xyz + xyz; p = xy + yz + xz. •» На основе одноразрядного сумматора могут быть построены схемы срав- нения, выявляющие совпадение (равенство) кодов. Таблица 2.13 X У Z р 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 Для совпадения цифр в i-м разряде необходимо, чтобы обе цифры были равны нулю или единице. Отсюда получаем функцию эквивалентности одно- разрядных двоичных чисел: = W + X,У, 67
Соответственно функция неравнозначности, или сумма по модулю два (исключающее ИЛИ) определяется выражением Л, =xiyi+xiyi=x®y, которое реализуется в полусумматоре для получения суммы s. На рис.2.22 приведено условное обозначение сумматора по модулю два. Рис.2.22. Условное обозначение сумматора по модулю два Кроме рассмотренных схем в цифровых устройствах применяются также логические схемы, выявляющие большее или меньшее из двух сравниваемых чисел, например схема сравнения чисел К555СП1, показанная на рис.2.23. А=В Рис.2.23. Схема сравнения чисел К555СП1 Накапливающие сумматоры строятся, как правило, на статических триг- герах со счетными входами и рассчитываются на последовательный ввод раз- рядов слагаемых. Двоичные накапливающие сумматоры строятся на базе триггеров со счет- ными входами. Одноразрядный сумматор имеет один вход, на который по- следовательно подаются разряды слагаемых и цифра переноса в данный раз- ряд, и два выхода 5 и р: на одном выходе формируется сумма 5 по модулю два, а на другом - сигнал переноса р в старший разряд (рис.2.24). За время суммирования в одном разряде триггер может переключаться до трех раз. Четвертое переключение необходимо при установке нуля. С этим связан основной недостаток накапливающего сумматора - низкое быстродей- ствие. Однако если далее к сумме первых двух чисел нужно добавить третье, четвертое число и т.д., то добавление каждого нового числа потребует макси- мум двух переключений триггера, потому что к началу сложения цифры од- ного из слагаемых уже находятся в счетчике. В связи с этим проигрыш в ско- рости при выполнении многократных суммирований по сравнению с комби- 68
национным сумматором получается не слишком большим, в то же время эко- номия в количестве оборудования - заметной. Рис.2.24. Одноразрядный накапливающий сумматор На рис.2.25 показан принцип соединения одноразрядных накапливающих сумматоров. Имеющиеся между одноразрядными сумматорами линии за- держки исключают возможность наложения во времени сигналов переноса и второго слагаемого. Рис.2.25. Накапливающий сумматор В цифровых устройствах широко применяются арифметико-логические устройства (АЛУ), которые кроме арифметических операций позволяют вы- полнять и логические операции. Примером АЛУ является микросхема К155ИПЗ, графическое обозначение которой приведено на рис.2.26. В соответствии с графическим обозначением: А1-?А4 - информационные входы первого 4-разрядного операнда (А); В1-гВ4 - информационные входы второго 4-разрядного операнда (5); FO-J-F3 - входы, по которым осуществляется задание выполняемой функ- ции; Z - вход переноса, он используется в тех случаях, когда производятся операции над числами с разрядностью больше четырех; М - вход задания режима работы АЛУ, при М = 0 - режим арифметиче- ских операций, при М = 1 - режим логических операций; S14-S4 - информационные выходы, на которых фиксируется результат выполнения операции; Р - выход переноса. Данная ИС имеет выходы, предназначенные для ускоренного распростра- нения переносов. Описание этих выводов здесь опускается. 69
Рис.2.26. Условное обозначение ИС К155ИПЗ В табл.2.14 приведена таблица истинности ИС К155ИПЗ в режиме ариф- метических операций. В табл.2.15 приведена таблица истинности ИС К155ИПЗ в режиме логических операций. Знак X в таблицах обозначает лю- бое значение: 0 или 1 (безразличное значение); знак Ф обозначает суммиро- вание по модулю два. Таблица 2.14 Z F3 F2 F1 F0 Операции 0 0 0 0 0 А+1 1 0 0 0 0 А 0 0 0 1 1 0000 1 0 0 1 1 1111 0 0 1 1 0 А-В 1 0 1 1 0 А-В-1 0 1 0 0 1 А+В+1 1 1 0 0 1 А+В 0 1 1 0 0 А+А+1 1 1 1 0 0 А+А 0 1 1 1 1 А 1 1 1 1 1 А-1 70
Таблица 2.15 Z F3 F2 Fl FO Операции 0 0 0 0 А X 0 0 0 1 А ТВ X 0 0 1 0 АВ X 0 0 1 1 0 X 0 1 0 0 ~ав X 0 1 0 1 В X 0 1 1 0 АФВ X 0 1 1 1 Ай X 1 0 0 0 А ТВ X 1 0 0 1 А® В 1 0 1 0 В X 1 0 1 1 АВ X 1 1 0 0 1 X 1 1 0 1 АУй X 1 1 1 0 AVB X 1 1 1 1 А На базе данной ИС можно строить и многоразрядные АЛУ разрядности, кратной четырем. Данная ИС имеет большие возможности арифметической и логической обработки информации. Регистры - совокупность триггеров, объединенных общими схемами управления, предназначенных для хранения одного двоичного слова (кода). Регистры используются также для выполнения некоторых операций над ко- дами: сдвиг кода вправо или влево, образование обратного кода и др. В зависимости от способа ввода и съема информации различают парал- лельные и последовательные регистры. В регистрах параллельного действия все разряды кода вводятся и выво- дятся одновременно. Помимо триггеров в состав регистров входит еще ряд схем И для управления приемом и выдачей кода (рис.2.27). Каждый триггер предназначен для запоминания одного разряда кода. Перед записью кода на шину установки нуля подается сигнал, переводящий все триггеры в нулевое состояние. Для записи кода в регистр на схемы И, соединенные с единичны- ми входами, подаются цифры а, разрядов кода и сигнал записи информации. Возникающие на выходах схем И сигналы воздействуют на входы установки единицы триггеров; при а, = 1 соответствующие триггеры переводятся в еди- ничное состояние. Для считывания кода, записанного в регистре, на схемы И. подключенные к выходам Q триггеров, подается сигнал считывания. При этом сигналы, соответствующие единице, появляются на выходах только тех схем И, для которых Q, = 1.
Зн a, abi 3j Зи Рис.2.27. Параллельный регистр Параллельные регистры могут быть образованы из триггеров любого ти- па. От типа применяемых триггеров будет зависеть лишь способ управления вводом информации. Последовательные регистры состоят из триггеров, соединенных после- довательно таким образом, что выходной сигнал предыдущего триггера по- ступает на вход последующего. Все триггеры управляются общими синхро- низирующими импульсами, причем появление импульса на тактовом входе вызывает сдвиг записанной в нем информации на один разряд вправо или влево. Поэтому такие регистры называют регистрами сдвига. На рис.2.28 показана схема регистра, позволяющего производить сдвиг ко- да как в сторону младших разрядов, так и в сторону старших разрядов. Здесь выход Q каждого триггера может быть соединен как со входом D последую- щего триггера, так и со входом D предыдущего, в зависимости от состояния управляющего сигнала у. При наличии сигнала у=1 включается прямая связь между разрядами, а при у = 0 - обратная. Таким образом, при 7 = 0 происходит сдвиг в сторону старших разрядов, а при у = 1 - в сторону младших разрядов. Подобные регистры называются реверсивными регистрами сдвига. Сдвиг ин- формации осуществляется под воздействием тактового импульса. Рассмотрим принцип работы последовательного регистра в процессе сдвига кода т-разрядного двоичного числа. В общем случае хранимое в регистре число Х= хт ...х,х,.\ ...Х\ при сдвиге преобразуется в число Y=ym ...у„уп.\...у\, где О при при при т>(1 + р) > 1; (i + p) > т; (i + P) < 1- Здесь величина р определяет количество разрядов, на которые производится сдвиг, а се знак - направление сдвига (при сдвиге вправо р>0, при сдвиге вле-
во р<0). Таким образом, сдвиг кода числа проявляется в изменении положе- ния его разрядов. Поскольку вес каждого разряда определяется его положением в коде, сдвиг вправо на р разрядов (в сторону младших разрядов) соответствует опе- рации деления, а влево (в сторону старших разрядов) соответствует операции умножения на величину АТ, где К - основание системы счисления. Рис.2.28. Реверсивный регистр сдвига При построении регистров сдвига применяются триггеры типа R-S, D или Сдвигающие регистры применяются также для преобразования парал- лельного кода в последовательный и наоборот. Для этого каждый разряд ре- гистра должен иметь дополнительный вход для приема параллельного кода. Преобразование последовательного кода в параллельный осуществляется пу- тем одновременного опроса состояний всех триггеров регистра (см. рис.2.27). Рассмотрим в качестве примера восьмиразрядный универсальный сдвиго- вый регистр К155ИР13, представленный на рис.2.29. Рис.2.29. Условное обозначение ИС К155ИР13 73
Занесение информации в регистр осуществляется в параллельном или по- следовательном коде. В первом случае используются входы D1-D8. Во вто- ром случае используются входы DR и DL. Вход £>Л-последовательного сдви- га вправо (от 8-го разряда к l-му); он используется для последовательной (по- разрядной) записи числа, начиная с младших разрядов. Вход £>£-после- довательного сдвига влево (от 1-го разряда к 8-му); он используется для последовательной (поразрядной) записи числа, начиная со старших разрядов. Занесение информации в регистр выполняется по синхроимпульсу (точнее по положительному перепаду), поступающему на вход С. Считывание информа- ции из регистра происходит в параллельном коде. Вход R используется для установки регистра в 0. Входы SO и S1 являются управляющими; они задают режим работы регистра в соответствии с табл.2.16. Таблица 2.16 51 50 Режим работы 0 0 Хранение 0 1 Сдвиг влево 1 0 Сдвиг вправо 1 1 Запись Счетчики можно определить как автомат с W состояниями, имеющий один элементарный входной канал. При подаче на вход счетчика сигнала он переходит в состояние, номер которого на единицу больше номера предыду- щего состояния; если же номер состояния является максимальным, то счетчик переходит в нулевое состояние. При кодировании состояний счетчика обычными двоичными кодами по- следовательных целых неотрицательных чисел, начиная с нуля, т.е. числами вида ООО ... 00, 000 ... 01, 000 ... 10, 000 ... 11 и т.д., счетчик называется двоичным. Двоичный л-разрядный счетчик имеет N=2n различных состояний, каждому из которых соответствует двоичное число в пределах от 0 до 2. При исключении некоторых устойчивых состояний двоичного счетчика можно построить счетчик с коэффициентом пересчета, не равным 2я (см рис.2.18). Такие счетчики называют недвоичными. При построении двоичных счетчиков в качестве элементарных автоматов обычно используют двухступенчатые счетные триггеры с дополнительным входом установки нуля. Двухступенчатый триггер состоит из двух такти- руемых импульсом триггеров (основного - master и дополнительного - slave), которые синхронизируются взаимно инверсными тактовыми сигналами. На рис.2.30 приведена логическая структура двухступенчатого /^-триггера и его обозначение. 74
Рис.2.30. Структура двухступенчатого D-триггера (а) и его обозначение (б) При воздействии тактового импульса информация с входа ведущего триг- гера Т\ переписывается на его выход. Ведомый триггер Тг в это время не ме- няет своего состояния, поскольку на его тактовом входе действует нулевой сигнал. После окончания тактового импульса ведомый триггер перейдет в то состояние, в котором находится ведущий триггер. Поскольку выходной сиг- нал снимается с ведомого триггера, внешне это проявляется таким образом, как если бы перепись сигнала со входа производилась в момент воздействия на тактовый вход перепада 1/0, такой тактовый вход обозначают треуголь- ником, повернутым вершиной в обратную сторону от триггера, если актив- ным является перепад 0/1, треугольник повернут вершиной внутрь триггера. Если в тактируемом D-триггере вход D соединить с инверсным выходом Q, то D-триггер превращается в Г-триггер, при этом на вход С подаются счетные импульсы. Характерной особенностью Г-триггера является то, что частота изменения потенциала на его выходе в два раза меньше частоты сиг- налов на входе. Это свойство триггера используется при построении счетчи- ков. Счетчики являются одним из наиболее распространенных узлов цифро- вых устройств. Существует большое многообразие счетчиков, в том числе и так называемых реверсивных, способных работать как в режиме сложения, так и в режиме вычитания. Примером такого счетчика может служить счет- чик на интегральной микросхеме К155ИЕ16. Счетчик на ИС К155ИЕ16 является синхронным, т.е. у него все триггеры переключаются одновременно от одного счетного импульса. Счетный разряд построен на основе типового Л/С-триггера. Направление счета определяется тем, на какой из счетных входов («+1» или «-1») будет подан импульс с ак- тивным низким уровнем. По положительному перепаду этого импульса (0—> 1) выполняется счет. В это время на другом счетном входе должен быть высо- кий уровень напряжения, т.е. лог. «1». Условное обозначение К155ИЕ6 приведено на рис.2.31. Входы D1-D8 являются информационными и служат для параллельного ввода в счетчик по стробу С предварительной установочной информации. 75
Рис.2.31. Условное обозначение ИС К155ИЕ6 Вход R предназначен для установки счетчика в «О» (исходное состояние). Установка в «О» выполняется при подаче на 7?-вход высокого уровня (лог.«1»), независимо от состояний входов D1-D8 и С. Выходы «CR» и «BR» являются выходами прямого и обратного переноса соответственно. Они используются для построения счетчиков с разрядно- стью, большей четырех. При этом вход «С/?» подключается к входу прямого счета «+1» следующего каскада, а выход «BR» - ко входу обратного счета «-1» этого каскада. Глава 3. КОДИРУЮЩИЕ И ДЕКОДИРУЮЩИЕ ПРЕОБРАЗОВАТЕЛИ § 1. Цифро-аналоговые преобразователи При использовании в системах обработки сигналов цифровых автоматов возникает необходимость преобразования непрерывных сигналов в двоичный код и обратного преобразования кода в непрерывные сигналы. Наибольшее распространение получило кодирование информации, при котором, в сущности, производится измерение аналоговых величин, причем результаты измерения выдаются в виде последовательности двоичных кодов. Задачей декодирующих преобразователей является представление ин- формации, заданной в цифровой форме, ее аналогом - напряжением. Дис- кретный характер информации при этом сохраняется, т.е. выходная величина, как и входная, принимает конечное число значений. Существует два широко распространенных способа цифро-аналогового преобразования: с использованием резистивной матрицы с весовыми двоич- но-взвешенными сопротивлениями и аттенюатором сопротивлений лестнич- ного типа R-2R. 76
На рис.2.32 показана принципиальная схема 4-разрядного цифро- аналогового преобразователя (ЦАП) с весовыми двоично-взвешенными сопротивлениями. Четыре бита, фиксируемые в регистре, управляют со- стояниями четырех ключей и обеспечивают 16 различных комбинаций. Опе- рационный усилитель включен по схеме сумматора. При замыкании одного из ключей выходное напряжение ЦАП определяется произведением опорного напряжения Eref на отношение сопротивлений резистора обратной связи и ре- зистора, находящегося в цепи данного ключа. Если, например, замкнут ключ, соответствующий старшему значащему разряду (СЗР) регистра (при установ- ке в этом разряде логической «1»), то выходное напряжение При установке логической единицы в разряде В1 При замыкании нескольких ключей результирующее выходное напряжение определяется суммой вкладов от каждого замкнутого ключа. Например, при установке логической единицы в разрядах ВЗ и В1 выходное напряжение бу- дет Таким образом, можно получить 16 различных дискретных уровней вы- ходного напряжения, соответствующих 16 различным двоичным комбинаци- ям на выходе ЦАП. Соотношения сопротивлений весовых резисторов должны быть выдержаны с высокой точностью для обеспечения необходимой линей- ности преобразования входного кода в выходное напряжение. Конструирование такого ЦАП на одном кристалле вызывает определен- ные трудности. Это объясняется слишком большим диапазоном сопротивле- ний входящих в него резисторов. Реальное значение R, которое можно получить для резистора, формируе- мого как часть интегральной микросхемы, составляет 5 кОм. Таким образом, в 8-разрядном ЦАП требуется 9 резисторов с сопротивлениями от 5 кОм до 1,28 МОм (256x5 кОм), а в 12-разрядном 13 резисторов с совершенно нере- альным диапазоном сопротивлений вплоть до 20,48 МОм. Такие значения сопротивлений недостижимы в интегральной технологии из-за ограниченности свободного пространства (полезной площади) на кри- сталле (типичный кристалл ИС имеет площадь 0,25 дюйма2 ~ 161 мм2). По- этому рассмотренный тип резисторной схемы не находит практического при- менения в однокристальных ЦАП.
Eref __ Рис.2.32. Принципиальная схема ЦАП с весовыми двоично-взвешенными сопротивлениями Возможности интегральной технологии реализуются наилучшим образом при повторении на одном кристалле одной и той же структуры. При этом по- лучаются функциональные элементы с очень близкими (согласованными) ха- рактеристиками. На рис.2.33 показана принципиальная схема ЦАП, по своим функцио- нальным характеристикам эквивалентная ранее рассмотренной (см. рис.2.32), но в которой используются резисторы только двух номиналов - R и 2R. Это так называемый ЦАП с аттенюатором сопротивлений лестничного типа R-2R. Структура резисторной матрицы такова, что ток, втекающий в нее че- рез какую-либо ветвь, на своем пути к концу «лестницы» в каждом узле де- лится на два равных тока, уходящих от узла по двум ветвям. Eref е Рис.2.33. ЦАП с аттенюатором сопротивлений лестничного типа 4 Рассмотрим эквивалентные схемы для отдельных разрядов преобразова- теля. Эквивалентная схема, соответствующая введенному в регистр коду 1000, т.е. когда ключ старшего разряда подключен к источнику опорного на- Rf Ri 78
пряжения, а ключи остальных разрядов подключены к общему проводу, при- ведена на рис.2.34. Рис.2.34. Эквивалентные схемы при включении третьего разряда: а - полная, б - свернутая Из эквивалентной схемы вытекает, что значение напряжения е определя- ется из рассмотрения простейшего делителя: _1Г 1 е ~ о ^ref 70 • Напряжение е, отвечающее коду 0100, будет e = -I2R = -IR. 4 2 Поскольку то При преобразовании любого кода Х=хзх2,х1-хо В случае «-разрядного преобразователя 79
Резисторная матрица в рассмотренном ЦАП содержит почти в два раза больше резисторов, чем простая резисторная матрица, но сопротивления этих резисторов малы, обычно 5-10 кОм; они требуют относительно небольшого участка полезной площади кристалла и, кроме того, могут быть изготовлены с хорошо согласованными значениями сопротивлений (в выпускаемых фир- мами ИС ЦАП используется лазерная подгонка сопротивлений). Для устройств, реализующих как аналоговые, так и цифровые функции характерно наличие двух общих (земляных) выводов. Эти выводы предназна- чены для минимизации резистивной связи и шума в цепях прохождения ана- логового сигнала. Для уменьшения помех в системе, содержащей как анало- говые, так и цифровые компоненты, хорошие практические результаты да- ет использование всюду внутри системы раздельных общих проводов для аналоговых и цифровых схем и соединение этих общих проводов друг с другом только в одной точке. § 2. Аналого-цифровое преобразование Аналого-цифровое преобразование является операцией, устанавливающей отношение двух величин - входной аналоговой И, и эталонной Vr. Цифровой сигнал преобразователя есть кодовое представление этого отношения. Это отношение показано на рис.2.35, а. Цифр, выход Рис.2.35. Взаимосвязь сигналов (а), передаточная характеристика идеального АЦП (б) Если выходной код преобразователя является л-разрядным, то число дис- кретных выходных уровней равно 2”. Таким образом, процесс аналого- цифрового преобразования состоит из квантования по уровню и кодирования. so
На рис.2.35, б приведена передаточная характеристика АЦП (ломаная ли- ния) и линия абсолютной точности (линия проведена через нуль и точку мак- симального значения выходного сигнала). Из рисунка видно, что шаг квантования по уровню, в сущности, опреде- ляет разрешающую способность преобразователя. Для квантового сигнала характерно наличие скачков на величину шага квантования в моменты време- ни, когда непрерывный (аналоговый) входной сигнал проходит средние меж- ду двумя уровнями значения. Между этими моментами времени значение вы- ходного сигнала не изменяется. При таком способе построения квантованного сигнала максимальная ошибка квантования, определяемая разностью входно- го и выходного сигналов, нигде не будет превышать ±1/2 шага квантования. Поскольку шаг квантования представляет собой значение аналоговой величи- ны, на которую отличаются уровни входного сигнала, представляемые двумя соседними выходными кодовыми комбинациями, т.е. равен весу младшего значащего разряда, принято говорить, что погрешность не превышает ±1/2 МЗР. Величину погрешности квантования по уровню можно уменьшить, уве- личивая число разрядов в выходном коде преобразователя. Аналоговый входной сигнал Аналоговый входной сигнал Рис.2.36. Погрешности преобразователя: а - смещения; б - усиления; в - интегральная нелинейность; г - дифференциальная нелинейность 81
Характеристики реальных преобразователей по ряду параметров могут отличаться от идеальных характеристик (аналогичных идеальной характери- стике на рис.2.35, б). Передаточная характеристика преобразователя может быть сдвинута относительно идеальной характеристики (рис.2.36, а). Эта по- грешность смещения, или установки нуля определяется как значение анало- говой величины, при которой характеристика пересекает ось входных напря- жений. Наклон передаточной характеристики может отличаться от своего идеального значения, что приводит к погрешности «наклона», или «усиления» (рис.2.36, б). Для большинства серийно выпускаемых АЦП погрешности смещения и усиления или очень малы или могут быть практически полно- стью устранены с помощью предварительных регулировок. Труднее устра- нить погрешности, связанные с нелинейностью передаточной характеристи- ки, которые невозможно уменьшить с помощью регулировки. В АЦП проявляются два типа нелинейности: интегральная и дифференци- альная. Интегральная нелинейность определяется максимальным отклонени- ем передаточной характеристики от идеальной прямолинейной характеристи- ки при нулевых значениях погрешностей смещения и усиления. Дифференци- альная нелинейность - это отклонение величины одного из уровней квантования от его идеального аналогового значения. Если дифференциаль- ная нелинейность превышает один МЗР, то в выходном сигнале может исчез- нуть одна из кодовых комбинаций (выпадающий код). § 3. Устройства выборки - хранения Для выполнения преобразования АЦП требуется некоторое конечное время, называемое временем преобразования tc. Изменение входного напряжения во время процесса преобразования вно- сит нежелательную неопределенность в генерируемый выходной сигнал. Полная точность преобразования реализуется только в том случае, если изме- нение входного напряжения за время измерения не превышает шаг квантова- ния, т.е. dVi пдис с dt Т ’ где ПДИС - полный диапазон изменения входного аналогового сигнала; И,- - входной аналоговый сигнал; п - разрядность преобразователя. Например, если преобразование осуществляется с помощью восьмираз- рядного АЦП со временем преобразования tc = 100 мкс при полном диапазоне изменения входного аналогового сигнала 2U, то для синусоидального вход- ного сигнала = Usin( 2nft), а скорость изменения входного сигнала dt 82
ес максимальное значение будет Другими словами: откуда = 2тгД/. 2я tcn /<12,4 Гц. Таким образом, даже в случае относительно пологого синусоидального сигнала его частота ограничена 12,4 Гц. При столь жестком ограничении диа- пазон применения АЦП был бы исключительно узок. Эта трудность преодо- левается путем использования на входе АЦП устройства выборки-хранения (УВХ) - аналоговой схемы, которая по команде осуществляет отсчет значе- ний входного сигнала и затем сохраняет это значение, пока АЦП выполняет преобразование (рис.2.37). Аналоговый к АЦП входной сигнал Схема управления УВХ Рис.2.37. Устройство выборки-хранения Временным интервалом, определяющим допустимую скорость изменения входного напряжения, является задержка между моментом получения коман- ды и моментом перехода схемы в режим хранения, называемая апертурным временем ta. Типичное значение апертурного времени - несколько десятков наносекунд. Если использовать УВХ с апертурным временем 20 нс, то мак- симальная допустимая частота входного сигнала в рассматриваемом примере составит <62,17 кГц. Это вполне приемлемое значение для преобразователя с tc- 100 мкс. Следует обращать внимание на выбор типа конденсатора, поскольку диэлектрическая абсорбция (диэлектрические потери) в запоминающем кон- денсаторе является одним из источников погрешностей. Лучше всего здесь работают конденсаторы с диэлектриком из полипропилена, полистирола и тефлона. Слюдяные и поликарбонатные конденсаторы имеют уже посредст- венные характеристики. И совсем не следует использовать керамические кон- денсаторы. 83
§ 4. Принципы работы аналого-цифровых преобразователей АЦП последовательного приближения (поразрядного уравновеши- вания) - наиболее распространенный способ реализации функции аналого- цифрового преобразования в преобразователях со средним и высоким быст- родействием. В структуру АЦП последовательного приближения входит ЦАП (рис.2.38). Цифровой выход б Рис.2.38. АЦП последовательного приближения: а - структурная схема; б - временная диаграмма сигналов; в — логическая схема работы АЦП Данный метод основан на аппроксимации входного сигнала двоичным кодом и последующей проверке правильности этой аппроксимации для каж- дого разряда кода, пока не достигается наилучшее приближение к величине входного сигнала. На каждом этапе этого процесса двоичное представление текущего приближения хранится в так называемом регистре последователь- ного приближения. Преобразование всегда начинается с установки единично- го значения старшего значащего разряда в регистре последовательного при- ближения. Это соответствует первоначальной оценке величины входного сигнала половинной величины полного диапазона (полной шкалы). Компара- тор сравнивает выходной сигнал ЦАП с входным напряжением и выдает кон- 84
1 троллеру команду на сброс СЗР, если это первоначальная оценка превышает величину входного сигнала; в противном случае остается установленное зна- чение СЗР. В следующем такте контроллер устанавливает в единичное значе- ние следующий (по старшинству) разряд, и снова исходя из уровня входного сигнала компаратор «решает», сбрасывать или оставлять установленным этот разряд. Преобразование продолжается аналогичным образом, пока не будет проверен последний МЗР. В этот момент содержимое регистра последователь- ных приближений является наилучшим приближением входного сигнала - это и есть выходной цифровой сигнал (слово) АЦП. Таким образом, в АЦП по- следовательного приближения выходной сигнал получается точно за п тактов для «-разрядного преобразователя. На рис.2.39 показан метод двухтактного (двойного) интегрирования. Рис.2.39. Двухтактный интегрирующий АЦП: а - структурная схема; б - временная диаграмма сигналов Входное напряжение интегрируется в течение фиксированного интервала времени Тi, соответствующего полному заполнению счетчика. В конце этого интервала вход интегратора переключается на источник опорного сигнала. Выходное напряжение интегратора теперь уменьшается по линейному зако- ну, а счетчик ведет подсчет импульсов до тех пор, пока входное напряжение не достигнет нулевого значения, после чего счетчик останавливается, а инте- гратор устанавливается в исходное состояние. Заряд, накопленный интегри- рующим конденсатором в течение первого интервала, равен заряду, потерян- ному им в течение второго интервала, следовательно: Отсюда 85
Заметим, что отношение временных интервалов является одновременно отношением содержимого счетчика. Другими словами, состояние счетчика в конце интервала Ь представляет собой выходное слово АЦП. Метод двухтактного интегрирования обеспечивает отличные шумовые характеристики. Поскольку входное напряжение интегрируется в течение некоторого промежутка времени, любые высокочастотные шумы, наклады- вающиеся на входной сигнал при интегрировании, компенсируются. Кроме того, фиксированный временной интервал Т\ можно выбрать таким, чтобы почти полностью исключить помехи с частотами, кратными 1/Г|. Для этой цели обычно выбирается временной интервал, определяемый частотой про- мышленной сети. Главный недостаток двухтактного интегрирующего АЦП - низкое быст- родействие. Метод мгновенного, или параллельного, преобразования показан на рис.2.40. Он используется в тех случаях, когда требуется очень высокая ско- рость преобразования, например, в видеотехнике, радиолокации, в цифровых осциллографах. МЭР Рис.2.40. АЦП параллельного преобразования 86
В этом методе входной сигнал сравнивается одновременно со всеми поро- говыми уровнями с помощью компараторов, смещенных по уровню опорного сигнала на один младший значащий разряд друг относительно друга. Смеще- ние обеспечивается за счет использования прецизионного резистивного уси- лителя. При подаче аналогового сигнала на вход АЦП компараторы, смещен- ные выше уровня входного сигнала, имеют на выходе логический ноль, а смещенные ниже этого уровня - логическую единицу. Сигналы с выходов компараторов подаются на комбинационную схему, на выходе которой полу- чается цифровой код входного напряжения. Скорость преобразования таких АЦП достигает 100 МГц при 8-разрядном разрешении. Глава 4. ЦИФРОВЫЕ ПРОЦЕССОРЫ ОБРАБОТКИ СИГНАЛОВ § 1. Цифровой процессор обработки сигналов Л1879ВМ1 В ряду научно-технических направлений, в наибольшей мере влияющих сегодня на прогресс в области передачи, приема и обработки информации, цифровая обработка сигналов, как уже отмечалось ранее, занимает одно из первых мест. Аппаратура связи и передачи данных, средства гидро- и радиолокации, медицинское оборудование и робототехника, управление двигателями, ору- жием и станками, автомобильная электроника и авионика, телевидение и из- мерительная техника, приборы контроля за состоянием объектов и окружаю- щей среды, радиовещание и высококачественное воспроизведение звука, компьютерные технологии - вот далеко не полный перечень технических приложений, где методы и средства цифровой обработки сигналов обеспечи- вают принципиально новое качество. Определяющим в упомянутых приложениях является требование обра- ботки сигналов в реальном масштабе времени. Это обстоятельство привело к созданию специального класса приборов, получивших название цифровые процессоры обработки сигналов (ЦПОС), или коротко, сигнальные процессо- ры. Следует сказать, что однокристальные ЭВМ и микроконтроллеры спо- собны решать задачи цифровой обработки сигналов. Более того, многие из них приспособлены для этих целей, обладая необходимыми интерфейсными устройствами. Однако область применения таких процессоров ограничена обработкой сигналов в относительно узком частотном диапазоне с помощью сравнительно простых алгоритмов. Одним из первых отечественных сигнальных процессоров был сигналь- ный процессор КМ1813ВЕ1А - это СБИС 25-разрядной микроЭВМ с анало- говыми устройствами ввода/вывода, содержащая: УФ РППЗУ емкостью 192*24, ОЗУ емкостью 40*25, 9-разрядный ЦАП и 9-разрядный АЦП. На- пряжение источников питания КМ1813ВЕ1А: +5В, -5В. Частота тактовых импульсов 6,67 МГц. 87
В настоящее время сложилась обстановка, когда рынок ЦПОС буквально наводнен разнообразными приборами. Для решения задач цифровой обработки сигналов, требующих высокой производительности, создаются и применяются ЦПОС, среди которых можно выделить несколько предметно-ориентированных групп приборов: • ЦПОС, предназначенные для решения одной задачи (серия 1813), на- пример нерекурсивный цифровой фильтр; • приборы, предназначенные для решения ограниченного круга задач цифровой обработки сигналов, связанных с конкретными приложениями (се- рия 1815). В качестве примера можно привести задачу цифрового приема и передачи аудио сигналов в заданном формате; • ЦПОС, ориентированные на решение широкого круга задач цифровой обработки сигналов вообще либо в конкретной области (серия 1879). Существование различных типов приборов оправдано тем, что множество требований приложений определяет разнообразные разумные и достаточные варианты реализации ЦПОС. Архитектура процессора Л1879ВМ1. Процессор Л1879ВМ1 представля- ет собой высокопроизводительный специализированный микропроцессор, со- четающий в себе черты двух современных архитектур: VLIW (Very Long In- struction Word) и SIMD (Single Instruction Multiple Data) (рис.2.41). RISC -.Ядро Рис.2.41. Блок-схема ЦПОС Л1879ВМ1 Тактовая частота процессора - 40 МГц (25нс - время выполнения любой инструкции); напряжение питания - от 3,0 до 3,6 В; потребляемая мощность - 88
1,3 Вт (не более 1.6 Вт); технология КМОП 0,5 мкм; корпус BGA256 (рис.2.44); условия эксплуатации: -60...+85 °C. Основные вычислительные узлы процессора - управляющее RISC-ядро и векторный сопроцессор. RISC-ядро - это центральный процессорный узел, выполняющий все основные функции по управлению работой кристалла. Кроме того, RISC-процессор производит арифметико-логические и сдвиговые операции над 32-разрядными скалярными данными и формирует 32- разрядные адреса команд и данных при обращениях к внешней памяти. Длина команды - 32 и 64 разряда (обычно в команде выполняются две операции). Процессор реализует пятиступенчатый 32-разрядный конвейер. Адресное пространство - 16 Гбайт, два адресных генератора, восемь регистров общего назначения и восемь адресных регистров. Любая инструкция выполняется за один такт. Векторный сопроцессор предназначен для арифметических и ло- гических операций над 64-разрядными векторами данных программируемой разрядности. Обмен данными между основными узлами процессора происхо- дит по трем внутренним шинам, двум входным и одной выходной. GMI и LMI - два одинаковых блока программируемого интерфейса с ло- кальной и глобальной 64-разрядными внешними шинами. К каждой из них может быть подключена внешняя память, содержащая до двухсот тридцати одной 32-разрядных ячеек. Обмен данными с внешней памятью осуществля- ется как 32-, так и 64-разрядными словами (NM6403 одновременно выбирает две соседние ячейки памяти). Каждый блок программируемого интерфейса позволяет работать с двумя банками внешней памяти различного объема, ти- па (DRAM, SRAM, Flash ROM, EDO DRAM и т.д.) и быстродействия без до- полнительного оборудования. Предусмотрена аппаратная поддержка режима разделяемой памяти для различных мультипроцессорных конфигураций внешних шин. СР1 и СР2 - идентичные коммуникационные порты, обеспечивающие информационный обмен по двунаправленной 8-разрядной шине. Они предна- значены для построения высокопроизводительных мультипроцессорных сис- тем и полностью совместимы с коммуникационными портами процессора TMS320C4x. Каждый коммуникационный порт имеет встроенный контроллер прямого доступа к памяти (ПДП, DMA), позволяющий обмениваться 64- разрядными данными с памятью на внешних шинах. Векторный сопроцессор - основной функциональный элемент Л1879ВМ1. Структурно он представляет собой матрично-векторное операционное уст- ройство и набор регистров различного назначения. Операционное устройство (ОУ) - регулярная матричная структура 64x64 ячейки (рис.2.42). 89
Вход U U3 иа Ui • • • • • • • ♦ • и3 ! I U, 63 О и ВходХ Xo • 9 9 Xo x. • • 9 Xi xa 9 9 9 Xa 9 9 9 x3 X, 9 9 9 x« Xj 9 9 9 Xi X, • • « Хб x7 • • • x7 32 Uj+Xo^jo U2+Xo*WM Ui+^*WioW +x1*wJ1 +X1*W31 +X!*Wn jji +Xa*W3a +xa*waa +Xa*Wu W +x3*w33 +x3*wa3 +X3*Wi3 Ш +X4*WM +Xf*Wj4 +x«*wM id +x5»w3J +x3*wai +x3*Wii m +x<«w3< +xe*wa< +xi*wM SB +x7*w37 +x7*w27 +x7*wi7 Cfi Y3(20:0) Yj(2O:O) Yi(20:0) Uj + EXj’Wji l^+EXi*W31 U< +ЕХ,*\УН ____rf______ HJ 1-0 Рис.2.42. Векторный сопроцессор Матрица может быть произвольно разделена на столбцы и строки. В обра- зовавшиеся после разделения макроячейки загружаются весовые коэффици- енты Wij. На вход матрицы подается вектор входных данных X =(Хх,Х2,...,Хп), каждому элементу которого соответствует строка мат- рицы. Ширина строки (в битах) - разрядность данного элемента входных данных. В макроячейках происходит умножение элемента вектора входных данных на весовой коэффициент и сложение со значением верхней ячейки (либо значений входов (7,). Таким образом, для каждого столбца вычисляется скалярное произведение Для снижения разрядности выходных данных и защиты от арифметиче- ского переполнения используется программируемая функция насыщения (рис.2.43). Рис.2.43. Функция насыщения 90
Операнды и выходные значения упаковываются в 64-разрядное слово. Все операции в матрице ОУ производит параллельно, за один такт. Загрузка весо- вых коэффициентов происходит за 32 такта. В векторном сопроцессоре есть «теневая» матрица, в которую весовые коэффициенты можно загружать в фо- новом режиме. Переключение «теневой» и рабочей матриц занимает один такт. Важнейшая особенность векторного сопроцессора - работа с операндами произвольной длины (даже не кратной степени двойки) в диапазоне 1 -64 бит. Этим достигается оптимальное соотношение между скоростью и точностью вычислений: при однобитовых операндах на тактовой частоте 40 МГц произ- водительность составит 11 520 ММАС (миллионов операций умножения с на- коплением) или 40 000 MOPS (миллионов логических операций в секунду), при 32-битовых операндах и 64-битовом результате она станет номинальной - 40 ММАС. Умение динамично в процессе вычислений изменять разрядность операндов позволяет повысить производительность в тех случаях, когда обычные процессоры работают «вхолостую», с избыточной точностью. Ниже перечислим основные характеристики Л1879ВМ1. RISC-ядро: 5-ступенчатый 32-разрядный конвейер; 32- и 64-разрядные команды (обычно выполняется две операции в одной команде); два адресных генератора, адресное пространство - 16 Гбайт; два 64-разрядных программи- руемых интерфейса с SRAM/DRAM - разделяемой памятью; формат данных - 32-разрядные целые; регистры: восемь 32-разрядных регистра общего назна- чения, восемь 32-разрядных адресных регистра и специальные регистры управления и состояния; два высокоскоростных коммуникационных порта ввода/вывода, аппаратно совместимых с портами TMS320C4x. VECTOR-сопроцессор - переменная (1 - 64-разрядная) длина векторных операндов и результатов; формат данных - целые числа, упакованные в 64-разрядные блоки, в форме слов переменной длины от одного до 64 разря- дов каждое; поддержка векторно-матричных и матрично-матричных опера- ций; два типа функций насыщения на кристалле; три внутренних 32x64- разрядных RAM-блока. Производительность - скалярные операции: 40 MIPS; 120 MOPS для 32-разрядных данных; векторные операции: от 40 до 11500+ ММАС (миллио- нов умножений с накоплением в секунду); I/O и интерфейсы с памятью - пропускная способность двух 64-разрядных интерфейсов с памятью до 320 Мбайт/с; I/O коммуникационные порты - до 20 Мбайт/с каждый. Программное обеспечение: компилятор и средства отладки программ; документация, описывающая язык ассемблера, и примеры программ с под- робными комментариями. 91
В табл.2.17 приведены результаты оценочных тестов Л1879ВМ1 (рис.2.44). Таблица 2.17 Фильтр Собеля (размер кадра: 384x288 байт) 68 кадров/с Преобразование Уолша - Адамара (21 шаг, входные данные - 5 бит) 0.45 с Быстрое преобразование Фурье (256 точек, 32 разряда) 102 мкс (4070 тактов) Сеть прямого распространения (1024 слоя, 1024 нейронов/слой) 1.54 с fnadolc А98100 Рис.2.44. Внешний вид процессора Л1879ВМ1 Стоимость процессора Л1879ВМ1: единичные образцы — $50; более 10 шт. - $25; более 100 шт. - $20. § 2. Системы разработки и отладки устройств на базе ЦПОС' Производители ЦПОС предлагают полный набор аппаратных и про- граммных средств для разработки и отладки. Отладочные программные средства разработки представляют собой кросс-средства для различных типов компьютеров (как правило, IBM PC, Mac 11, и Sun4). В состав таких кросс-средств входят: компоновщик; ассемблер; симулятор с библиотекой и С-компилятор. Отладочные аппаратные средства разработки - это отладочные модули или отладочные системы. Первые представляют собой отладочные платы, работающие совместно с персональным компьютером (подключаются к коммуникационному порту и системной шине персонального компьютера). Отладочные системы - это комплект аппаратных средств, в состав кото- рого входит управляющая плата, устанавливаемая в системную шину персо- нального компьютера, плата преобразователя команд и непосредственно от- ладочная плата (в качестве отладочной платы может выступать как отладоч- ный модуль, так и плата пользователя с установленным ЦПОС). 92
Для отработки функционального программного обеспечения вычисли- тельных систем на базе процессора Л1879ВМ1 используется инструменталь- ный модуль МС4.31, предназначенный для работы в составе ПЭВМ с систем- ной шиной PCI (рис.2.45). Рис.2.45. Инструментальный модуль МС4.31 Модуль содержит один Л1879ВМ1 с двумя банками однотактовой стати- ческой памяти по 2 Мбайта (по одному банку на каждой шине процессора). Один банк памяти доступен для записи и чтения как со стороны процессора, так и со стороны шины PCL На внешние разъемы модуля выведены два коммуникационных порта процессора, которые предназначены для объединения нескольких модулей или подсоединения устройств ввода/вывода. Коммуникационные порты мо- гут быть использованы в качестве отладочного интерфейса для сопряжения ПЭВМ с бортовой аппаратурой на базе процессора Л1879ВМ1. Со стороны шины PCI (рис.2.46) модуль виден как 32-разрядное ведущее устройство в пространстве адресов ввода-вывода. Рис.2.46. Структура инструментального модуля МС4.31 Программный драйвер модуля поддерживает его работу под управлением операционных систем Windows 95, Windows 98, Windows 2000, Windows NT. Базовое программное обеспечение процессора создает условия для осу- ществления полного цикла разработки и отладки прикладных программ. Оно включает в себя: компилятор и средства отладки программ; библиотеку БПФ; симулятор; библиотеку загрузки и обмена для симулятора. 93
Ниже перечислим основные характеристики инструментального модуля МС4.31. Модуль содержит один процессор Л1879ВМ1 с тактовой частотой 40,0 МГц, общее ОЗУ статического типа емкостью 4 Мбайта. Напряжение пи- тания модуля 5,0/3,3 В. Потребляемая мощность не более 2,0 Вт. Модуль предназначен для работы в составе ПЭВМ с системной шиной PCI с макси- мальной скоростью обмена до 132 Мбайт/с и содержит 2 коммуникационных порта с пропускной способностью до 15 Мбайт/с каждый. Скорость выполне- ния скалярных операций над 32-разрядными данными достигает до 120 MOPS, векторных операций над 8-разрядными данными достигает 960ММАС. Раздел 3. ИНФОРМАЦИОННО-УПРАВЛЯЮЩИЕ СИСТЕМЫ И ИХ ПРОЕКТИРОВАНИЕ Глава 1. ИНФОРМАЦИОННО-УПРАВЛЯЮЩИЕ СИСТЕМЫ § 1. Общие сведения о технических информационных системах Информационные системы (подсистемы, устройства) служат для преобра- зования, передачи на расстояние, хранения, обработки (приданию сообщени- ям свойств, необходимых потребителю) и выдачи потребителям разнообраз- ной информации. Типовыми информационными системами являются: систе- мы связи (телефонная, факсимильная, радио, телевидение и т.п.); измери- тельные системы (непосредственного измерения, телеметрические, радиолокационные, навигационные и др.); системы наблюдения и обнаруже- ния (радиолокационные, оптического диапазона и т.п.); системы передачи команд; системы обработки информации (вычислительные, моделирующие и т.п.). Потребителями сообщений, выдаваемых информационной системой, может быть человек (оператор) или какое-либо автоматическое устройство. Разнообразие задач, решаемых информационными системами, определяет разнообразие их структур и сложность устройств. Это могут быть сравни- тельно простые устройства или приборы и весьма развитые комплексы, включающие большое количество сложных подсистем. В развитой информационной системе можно выделить две группы под- систем: подсистемы формирования первичных сообщений и подсистемы пре- образования, передачи, хранения и обработки сообщений. У простых информационных систем или устройств эти группы подсистем могут вырождаться в отдельные устройства (приборы) или даже элементы. Первая группа подсистем воспринимает воздействие управляющего или контролируемого (наблюдаемого) объекта так, что в результате формируется первичное сообщение х. Оно несет информацию об управляющих воздейст- виях X (например, команды) или о некоторых характеристиках (показателях) 94
X объекта. Такими показателями и характеристиками могут быть простран- ственные координаты объекта, изображения объекта, результаты измерений параметров различных процессов, протекающих на объекте или в окружаю- щей его среде, и т.п. Носителями первичного сообщения х обычно служат электрические сиг- налы, они наиболее удобны для преобразований сообщения последующими подсистемами. Первичное сообщение х может быть представлено в цифровом, дискрет- но-аналоговом или аналоговом видах. Воздействия управляющего, наблюдаемого или контролируемого объек- тов могут быть различными: непосредственное механическое, воздействие упругих или электромагнитных колебаний, возбуждаемых объектом и др. Ес- ли наблюдаемый (контролируемый) объект пассивен, то подсистемы форми- рования первичных сообщений организуют взаимодействие с ним или зонди- рование (запрос), например, путем излучения электромагнитных колебаний, которые достигают объекта и либо отражаются от него, либо ретранслируют- ся. Возможна также организация взаимодействия с помощью механических устройств, электрических сигналов и др. Системы формирования первичных сообщений х могут быть простыми и сложными. Простые устройства - это управляемые коммутирующие элемен- ты, микрофон, датчики, преобразующие неэлектрические воздействия в элек- трические сигналы, и т.п. К более сложным устройствам относятся устройст- ва, выполняющие преобразование изображения в электрический сигнал и, на- конец, это может быть комплекс весьма сложных устройств. Например, комплекс устройств системы измерения параметров траектории объекта, вы- полняющий формирование и излучение запросных сигналов, прием этих сиг- налов на объекте, формирование и излучение ответных сигналов, прием, де- модуляцию и оценку параметров ответных сигналов. Вторая группа - подсистемы преобразования, передачи, хранения и обра- ботки сообщений, выполняющих следующие задачи: передача сообщений на расстояние (доставка потребителю), запоминание, хранение и обработка (преобразование) сообщений, с тем чтобы они были выданы потребителю в наиболее приемлемой для него форме, позволяющей извлечь из них интере- сующую информацию. Носители сообщений, выдаваемых потребителю, весьма разнообразны: бумага с печатными знаками (текстом, графиками или рисунками), изображе- ния на экранах мониторов, световые (сигнальные лампы, цифровые индика- торы), звуковые и другие индикаторы, магнитные носители, электрические сигналы, и т.д. Следует отметить, что приведенное разделение информационной системы на две группы подсистем, а также отделение информационной системы от объекта не являются абсолютными, а в значительной мере определяются за- дачами и удобством анализа системы, а в некоторых случаях и аппаратурной реализацией. Так, в приведенном выше примере системы измерения парамет- 95
ров траектории объекта, устройства формирования запросного сигнала, ретрансляции его, приема и демодуляции ответного сигнала рассматриваются как подсистемы формирования первичных сообщений. При более детальном изучении эта подсистема может рассматриваться как две самостоятельные информационные системы (запроса и ответа), каждая из которых в свою оче- редь разделяется на подсистемы. § 2. Архитектура информационно-управляющих систем Информационно-управляющие системы осуществляют функцию пре- образования первичных выходных сигналов от одного или нескольких изме- рительных преобразователей в эквивалентные цифровые сигналы, пригодные для дальнейшей обработки, отображения информации или использования в целях управления. Диапазон применения систем исключительно широк, на- чиная с простого текущего контроля значений одной аналоговой переменной и кончая контролем и управлением сотней параметров в ядерных агрегатах. На рис.3.1 показана структурная схема одноканальной информационно- управляющей системы. Рис.3.1. Одноканальная информационно-управляющая система Сигнал, поступающий от измерительного преобразователя, как правило, мал по амплитуде, к нему примешаны нежелательные сигналы и шумы, и, кроме того, может возникнуть необходимость в его линеаризации. Формиро- вание сигнала с оптимальными характеристиками для последующей обработ- ки осуществляется с помощью усилителей, фильтров и других схем. При построении многоканальных систем используют два основных под- хода. 1. Способ аналогового мультиплексирования всех входных каналов с ис- пользованием одного АЦП для выполнения преобразований. 2. Использование отдельных АЦП для каждого канала. Второй способ имеет некоторые преимущества и становится все более привлекательным для практической реализации в связи с уменьшением стои- мости АЦП (от 3 до 445 долларов за 100 штук). Аналоговое мультиплексирование. На рис.3.2 показана наиболее часто используемая конфигурация информационно-управляющей системы с анало- говым мультиплексированием каналов. 96
Рис.3.2. Многоканальная система с использованием аналогового мультиплексора Мультиплексор соединяет выбранный канал с УВХ, которое делает вы- борку и затем хранит ее для преобразования в АЦП. Одной из модификаций этой конфигурации является система одновременной выборки. Здесь УВХ ус- танавливаются на входах мультиплексора и запускаются одновременно. Это позволяет получить отсчеты значений двух или большего числа сигналов точно в один и тот же момент времени, что иногда требуется для некоторых систем управления и обработки сигналов. В аналоговых мультиплексорах чаще всего используются полупроводни- ковые ключи (на полевых транзисторах с управляемым р-п переходом и КМОП - транзисторах). Важной рабочей характеристикой аналогового муль- типлексора является сопротивление его ключей в открытом состоянии. На сопротивлении открытого ключа входной ток сигнала создает некоторое па- дение напряжения, приводящее к погрешности измерения. Уменьшение этой погрешности обеспечивается подключением к выходу мультиплексора УВХ с высоким входным сопротивлением. Параллельное преобразование - для каждого канала данных использу- ется отдельный АЦП (рис.3.3). Преимущества такого подхода проявляются в промышленных информационно-управляющих системах, когда измеритель- ные преобразователи распределены на большой площади и, как правило, ра- ботают в условиях сильных внешних помех. Рис.3.3. Многоканальная информацией но-управляющая система1 с использованием отдельных АЦП Канал связи Канал связи ф 97
Установка АЦП вблизи измерительных преобразователей и передача дан- ных в цифровой форме предотвращают прохождение аналоговых сигналов через области действия помех. При таком подходе обеспечивается также гальваническая развязка и исключается появление земляных контуров для возвратных токов. Наличие отдельного АЦП для каждого канала позволяет реализовать более высокую частоту дискретизации в расчете на канал. И, на- оборот, для реализации заданной производительности можно использовать менее быстродействующие АЦП. Глава 2. ПРОЕКТИРОВАНИЕ ИНФОРМАЦИОННО- УПРАВЛЯЮЩИХ СИСТЕМ § 1. Проектирование систем на базе микропроцессоров Использование микропроцессоров или цифровых процессоров обработки сигнала при проектировании различных систем позволяет создавать устрой- ства. особенностью которых является то, что аппаратные средства и про- граммное обеспечение существуют здесь в форме неделимого аппаратно- программного комплекса. Процесс разработки такого аппаратно-програм- много комплекса удобно представить в виде последовательности трех фаз проектирования: 1) разработки (и/или выбора типовых) аппаратных средств; 2) разработки прикладного программного обеспечения; 3) комплексирования аппаратных средств и программного обеспечения и отладки прототипа системы. При использовании микропроцессора в качестве комплектующего эле- мента разработчик системы избавлен от необходимости проектировать и со- провождать технической документацией самую сложную центральную часть изделия. Проектная документация на аппаратные средства изделия содержит только документацию на аппаратуру сопряжения микропроцессора с датчи- ками и исполнительными механизмами объекта управления. С появлением аналоговых (сигнальных) микропроцессоров, интегральных ЦАП и АЦП, разнообразных больших интегральных схем (БИС) специализированных кон- троллеров все более сложные функциональные части системы переходят из разряда подсистем в разряд комплектующих элементов. Поскольку эти ком- плектующие элементы являются сложноорганизованными приборами, функ- ционирующими под управлением программы, то удельный вес прикладного программного обеспечения в микропроцессорных системах имеет устойчи- вую тенденцию к увеличению, а удельный вес аппаратных средств - к сниже- нию. Если задача уже поставлена, то наиболее трудоемким и сложным (из-за тесной связи с областью приложения будущей программы) этапом работы яв- ляется этап формирования алгоритма решения поставленной задачи. Связано это с тем, что данный этап практически не поддается формализации и, следо- 98
вательно, не может быть автоматизирован обычными средствами. Проектная работа здесь носит глубоко творческий характер и сильно зависит от опыта и квалификации разработчика. Проиллюстрируем вышесказанное одним из возможных подходов к соз- данию систем с использованием микропроцессора на примере проектирова- ния цифрового фильтра. Пусть требуется создать фильтр низкой частоты (ФНЧ) первого порядка. На рис.3.4, а показана принципиальная схема такого фильтра. Порядок фильтра определяется числом входящих в него реактивных элементов, т.е. конденсаторов и катушек индуктивности. Сигналы низких частот проходят через фильтр низкой частоты на его выход. Высокочастотные сигналы «за- мыкаются» через конденсатор на землю и не появляютмя на выходе фильтра. На рис.3.4, б приведена АЧХ этого фильтра. Граничная частота фильтра (час- тота излома, перегиба) логарифмической АЧХ 0)с = 1/т (рад/с), где т = RC - постоянная времени. Для представления частоты в герцах используется соот- ношение <ус = 2itf. Цвых Ubx а б Рис.3.4. Фильтр нижних частот: а - принципиальная схема; б - АЧХ Рассмотрим дифференциальное уравнение, описывающее процессы, про- исходящие в ФНЧ (рис.3.4). С учетом того, что (7ВЫХ + Ri:= С/вх, а i = CdU^Jdt, уравнение будет иметь вид С/ + RC—= С/, вых dt (3.1) Рассмотрим значения входного и выходного сигналов в дискретные мо- менты времени ndt, где п = 0, 1, 2,... и заменим производную конечной раз- ностью: ^ВЫх вых-* dt At тогда (3.1) примет вид RCU - RCU вых.д, ВЫХ(-1М> 8ЫХ"4' А/ (3.2) 99
Проделаем с (3.2) следующие преобразования: MU + RCU -RCU =MU »ых,* "-'’“вых,* вых(,.()* (3.3) Объединим первые два члена в (3.3) и вынесем за скобки Uвых : (AZ + 7?C)t/,ux -RCU =&tu X / выхяД/ ВЫХ(л-|)Д/ (3.4) Перенесем второй член (3.4) в правую часть равенства и разделим левую и правую часть полученного равенства на (M+RC), тогда вых,* д/(/ RCUBUK ВХ„* ВЫХ(-|)Л/ M + RC M + RC (3-5) Разделим числитель и знаменатель членов правой части (3.5) на М: вых,* (3.6) Наконец, обозначив как к], как к2, получаем •ых,* W + к,U ' вх»а» выХ(,_ц* М Реализовав (3.7) в виде программы для микропроцессора и использовав схему на рис.3.5 при М « RC, получим цифровой фильтр нижних частот. Рис.3.5. Схема цифрового фильтра Цифровые устройства, созданные на базе микропроцессоров, имеют ряд преимуществ перед аналоговыми. Приведем некоторые из них на примере рассмотренного выше цифрового фильтра. 1. Нечувствительность характеристик фильтра к разбросу параметров вхо- дящих в него элементов, их временному и температурному дрейфам. 2. Малые размеры и высокая надежность работы фильтра, связанные с ис- пользованием БИС. 3. Легкость изменения параметров и характеристик цифрового фильтра, что при использовании микропроцессора осуществляется модификацией про- граммного обеспечения или таблиц коэффициентов. 4. Возможность реализации адаптивных фильтров, т.е. фильтров с изме- няющимися в процессе работы параметрами. 100
§ 2. Основные принципы выбора элементной базы системы Основными недостатками цифровых устройств, реализованных на микро- процессорах, является ограниченное быстродействие, определяемое временем преобразования сигнала с помощью АЦП и ЦАП, временем, необходимым для работы программы вычисления, ограниченным периодом замеров вход- ного сигнала и значениями выходного сигнала. Последнее необходимо учи- тывать при выборе элементной базы микропроцессорного устройства. Для выбора элементной базы микропроцессорного устройства исходными данными являются следующие: максимальная частота выдачи управляющих воздействий F; максимальное значение измеряемой величины Um; инстру- ментальная точность ДС7; максимальная скорость измеряемой величины число слов управления и данных N; емкость одного запоминающего элемента S; разрядность одного запоминающего элемента Р. На основании этих исходных данных можно определить основные характеристики устройства, влияющие на выбор элементной базы: 1) ограничение на время выполнения программы t: 2) требуемая разрядность данных при двоичном кодировании R: R > log, —— ; 2 AU 3) минимальная частота дискретизации измеряемой величины (мини- мальная тактовая частота всей системы) f: 4) требуемое число запоминающих элементов L: N-R Li > • Ограниченные возможности существующей элементной базы, например по быстродействию, а также трудности, связанные с программным исполне- нием некоторых операций обработки, могут привести к необходимости вы- полнения ряда операций с помощью аналоговых устройств. Разумное сочета- ние аналоговых и цифровых операций позволяет снизить требования к эле- ментной базе и значительно упростить реализацию всего устройства. 101
ЛИТЕРАТУРА 1. Пейтон АВ. Дж., Волш В. Аналоговая электроника на операционных усилителях. / Пер. с англ. М.: Бином, 1994, 352 с. 2. Лгунов А.В. Моделирование аналоговых электронных схем: Методиче- ские указания к лабораторным и практическим работам по курсу «Моделиро- вание систем». СПб., СПбГМТУ, 2003, 35 с. 3. Лгунов А.В., Титар А.С. Функциональные устройства судовой автома- тики. Микропроцессоры // Метод, указ, к лаб. раб. СПб., СПбГМТУ, 2000, 51 с. 4 Гилмор Ч. Введение в микропроцессорную технику. / Пер. с англ. М.: Мир, 1984, 334 с. 5. Голъденберг Л.М., Матюшкин Б.Д., Поляк М.Н. Цифровая обработка сигналов: Справочник / М.: Радио и связь, 1985,312 с. 6. Гоноровский И.С. Радиотехнические цепи и сигналы. М.: Сов. радио, 1971,671 с. 7. Гришин И.И. Понятие информации. М.: Наука, 1973, 223 с. 8. Применение цифровой обработки сигналов. / Пер. с англ., под ред. Э. Оппенгейма. М.: Мир, 1980, 552 с. 9. Проектирование радиоэлектронных устройств на интегральных микро- схемах / Л.Ю.Астатнин и др. М.: Сов. радио, 1976, 312 с. 10. Рабинер Л., Гоулд Б. Теория и применение цифровой обработки сиг- налов. / Пер. с англ. М.: Мир, 1978, 848 с. 11. Сопряжение датчиков и устройств ввода данных с компьютерами IBM PC / Пер. с англ. / Под ред. У. Томпкинса и Дж. Уэбстера. М.: Мир, 1992, 592 с. 12. Справочник по теоретическим основам радиоэлектроники: в 2-х то- мах. Т2 / Под ред. Б.Х.Кривицкого. М.: Энергия, 1977,472 с. 13. Сташин В.В., Урусов А.В., Мологонцева О.Ф. Проектирование цифро- вых устройств на однокристальных микропроцессорах. М.: Энергоатом из дат, 1990, 224 с. 14. Steven W. Smith The Scientist and Engineer’s Guide to Digital Signal Proc- essing. San Diego, California Technical Publishing, 1999, 650 p. 15. Титце У. Шенк К. Полупроводниковая схемотехника / Пер. с англ. М.: Мир, 1983,512 с. 16. Токахайм Р.Л. Микропроцессоры: Курс и упражнения. / Пер. с англ. М.: Энергоатомиздат, 1987, 336 с. 17. Якубовский С.В. и др. Цифровые и аналоговые микросхемы: Справоч- ник. М.: Радио и связь, 1990,496 с. 102
ОГЛАВЛЕНИЕ Предисловие.....................................................3 Раздел 1. Информационные сообщения и сигналы....................4 Глава 1. Основные характеристики сигналов......................4 § 1. Информация, сообщения и сигналы.........................4 § 2. Способы представления сигналов........................ 5 § 3. Спектральные характеристики сигналов...................11 Глава 2. Дискретизация информационных сигналов................15 § 1. Теорема о дискретизации................................15 § 2. Квантование сигналов...................................18 § 3. Цифровое кодирование...................................22 Глава 3. Сигнал как случайный процесс.........................28 § 1. Вероятностные характеристики сигнала...................28 § 2. Модели случайных сигналов..............................32 Глава 4. Сигнал и канал связи.................................34 § 1. Основные характеристики канала связи...................34 § 2. Учет особенностей линии передачи сигнала...............36 Раздел 2. Элементы информационно-управляющих систем............38 Глава 1. Аналоговые устройства................................38 § 1. Инструментальные и масштабирующие усилители.......... 38 § 2. Активные фильтры.......................................47 Глава 2. Цифровые устройства..................................52 § 1. Синтез комбинационных логических схем..................52 § 2. Принципы логического проектирования последовательностных устройств...................................................55 § 3. Узлы цифровых устройств................................64 Глава 3. Кодирующие и декодирующие преобразователи.............76 § 1. Цифро-аналоговые преобразователи.......................76 § 2. Аналого-цифровое преобразование........................80 § 3. Устройства выборки-хранения............................82 § 4. Принципы работы аналого-цифровых преобразователей......84 Глава 4. Цифровые процессоры обработки сигналов...............87 § 1. Цифровой процессор обработки сигналов Л1879ВМ1.........87 § 2. Системы разработки и отладки устройств на базе ЦПОС....92 Раздел 3. Информационно-управляющие системы и их проектирование.94 Глава I. Информационно-управляющие системы.....................94 § 1. Общие сведения о технических информационных системах....94 § 2. Архитектура информационно-управляющих систем............96 Глава 2. Проектирование информационно-управляющих систем......98 § 1. Проектирование систем на базе микропроцессоров.........98 § 2. Основные принципы выбора элементной базы системы......101 Литература....................................................102 103
ЛГУНОВ Александр Викторович СХЕМОТЕХНИКА СИСТЕМ АВТОМАТИЗАЦИИ Учебное пособие Редактор Т.А.Канн Корректор Н.Ф. Сухинина Верстка Т.В.Лебедева Подписано в печать 30.05.2005. Формат 60x90/16. Бумага офсетная. Печать офсетная Уч.-нзд.л.5,8. Усл.печ.л.6,5. Тир.200. Зак.2976. Издательский центр СПбГМТУ. СПб., ул.Лоцманская, 10.

ОБ АВТОРЕ Агунов Александр Викторович - доктор технических наук, доцент, лауреат премии Президиума Академии наук Молдовы, лауреат ВВЦ, член Российского отделения Института Электро- и Электронных Ин- женеров (IEEE), автор многочисленных научных статей и учебно-методических работ. В последнее время сочетает произ- водственную, научно-исследовательскую и преподавательскую деятельность.