Текст
                    !
ББК 32.84
Разевиг В. Д.
Р17 Схемотехническое моделирование с помощью Micro-Cap 7. -
М.: Горячая линия-Телеком, 2003. - 368 с: ил.
ISBN 5-93517-127-9.
Книга содержит сведения по применению популярной системы
схемотехнического моделирования с помощью которой выполняется
графический ввод проектируемой схемы, анализ характеристик
аналоговых, цифровых и смешанных аналого-цифровых устройств.
Рассмотрены методика анализа нелинейных схем по постоянному току, расчет
переходных процессов и частотных характеристик, средства синтеза
пассивных и активных аналоговых фильтров, средства моделирования I
функциональных схем аналоговых и цифровых устройств, возможности
анимации цифровых устройств и построение 3-мерных графиков
результатов моделирования. Обсуждается взаимодействие с программой
схемотехнического моделирования PSPICE и программами для
разработки печатных плат P-CAD 2001, OrCAD 9.2 и Protel DXP. )
Для инженерно-технических работников, занимающихся
схемотехническим проектированием аналоговых и цифровых устройств,
студентов электро- и радиотехнических специальностей технических
университетов, может быть полезна радиолюбителям.
ББК 32.84
Адрес издательства в Интернет www.techbook.ru
e-mail: radios_hl@mtu-net.ru. 4
Справочное издание
Разевиг Всеволод Данилович
СХЕМОТЕХНИЧЕСКОЕ МОДЕЛИРОВАНИЕ
С ПОМОЩЬЮ MICRO-CAP 7 1
Редактор М. А. Петкин
Верстка В. Д. Рыськова
Обложка художника В. Г. Ситникова
ЛР № 071825 от 16 марта 1999 г.
Подписано в печать 03.03.03. Формат 60x84/16. Печать офсетная
Уч.-изд. л. 23,25.Тираж 3 000 экз. Изд. № 127
Отпечатано с готовых диапозитивов в ООО ПФ «Полиграфист»,
160001, г. Вологда, ул. Челюскинцев, 3. Тел.: (8172) 72-55-31, 72-61-75. E-mail: pfpv@vologda.ru
ISBN 5-93517-127-9 © Разевиг В. Д., 2003
© Оформление издательства
«Горячая линия-Телеком», 2003
1
ОГЛАВЛЕНИЕ
Введение 6
Глава 1. Основные сведения о системе Micro-Cap 7 14
1.1. Установка системы 14
1.2. Интерфейс программы Micro-Cap 7 17
1.3. Демонстрация основных возможностей 21
Глава 2. Создание принципиальных схем 36
2.1. Режимы работы редактора схем, система меню 36
2.1.1. Меню File 38
2.1.2. Меню Edit 41
2.1.3. Меню Component 45
2.1.4. Меню Windows 48
2.1.5. Меню Options 50
2.1.6. Меню Analysis 66
2.1.7. Меню Design 66
2.1.8. Меню Help 71
2.1.9. Назначение функциональных клавиш 71
2.2. Создание чертежа схемы 72
2.3. Редактирование компонентов 85
2.3.1. Структура экрана редактора компонентов
Component Editor 85
2.3.2. Добавление в библиотеку компонентов 90
2.3.3. Добавление в библиотеку макромоделей 91
2.4. Редактирование графических символов компонентов 94
2.4.1. Структура экрана редактора графических символов
Shape Editor 95
2.4.2. Редактор графических объектов Object Editor 100
2.4.3. Библиотека символов 102
2.5. Редактор упаковки компонентов Package Editor 102
2.6. Представления чисел, переменных
и математических выражений 105
2.7. Текстовые директивы 117
Глава 3. Выполнение моделирования 132
3.1. Анализ переходных процессов (Transient Analysis) 132
3.1.1. Задание параметров моделирования
Transient Analysis Limits 133
3.1.2. Использование клавиши Р 137
3.1.3. Меню режимов расчета
переходных процессов Transient 138
3.1.4. Задание начальных значений
и редактирование переменных состояния 140
3.1.5. Вывод численных данных 142
3


3.2. Расчет частотных характеристик (AC Analysis) 143 3.2.1. Задание параметров моделирования AC Analysis Limits 144 3.2.2. Использование клавиши Р 148 3.2.3. Меню режимов расчета частотных характеристик АС 149 3.2.4. Вывод численных данных 150 3.2.5. Расчетуровня внутреннего шума 151 3.3. Расчет передаточных функций по постоянному току (DC Analysis) 152 3.3.1. Задание параметров моделирования DC Analysis Limits 152 3.3.2. Использование клавиши Р 155 3.3.3. Меню режимов расчета передаточных функций DC 155 3.4 Многовариантный анализ 158 3.5. Параметрическая оптимизация 160 3.6. Статистический анализ по методу Монте-Карло 164 3.7. Расчет режима по постоянному току (Dynamic DC) 169 3.8.Расчэт малосигнальных передаточных функций (Transfer Function) 170 3.9. Расчет чувствительностей по постоянному току (Sensitivity).... 171 3.10. Просмотр и обработка результатов моделирования 172 3.10.1. Окно отображения результатов моделирования 173 3.10.2. Панорамирование окна результатов моделирования 174 3.10.3. Масштабирование окна результатов моделирования 174 3.10.4. Режим электронной лупы ЭсореАнимация 175 3.11. Вывод графиков характеристик в режиме Probe 180 3.12 Анимация и трехмерные графики 185 Глава 4. Модели аналоговых компонентов 187 4.1. Общие сведения о моделях компонентов 187 4.2. Пассивные компоненты (Passive components) 188 4.3. Активные компоненты (Active components) 200 4.4. Источники сигналов (Waveform Sources) 221 4.5. Линейные и нелинейные зависимые источники (Dependent Sources) 227 4.6. Линейные управляемые источники, задаваемые преобразованиями Лапласа (Laplace Sources) и Z-преобразованиями (Z Transform Sources) 229 4.7. Функциональные источники сигналов (Function Sources) 231 4.8. Смесь (Miscellaneous) 232 4.9. Макромодели, заданные схемами замещения (Macros) 235 4.10. Макромодели, заданные текстовым описанием (Subckts) 236 4.11. Соединители (Connectors) 237 Глава 5. Программа расчета параметров моделей аналоговых компонентов MODEL 239 5.1. Общие сведения о программе MODEL 239 5.2. Интерфейс программы MODEL 240 5.2.1. Меню File 241 4 5.2.2. Меню Edit 242 5.2.3. Меню Windows 243 5.2.4. Меню Options 244 5.2.5. Меню View 245 5.2.6. Меню Run 246 5.3. Работа с программой MODEL 246 5.4. Параметры моделей аналоговых компонентов 249 Глава 6. Моделирование цифровых устройств 258 6.1. Основные понятия 258 6.2. Устройства интерфейса 259 6.2.1. Аналого-цифровой интерфейс 261 6.2.2. Цифро-аналоговый интерфейс 264 6.2.3. Модель вход/выход 267 6.2.4. Устройства питания 271 6.3. Генераторы цифровых сигналов 272 6.4. Цифровые компоненты 277 6.4.1. Многоразрядные АЦП и ЦАП 280 6.4.2. Вентили и триггеры 283 6.4.3. Программируемые логические матрицы 293 6.4.4. Прочие устройства 297 6.5. Функциональное описание цифровых устройств 298 6.5.1. Логические выражения 299 6.5.2. Задание задержек распространения 300 6.5.3. Контроль временных соотношений 308 Глава 7. Сервисные возможности программы 314 7.1. Средства помощи 314 7.2. Обмен данными с программой PSpice и ранними версиями Micro-Cap 317 7.3. Вывод на принтеры и плоттеры 319 Приложение 1. Каталог типовых аналоговых и цифровых компонентов 325 Приложение 2. Типовые схемы 344 Приложение 3. Упражнения 348 Список литературы 366 5
ВВЕДЕНИЕ Изучение схемотехнического моделирования электронных устройств рекомендуется начинать с освоения одной из программ семейства Micro-Cap (Microcomputer Circuit Analysis Program) фирмы Spectrum Software (http://www.spectrum-soft.com), ориентированных на ПК, совместимые с IBM PC [4—5]. Летом 2001 г. фирма Spectrum Software выпустила программу схемотехнического моделирования электронных цепей Micro-Cap 7. Первый вариант Micro-Cap появился в 1981 г. и быстро завоевал популярность, потому что не предъявлял высоких требований к компьютерам. Сделаем краткий экскурс в историю развития этой программы. Circuit Designer and Simulator (август 1981 г.). Текстовый редактор описания простых линейных цепей и программа их моделирования. Micro-Cap I (сентябрь 1982 г.). Реализован графический ввод схем линейных и нелинейных аналоговых устройств, их моделирование и динамическое отображение графиков характеристик в процессе моделирования. Micro-Cap II (ноябрь 1984 г.). Широко известная версия Micro- Cap II [4] предназначена для моделирования аналоговых линейных и нелинейных электронных цепей. Она имеет существенные ограничения на максимальный размер схемы (50-150 узлов), графический редактор старомоден и неудобен, программа написана на Бэйсике, но тем не менее Micro-Cap II (имеются его выпуски 1, 2, 3 и 4) обеспечивает достаточную точность моделирования (в рамках принятых упрощенных моделей полупроводниковых приборов). Поддерживаются мониторы с высоким разрешением, сопроцессоры и вывод на плоттеры (ранее вывод данных осуществлялся только на принтеры). Micro-Cap III (декабрь 1988 г.). Программа следующего поколения переписана на Си, имеет великолепную управляющую оболочку, удобный графический редактор, но точность моделирования не выдерживает критики: погрешность расчета амплитудно-частотных характеристик изменяется от десятых долей децибела для простых схем до единиц децибел для сложных, групповое время запаздывания рассчитывается неправильно, метод статистических испытаний дает непрогнозируемые результаты, а при анализе воздейст- 6 вия импульсных сигналов переходные процессы приобретают сильно осциллирующий характер, связанный с потерей устойчивости вычислительных алгоритмов. Поэтому результаты моделирования, полученные с помощью Micro-Cap III, следует подвергать доскональным проверкам. Micro-Cap IV (февраль 1992 г.). В Micro-Cap IV [7] применены надежные вычислительные алгоритмы SPICE 2G.6 и исправлены замеченные в Micro-Cap III ошибки, при этом расширены функциональные возможности программы. Характерная особенность Micro- Cap IV — полная совместимость с пакетом PSpice как по принятым моделям компонентов, так и по текстовому описанию схемы. Однако управляющая оболочка Micro-Cap IV не очень удобна, принятая последовательность выполнения команд нелогична; это последняя версия Micro-Cap для DOS. Micro-Cap V (август 1995 г.). Программа Micro-Cap V претерпела радикальные изменения. Во-первых, она переведена на платформу Windows, имеет удобный интерфейс, в текстовых надписях на схемах и графиках поддерживает кириллицу. Во-вторых, она теперь позволяет моделировать не только аналоговые, но и цифровые и аналого-цифровые электронные устройства. В-третьих, как для аналоговых, так и для цифровых компонентов используются математические модели, принятые в известной программе PSpice [6, 12—16]. Для моделирования аналоговых компонентов оставлен алгоритм SPICE 2G.6, а для моделирования цифровых компонентов разработан собственный. По своим функциональным возможностям Micro-Cap V находится между профессиональной программой моделирования анапогово- цифровых устройств PSpice A/D, входящей в состав пакета DesignLab 8.0 [6], и более дешевым базовым вариантом с ограниченными возможностями PSpice A/D Basics. Основное ее отличие от DesignLab (ныне OrCAD [8]) — работа под управлением одной управляющей оболочки, в которой при смене режима лишь частично изменяется состав меню команд. В системе же DesignLab при смене режима один экран полностью заменяется другим, выполненным в ином стиле, что затрудняет ее освоение начинающими пользователями. Основные изменения связаны с развитием многовариантного анализа. Допускается одновременно варьировать до 10 переменных и строить графики зависимостей характеристик схемы от варьируемых параметров. Введен режим построения 3-мерных графиков. Значительно расширена библиотека компонентов, включающая модели более 10 тыс. аналоговых и цифровых электрорадиоэлементов ведущих фирм. Micro-Cap 6 (июль 1999 г.) [5]. В этой версии введены следующие нововведения: 7
•добавлена возможность параметрической оптимизации при анализе переходных процессов и в режимах АС и DC, в режиме АС добавлена возможность построения круговых диаграмм и S-параметров; • введен отдельный режим Dynamic DC для анализа нелинейных схем по постоянному току и визуализации непосредственно на схеме значений узловых потенциалов, токов ветвей и рассеиваемой мощности; кроме того, предусмотрена оперативная настройка параметров в режиме по постоянному току с помощью движковых регуляторов без проведения повторного моделирования; • созданы средства синтеза пассивных и активных аналоговых фильтров; • обеспечен интерфейс с программами разработки печатных плат OrCAD, P-CAD, Protel и др.; • усовершенствованы алгоритмы моделирования, повышена их точность и быстродействие; • введен анализ чувствительности и передаточных функций по постоянному току; • расширен перечень варьируемых параметров; • расширен перечень макрокоманд и математических функций, введены функции комплексных переменных, в частности, помимо преобразования Лапласа введено z-преобразование, введен встроенный датчик случайных чисел, расширен состав библиотек компонентов; • введен режим анимации при анализе цифровых устройств. Micro-Cap 7 (сентябрь 2001 г.). Введена параметрическая оптимизация во всех основных видах анализа и сделаны другие нововведения [17, 18]: • исправлен ряд ошибок, имевшихся в версии 6, в частности, исправлена основная модель операционного усилителя LEVEL 3, исправлены ошибки синтеза фильтров и др.; • введены S-параметры линейных 4-полюсников и круговые диаграммы (диаграммы Смита) для моделирования высокочастотных устройств; • в файл схем включена вся информация, необходимая для переноса моделируемого проекта на другой ПК; • обеспечено размещение файлов схем и библиотек математических моделей компонентов в разные каталоги; • введен редактор воздействий Stimulus Editor; • усовершенствован редактор компонентов Component Editor; • в схемотехническом редакторе введен откат назад и вперед на один или несколько шагов; • предусмотрено составление списков соединений схемы в форматах программ Cadence OrCAD, PADS, Protel, P-CAD и др. для разработки печатных плат. 8 Из других достоинств Micro-Cap 7 отметим исчерпывающую встроенную помощь и возможность задания функциональных зависимостей параметров схемы (как функций времени, токов ветвей и узловых потенциалов), причем эти возможности даже несколько шире, чем в последних версиях OrCAD 9.2 — в них можно сделать параметры пассивных компонентов зависящими только от токов или напряжений других ветвей схемы, в этих целях не нужно больше применять управляемые источники токов или напряжений. Приведем перечень основных характеристик Micro-Cap 7: • многостраничный графический редактор принципиальных схем, поддерживающий иерархические структуры; • поведенческое моделирование аналоговых и цифровых компонентов, возможность описания цифровых компонентов с помощью логических выражений. В сочетании с библиотекой графических символов типовых операций (суммирование, вычитание, умножение, интегрирование, применение преобразования Лапласа, Z- преобразования и т. п.). Это позволяет моделировать динамические системы, заданные не только принципиальными, но и функциональными схемами; • большая библиотека компонентов, включающая в себя наиболее популярные цифровые интегральные схемы дискретной логики и PLD и аналоговые компоненты типа диодов, биполярных, полевых и МОП-транзисторов, магнитных сердечников, линий передачи с потерями, макромодели операционных усилителей, кварцевых резонаторов, датчиков Холла и т. п. Все эти модели написаны в стандартном формате SPICE и могут быть использованы с программами моделирования фирм Altium, Cadence, IntoSoft и др.; • макромодели компонентов могут быть представлены в виде принципиальных электрических схем или в текстовом виде; • графики результатов выводятся в процессе моделирования или после его окончания по выбору пользователя, имеются сервисные возможности обработки графиков; • многовариантный анализ при вариации параметров и статистический анализ по методу Монте-Карло; • имеется специальная программа MODEL для расчета параметров математических моделей аналоговых компонентов по справочным или экспериментальным данным; • при наличии ошибок информация о них мгновенно появляется на экране (в PSpice большинство ошибок нужно отыскивать в текстовом файле); имеются встроенные средства помощи; • имеется электронная документация и контекстно-ориентированные средства помощи. Если ранее фирма Spectrum Software выпускала две отдельные программы (в российской интерпретации BOSS): Micro-Cap для мо- 9
делирования аналоговых устройств и Micro-Logic для моделирования цифровых устройств (последняя развивается с июня 1980 г.), то Micro-Cap 5 и его более старшие версии позволяют моделировать и аналоговые, и цифровые устройства и более того, смешанные аналого-цифровые устройства. Однако при этом нужно учитывать, что программа Micro-Cap 7 поддерживает формат PSpice старых версий пакета Design Center/OrCAD . В последних же версиях ряд ключевых слов текстовых описаний PSpice претерпел незначительные изменения и появились новые возможности. Поэтому перед загрузкой в современные версии PSpice текстовых описаний.схем, полученных с помощью программы, может потребоваться их коррекция. Для Micro-Cap 7 имеется студенческая или демонстрационная версия, которая предназначена для моделирования простейших схем содержащих не более 50 компонентов или 100 связей ( количество связей равно числу узлов + число индуктивностей + число источников сигналов), что вполне достаточно для студентов младших курсов и проверки несложных проектов. Кроме того, в ней отсутствует программа составления математических моделей компонентов по экспериментальным данным MODEL, недоступна команда составления списка соединений схемы для их передачи в системы разработки печатных плат и ряд других, ограничены возможности средств синтеза аналоговых фильтров, построения трехмерных графиков и ряда др. В профессиональной версии Micro-Cap 7 максимальный объем схемы увеличен до 10 тыс. узлов, но ее стоимость гораздо выше. Моделирование в студенческой версии выполняется в несколько раз медленнее, чем в профессиональной. Кроме того, встроенные в студенческую версию текстовые редакторы обрабатывают файлы объемом не более 30 000 байт и к ней прикладываются сокращенные библиотеки математических моделей компонентов и их символов, которые можно самостоятельно расширять. Эту версии можно бесплатно получить по Internet, обратившись на сайт http: //www.spectrum-soft.com (дистрибутив демоверсии занимает 3,9 Мб). Micro-Cap 7 выпускается для платформ IBM, NEC и Macintosh. Версии для IBM PC требуют: • процессор не хуже Pentium II; • ОЗУ объемом не менее 64 Мб; • Microsoft или совместимая с ней двухкнопочная мышь; • операционная система Windows 95/98/ME или Windows 2000/NT 4/ХР. Программа Micro-Cap 7 очень удобна для первоначального освоения схемотехнического моделирования электронных схем. Наибольшее распространение она может получить при обучении студентов. Однако однозначно выбрать одну единственную программу 10 для применения в различных условиях невозможно. Поэтому приведем краткую характеристику других аналогичных программ, располагая их в алфавитном порядке. ALPAC (http://www.aplac.com) — предназначена для проектирования и моделирования электрических схем и систем во временной и частотной областях [7]. В их состав могут входить как цифровые, так и аналоговые компоненты, в том числе устройства диапазона СВЧ. Выполняются следующие виды расчетов: режим по постоянному току, частотные характеристики, спектральная плотность и коэффициент шума, чувствительность и параметрическая оптимизация, переходные процессы, спектры сигналов, анализ периодических режимов, статистический анализ по методу Монте-Карло. В основном этот набор довольно стандартен. Однако аналогичные расчеты проводятся, с помощью нескольких разных программ, а здесь они доступны в одной. Другая важная особенность APLAC - наличие большого набора библиотек элементов принципиальных схем и отдельных блоков, применяемых в аналоговых и цифровых системах связи. По своему функциональному составу эти библиотеки превосходят библиотеки других систем. Кроме того, в состав APLAC входит подпрограмма расчета трехмерных электромагнитных полей микрополосковых конструкций и других устройств диапазона СВЧ. Последнее, на что следует обратить внимание, это возможность ввода результатов измерений и вывода управляющих сигналов с помощью интерфейсных плат стандарта IEEE-488 (GPIB, HP-IB), как в системе LabView. CircuitMaker 2000 (http://www.altium.com, http://www. circuit- maker.com) — простейшая система моделирования фирмы Microcode (ныне принадлежит компании Protel), в которой приняты модели компонентов стандарта SPICE [1]. OrCAD 9.2 (http://www.orcad.com) — интегрированный программный комплекс корпорации Cadence Design Systems (бывш. DesignLab) для сквозного проектирования аналоговых, цифровых и смешанных аналого-цифровых устройств, синтеза устройств программируемой логики и аналоговых фильтров [8]. Прекратил свое развитие после слияния в начале 1999 г. с компаний MicroSim и OrCAD, которая, в свою очередь, поглощена корпорацией Cadence Design Systems. Проектирование начинается с ввода принципиальной схемы, ее моделирования и оптимизации и заканчивается созданием управляющих файлов в формате JEDEC для программаторов, разработкой печатной платы и выводом управляющих файлов для фотоплоттеров и сверлильных станков. Система DesignLab является развитием системы предыдущего поколения Design Center. Во всех этих системах используется широко известная программа моделирования PSpice. Если пакет Design Center обеспе- 11
чивал синтез устройств программируемой логики только типа PLD фирм Altera, AMD, Atmel, Cypress, ICT, Lattice, Motorola, PLX, Philips, Texas Instruments, Toshiba и TriQuint, то в DesignLab включен интерфейс со средствами проектирования перепрограммируемых логических устройств FPGA фирмы Xilinx (в качестве средства проектирования используется программа ХАСТ Step 6.0). В результате получена система, позволяющая разрабатывать PLD и FPGA, моделировать их на ПК совместно с другими аналоговыми и цифровыми компонентами, проектировать печатные платы и затем повторять моделирование с учетом паразитных эффектов, присущим реальным конструкциям. Electronics Workbench 5 (http://www.interactiv.com) — в отличие от других программ схемотехнического моделирования на экране изображаются измерительные приборы с органами управления, максимально приближенными к реальности [2, 11]. Пользователь освобождается от изучения довольно абстрактных (хотя и не очень сложных) правил составления заданий на моделирование. Достаточно на схему поместить двухканальныи осциллограф и генератор сигналов - и программа сама сообразит, что нужно анализировать переходные процессы. Если же на схеме разместить анализатор частотных характеристик, то будет рассчитан режим по постоянному току, выполнена линеаризация нелинейных компонентов и затем проведен расчет характеристик схемы в частотной области. Диапазон анализируемых частот, коэффициент усиления и характер оцифровки данных (в линейном или логарифмическом масштабе) устанавливается на лицевой панели, манипулируя мышкой. Чтобы начать моделирование, достаточно щелкнуть выключателем. После этого на устройствах индикации цифровых вольтметров и амперметров будет зафиксирован режим по постоянному току, на экране измерителя нарисованы частотные характеристики (ампли- тудно- или фазочастотные), а на экране осциллографа будут непрерывно изображаться эпюры напряжений до тех пор, пока не заполнится буферная память, а затем можно прекратить моделирование или обнулить память и продолжить наблюдения. В конце 1998 г. программа Electronics Workbench 5.3 дополнена простейшей программой разработки печатных плат EWB Layout (Multiboard) (причем в отличие от Micro-Cap 7 интерфейс с другими известными САПР печатных плат не предусмотрен), и затем серий программ multisim 2001, ultiboard 2001, ultiroute и commsim 2001. Protel DXP (http://www.protel.com) — интенсивно развивающаяся система сквозного проектирования аналоговых и цифровых электронных устройств фирмы Protel. System View 5.0 (http://www.elanix.com) — программа SystemView представляет собой конструктор, с помощью которого из стандарт- 12 ных "кубиков" строится функциональная схема исследуемой электронной системы [9]. Из каталога библиотек выбирается нужный функциональный модуль, который переносится на схему, и затем производится синтез его параметров или задание их значений вручную. После соединения всех функциональных модулей и подключения измерительных устройств задаются системные параметры (длительность интервала наблюдения, частота дискретизации, параметры быстрого преобразования Фурье) и выполняется моделирование. В отдельном окне просматриваются и обрабатываются результаты анализа. Рассчитываются преобразования Фурье графиков, корреляционные и взаимно корреляционные функции, выполняются арифметические и тригонометрические операции, статистическая обработка данных и многое другое. Microwave Office 2002. (http://www.mwoffice.com) — программы Microwave Office (MCO) и VSS (Visual System Simulator) фирмы Applied Wave Research (AWR) позволяют моделировать принципиальные СВЧ-устройства, заданные как в виде принципиальных, так и функциональных [10] схем. При написании данной книги использовано описание предыдущей версии Micro-Cap 6 [5]. Рекомендуется следующая последовательность чтения книги, которое должно сопровождаться практической работой на компьютере с демонстрационной или профессиональной версией программы Micro-Cap 7. Сначала рекомендуется ознакомиться с основными возможностями программы в разд. 1.3. Далее следует просмотреть в Приложении 1 описания стандартных компонентов, имеющихся в программе. После этого целесообразно промоделировать поставляемые вместе с программой типовые схемы, перечень которых приведен в Приложении 2. Далее можно приступить к моделированию собственных схем, начиная, быть может, с выполнения простейших упражнений из Приложения 3, обращаясь к основному содержанию книги по мере надобности. Для уверенного овладения средствами моделирования программы PSpice и описания задания на моделирование с помощью графических средств полезно ознакомиться со средствами текстового ввода. К автору книги можно обратиться по электронной почте: razevig@rodnik.ru и razevig@pcweek.ru. 13
Глава 1 ОСНОВНЫЕ СВЕДЕНИЯ О СИСТЕМЕ MICRO-CAP 7 1.1. Установка системы Система Micro-Cap 7 состоит из двух программ: ■ ш2 Micro-Cap 7 — основная программа; ■ "ff?4 MODEL — программа расчета параметров математических моделей компонентов по паспортным данным. При запуске программы Micro-Cap 7 на IBM PC должны быть выполнены следующие требования: процессор Pentium II и старше; операционная система Windows старше, чем 3.11, т. е. Windows 95/98/МЕ или Windows NT 4/2000/XP; системная память не менее 64 Мб; монитор SVGA и выше. После установки дистрибутива Micro-Cap 7 (в дальнейшем МС7) программа располагаются в четырех каталогах, в которых размещаются следующие файлы. Корневой каталог МС7: MCAP.DAT — файл конфигурации программы МС7 (создается автоматически после первого запуска программы, в частности, в нем указываются полные имена подкаталогов, аналогичных подкаталогам \DATA и \LIBRARY); MODEL.DAT — файл конфигурации программы MODEL (отсутствует в студенческой версии); МС7.ЕХЕ (или MC7DEMO.EXE для студенческой версии) — программа МС7; MC7.HLP — встроенная помощь для программы МС7; MODEL.EXE — программа MODEL (отсутствует в студенческой версии, может быть загружена с сайта http://www.spectrum-soft.com, но без библиотек компонентов); MODELHLP — встроенная помощь для программы MODEL (отсутствует в студенческой версии); STANDARD.CMP — простейшая библиотека компонентов (поставляется вместе с демонстрационной версией); 14 STANDARD.SHP — простейшая библиотека условных графических обозначений (символов) компонентов, УГО (поставляется вместе с демонстрационной версией); STANDARD.PKG — простейшая библиотека упаковки компонентов (необходима для создания списков соединений схем, передаваемых в программы разработки печатных плат; поставляется вместе с демонстрационной версией); FILTER.BIN — бинарный файл, необходимый для синтеза фильтров (этот файл можно взять из версии МС6). В подкаталог MC7\DATA заносятся файлы схем и результатов моделирования. По умолчанию принято следующее соглашение о расширениях имен файлов: ,АМС — текстовые файлы статистической обработки расчетов частотных характеристик при разбросе параметров по методу Монте-Карло; .ANO — текстовый файл результатов расчета частотных характеристик, в который занесена информация о параметрах компонентов, режиме по постоянному току и таблице выходных переменных, отмеченных в меню AC Analysis Limits; .ASA — бинарный файл результатов расчетов частотных характеристик; .САР — значения емкостей конденсаторов, назначенных при проведении расчетов по методу Монте-Карло с учетом заданной величины разброса tolertance (формируется программой); .CIR — файлы описания схем в формате Micro-Cap 7; .СКТ — файлы описания схем и заданий на моделирование на языке SPICE; .DMC — текстовые файлы статистической обработки расчетов передаточных функций по постоянному току при разбросе параметров по методу Монте-Карло; .DNO — текстовый файл результатов расчета передаточных характеристик, в который занесена информация о параметрах компонентов, режиме по постоянному току и таблице выходных переменных, отмеченных в меню DC Analysis Limits; .DSA — бинарный файл результатов расчетов передаточных характеристик; .ERR — перечень ошибок упаковки компонентов; JED — файл программирования логических матриц в формате JEDEC; .NET — текстовые файлы списков соединений для переноса схем на печатные платы; RES —значения сопротивлений резисторов, назначенных при проведении расчетов по методу Монте-Карло с учетом заданной величины разброса tolertance (формируется программой); 15
.SEN — текстовый файл результатов расчета чувствительно- стей по постоянному току; .STM — текстовые файлы цифровых сигналов; •SVV — текстовые файлы значений переменных состояния, создаваемые редактором State Variables Editor; •S2P — текстовые файлы S-параметров линейных четырехполюсников; TNO — текстовые файлы результатов расчета переходных процессов, в который занесена информация о параметрах компонентов, режиме по постоянному току и таблице выходных переменных, отмеченных в меню Transient Analysis Limits; ТМС — текстовые файлы статистической обработки расчетов переходных процессов при разбросе параметров по методу Монте- Карло; •TSA — бинарные файлы результатов расчетов переходных процессов; .USR — текстовые файлы дискретных отсчетов выборок сигналов. В подкаталог MC7\LIBRARY заносятся файлы библиотек математических моделей компонентов. По умолчанию принято следующее соглашение о расширениях имен файлов: .INX — индексный файл библиотек математических моделей компонентов; .LBR — сокращенные бинарные файлы библиотек математических моделей компонентов, созданных программой MODEL, без сохранения экспериментальных данных; просматриваются и редактируются с помощью программы МС7: .LIB — текстовые файлы библиотек математических моделей компонентов в формате SPICE; просматриваются и редактируются программой МС7 и любым текстовым редактором; .MAC — описание макромоделей; .MDL — полные бинарные файлы библиотек математических моделей компонентов, созданных программой MODEL, с сохранением экспериментальных данных; • MOD — текстовые файлы математических моделей отдельных компонентов в формате SPICE; .INC — содержание этого текстового файла включается в задание на моделирование; NOM.LIB — перечень загружаемых библиотек математических моделей компонентов (текстовый файл, по умолчанию создается при инсталляции программы и редактируется пользователем); NOMJJB.INX — индексный файл библиотек математических моделей компонентов (создается программой); .USR — текстовые файлы дискретных отсчетов выборок сигналов. 16 В подкаталог MC7\PICTURE помещаются рисунки *.bmp, *wmf и *.emf, создаваемые в МС7 или вставляемые на схему. Этот подкаталог обычно совмещается с подкаталогом \DATA. Путь к каталогу данных и библиотекам можно изменить в программе МС7, указывая его в явном виде по команде File>Paths или редактируя следующие строки в файле mcap.dat: MCAPData=C:\MC7\DATA\ ModelLibPath=C:\MC7\LIBRARY\ PicturePath=C:\MC7\DATA\ Запуск программы MC7.exe производится щелчком мыши на пиктограмме 5aj, программы Model.exe — на пиктограмме 1/ч или обычным образом из командной строки Windows. Хотя программа Micro-Cap 7 обычно выполняется в интерактивном режиме, возможен ее запуск в пакетном режиме из командной строки в двух вариантах. По первому варианту указываются имена одного или нескольких загружаемых файлов схем, и программа ожидает дальнейших команд Если расширение имени файла не указано, по умолчанию принимается расширение имени .CIR — файл схемы в формате Micro-Cap 7: МС7 [имя_файла_схемы_ 1[.<расширение>]]...[имя_файла_схемы_М[.< расширение>]] МС7 [[/S | /R]|[[/P]|[/PC|/PA]]] [@имя_командного_файла.ВАТ] Здесь в квадратных скобках [] заключены необязательные параметры, символ | означает "ИЛИ". По второму варианту загружаются файлы схем и в пакетном режиме выполняется моделирование, указывая тип анализа после имени файла схемы: [имя_файла_схемы] [[/T/A/D][/S|/R] [/P] [/РС|/РА]]] Здесь приняты обозначения: /Т — анализ переходных процессов, /А — анализ частотных характеристик, /D — расчет режима по постоянному току, /S — сохранение результатов выполнения анализа на диске для загрузки впоследствии; /R — восстановление с диска процесса моделирования и печать его результатов, заданных в диалоговом окне Analysis Limits; /PC — печать схемы, /РА — печать графиков результатов моделирования, /Р — печать схемы и графиков результатов моделирования. Добавление /S в конце командной строки вызывает выполнение всех трех видов анализа и сохранение полученных результатов на диске для дальнейшей обработки; /R означает запрет на выполне- 17
ние моделирования и загрузку результатов выполненного ранее моделирования и отображения графиков их результатов. Имя командного файла указывается после символа @. Пусть, например, командный файл TEST.BAT содержит три строки: CARLO /А/Т SENSOR.CKT/A LOGIC Я Тогда запуск Micro-Cap 7 с помощью командной строки МС7 ©TEST.BAT приведет к следующему: загрузка схемы из файла CARLO.CIR и выполнение расчета частотных характеристик и переходных процессов; загрузка SPICE-файла SENSOR CKT и выполнение расчета частотных характеристик; загрузка схемы из файла LOGIC.CIR и выполнение расчета переходных процессов. 1.2. Интерфейс программы Micro-Cap 7 В системе МС7 используется многооконный интерфейс с ниспадающими и разворачивающимися меню, который уже стал стандартным. Объясним назначение отдельных элементов интерфейса программы, основное окно которой изображено на рис. 1.1. Кнопка системного меню mL*. Расположена в верхнем левом углу окна и является стандартной кнопкой управления приложениями Windows. С ее помощью изменяется и восстанавливается размер окон, они перемещаются, сворачиваются и закрываются. Кнопка меню схемы HbJ. Аналогична кнопке системного меню, но применяется только при работе с окнами схем. В окне анализа имеется схожая кнопка Меню команд. Меню выбранной курсором команды разворачивается вниз. Некоторые команды, например File>Save (Файл/Сохранить), выполняются немедленно после их выбора. Другие команды, отмеченные многоточием "...", например File>Save As... (Файл>Сохранить как...), требуют ввода дополнительной информации (в данном примере ввода имени файла). Команды, отмеченные треугольником ► , имеют дополнительное меню, разворачивающиеся вправо, например Options>Mode ► . Строка заголовка. В этой строке указывается имя окна. Если открыто окно схем, то указывается имя файла схемы и каталога, в котором он расположен. Если же открыто окно анализа характеристик Analysis — указывается вид анализа. 18 £ I х "х| - ■•ч я- V XJ о а, 'Р D В ■91 t 4 ¥ * ■1 + -& i = . Е ' + »' ' и. 5 5 й <а 11 f 1 ^ э ' i ** '\ 3 s 1 ^ $3ш в я 'Д a. □ hi L <SS sf « Ь л а i i 4 Sr •m ао г1 h .' * - к |. I m i ii i ; с > О I ■x. О ш ш г о го ■ Q. . _- - f= >] ? 1- % ? - I- §° 4 а. л О ■ о ъ_ о го е- о а. с о X о а. 1НШ 19
Строка инструментов. На этой строке размещены пиктограммы наиболее употребительных команд, их полный список приведен в разд. 2.1. Пиктограммы команд немедленного действия остаются нажатыми непродолжительное время и затем восстанавливают свое первоначальное положение. Пиктограммы команд, переключающие режимы, остаются в положение "включено" до выполнения следующей команды. Кнопки изменения размеров окна. Стандартные кнопки ин- □ терфейса Windows позволяют распахнуть окно на весь экран уменьшить его размер LsU и свернуть окно, разместив его пикто- X грамму в нижней части экрана Нажатие на кнопку закрывает текущее окно или завершает работу с программой МС7. Линейки прокрутки. Две линейки прокрутки позволяют панорамировать окно схем или текста по горизонтали или вертикали. Закладки переключения окна схем, окна текста. Нажатие на закладки Text, Page 1, Page 2, ... выводит в рабочее окно содержание текстового окна, в котором можно размещать описание математических моделей компонентов текущей схемы, директивы и другую текстовую информацию, или содержание окна схем. Переключение между текущим окном схемы и текстовым окном производится также нажатием горячих клавиш Ctrl+G. Новая страница схемы создается по команде всплывающей панели Add+Page, открываемой щелчком правой кнопки мыши, когда курсор находится на строке названий страниц, когда имеется не менее двух страниц схем. Управление программой осуществляется с помощью мыши. Нажатие левой кнопки мыши в дальнейшем для краткости называется просто нажатием кнопки мыши. При ее нажатии используются следующие термины: щелчок— быстро нажать и отпустить кнопку мыши; двойной щелчок — дважды нажать кнопку мыши в быстром темпе; перетаскивание — нажать и удерживать кнопку мыши при ее перемещении; указание — передвинуть мышь так, чтобы ее курсор располагался в нужной точке окна. При нажатии правой кнопки мыши в окне схем курсор приобретает форму руки ^ ', и его перемещение при нажатой кнопке позволяет перемещать (панорамировать) схему. Нажатие правой кнопки мыши в окне текста позволяет средствами Windows выделить весь текст, вырезать его, копировать, вставлять и удалять. 20 При работе с МС7 используется понятие выбора объекта (компонента схемы, его позиционного обозначения, значения параметра, электрической цепи, блока схемы или строки текста). Выбор отдельного объекта выполняется щелчком мыши, выбор блока — заключением его в прямоугольную рамку (для этого нужно щелкнуть кнопкой мыши, поместив курсор в один из углов прямоугольной области и, не отпуская ее, растянуть рамку до необходимых размеров, после чего отпустить кнопку). Выбранный объект изменяет цвет; его можно перетаскивать с помощью мыши и редактировать по командам меню Edit. Для ускорения работы с программой используется не только мышь, но и клавиатура. Если команда меню имеет подчеркнутый символ, то эта команда вызывается одновременным нажатием клавиш Alt+подчеркнутый символ. Например, меню Edit открывается нажатием клавиш Alt+E. Команды' ниспадающего подменю, например Select All, вызываются нажатием подчеркнутого символа, в данном примере символа А. Другой способ — нажатием клавиш Т, I выбрать нужную строку и затем нажать Enter. Многие команды помимо пиктограмм вызываются нажатием горячих клавиш и комбинаций клавиш. Например, команда удаления с копированием в буфер обмена EdibCut вызывается нажатием 4- пиктограммы 1—2—i или комбинации клавиш Ctrl+X, команды вызова оглавления помощи Help>Contents — клавишей F1. Список горячих клавиш и комбинаций клавиш приведен в разд. 2.1.8, а перечень пиктограмм — в табл. 2.1 —2.13 и др. Закрытие меню выполняется щелчком мыши где-нибудь вне меню или нажатием клавиши Esc. 1.3. Демонстрация основных возможностей Прежде чем перейти к систематическому описанию методики работы с программой МС7, продемонстрируем ее основные возможности на простейшем примере. После вызова программы МС7 двойным щелчком на ее пиктограмме на экране появится основное окно программы, сверху которого помещена строка системного меню, в которой размещены имена режимов File, Edit, Component, Windows, Options, Analysis, Design, Help (рис. 1.1). 1. Загрузка схемы. Вначале курсором выбирается режим File. По команде New... предлагается сделать выбор (рис. 1.2): Schematic — создание нового чертежа схемы, который заносится в файл с расширением *.CIR; 21
Рис. 1.2. Создание новой схемы, текстового файла или библиотеки моделей SPICE/Text — создание нового текстового файла с описанием схемы или текстового файла библиотеки математической модели компонента в формате SPICE (расширение имени *.СКТ); Library — создание нового бинарного файла библиотек (расширение имени *.LIB). В этом файле помещаются модели биполярных транзисторов (BJT), полевых транзисторов (JFET), МОП- транзисторов (MOSFET), арсенид-галлиевых полевых транзисторов (GaAsFET), биполярных статически индуцированных транзисторов (IBGT), диодов (Diode), источников синусоидальных сигналов (Sinusoidal), источников импульсных сигналов (Pulse), операционных усилителей (Оратр), линий передачи с потерями (TRN), магнитных сердечников (Core), конденсаторов (Capacitor), индук- тивностей (Inductor), резисторов (Resistor), ключей, управляемых напряжением (S) и током (W). Демонстрацию возможностей МС7 проведем на подготовленной заранее схеме, загрузив ее по команде File>Open... В открывшемся окне (рис. 1.3) выбираем каталог E:\MC7\DATA, в котором находятся файлы схем. На строке "Тип файлов" (Files of Type) указывается тип просматриваемых файлов: Schematic (*.CIR) — схемы в формате МС7 (устанавливаются по умолчанию); Macro (*.МАС) — макромодели в формате МС7; SPICE (*.CKT; *.STM) — текстовое описание схем и сигналов в формате SPICE; SPICE LIBRARY (*.LIB) — текстовое описание библиотек в формате SPICE; Model Library (*.LBR) — библиотеки математических моделей в формате МС7; 22 Открытие файла Папка: JjData "Зщ]М1 й. #I]A_FLYBACK.ci[ <3]A_F0RWARD.cir w]A_ricp.cit <5]A_RES0_DC.cir §j]A_RES0_0Lcir £*]A_S EPIC, cir <l I K|]Ad1G.ci[ i@1anim.cir lv£]Ariiiin3.cir lv|]E!ax.cir iJIOBpNIt.cir i^JButtem.cir' >w] Carlo cit o^|Carlci2.cir !Й]Саг1о4.с1г rvs| Choke, cir (№|Cmos.cit K|S] Colpitis, cir ±J Имя Файла: Carlo.cir Открыть 1ип Файлов: S chernatic {'. CI R) iSchematic f".CIR Macro (".MAC) SPICE (".CKT;".STM) SPICE library (".LIB) Model Library (",LBR) Model Data ("MDL) Filter (*.RES;".CAP;"IND) All Files P.") Z\ Отмена Справка Рис. 1.3. Выбор имени открываемого файла Model Data (*.MDL) — параметры математических моделей отдельных компонентов в формате МС7 (эти файлы создаются с помощью программы MODEL); Filter (*.RES, *.CAP, *.IND) — результаты синтеза фильтров; All Files (*.*) — все файлы. Выбираем тип файлов Schematic и затем имя файла Ample- Det.CIR. В результате загружается схема, показанная на рис. 1.4. Схема состоит из резонансного усилителя на биполярном транзисторе КТ315А, настроенного на частоту 10 кГц, и последовательного амплитудного детектора. На вход усилителя подается гармонический сигнал с частотой 10 кГц и амплитудой 100 мВ (источник сигнала V1). В качестве источника питания включена батарея V2 с напряжением 9 В. Обратим внимание, что на схеме нанесена нумерация узлов, что необходимо для спецификации переменных, выводимых на графики. Это производится автоматически в процессе создания схемы или вручную нажатием на пиктограмму номера узлов). in (показать 23
. Micro-Cap 7.0.3 - lF\MC7\DATA\AMPLDET.Cmj L E Ed* _^"Pcnen _/indow. Optjor» Аги&ш Qeayi - □ X h<*> -lalxl -+ ■* -к -f ■+■ ШП В Р G 4 - - T "L ' '8 - i 1? •■A?.%ii9-::!B)l й" #4 43 Й! ®. Q. ® F 25nF V1 И UR2 IIr3"TC4 SIGNAL 10K V2 9V I 50nF C2 D1 1uF 1100k R5 нщншчтедХРто^л я; MODEL SIS 3IN (A=100mV F=10kHz) ч«|»1а1\т™«Л15»»1/ " Select Mode Drawing area I» 'In 1 ,CoI t Рис. 1.4. Экран программы МС с загруженной схемой усилителя и амплитудного детектора Экран на рис. 1.4 разделен на две части выбором в меню Windows команды Split Horizontal, чтобы в нижнем окне просмотреть (и при необходимости отредактировать) тексты математических моделей компонентов схемы: в данном примере источника синусоидального сигнала. Как рисовать и редактировать схемы, объясним позднее, а сейчас продемонстрируем основные возможности их моделирования. Анализ переходных процессов. Вид анализа характеристик схемы указывается в меню Analysis: Transient — анализ переходных процессов; АС — анализ частотных характеристик; DC — анализ передаточных функций по постоянному току; Dynamic DC — расчет режима по постоянному току и отображение на схеме узловых потенциалов, токов ветвей и рассеиваемо'й мощности; Transfer Function — расчет малосигнальных передаточных функций по постоянному току; Sensitivity — расчет чувствительностей по постоянному току выходных переменных к изменению параметров схемы; Probe Transient, AC, DC — оперативное построение графиков результатов расчетов. 24 За исключением режимов Dynamic DC и Probe этот перечень такой же, как и для известной программы PSpice [6, 8]. Выбрав команду Analysis>Transient, переходим в меню задания параметров моделирования переходных процессов (рис. 1.5). Ц Transient Analysis Limits Run | £dd | Delete | Time Range [Maximum Time Step ™Numbei of Pants Temperature j List _^J j Lineat [ P IHlr ■ИПт МЭ1ИШ11ГГ |2m |0 005m ! |-25.27.80 X Expression Expand... |v(l) |v(1.51 Stepping.. 1 Properties...[ Help... | BunOouons |Norma Stale Variables bero f* Operating Point Г Operating Point Only f* Auto Scale Ranges Y Expression 1Е1Е1И1И1|2 |т |iiPti Defines the method for stepping the temperature. zl .. zl X Range jAuto |Auto JAuto □ V Range jAuto jAuto jAuto Рис. 1.5. Окно задания параметров для анализа переходных процессов (Transient Analysis) В строке Time Range указывается длительность интервала времени, в графе Operating Point указывается на необходимость перед расчетом переходных процессов выполнить расчет режима по постоянному току, в нижней части окна указываются имена переменных, графики которых нужно построить. Имена аналоговых и цифровых переменных, откладываемых по оси У графиков, указываются в графе Y Expression, при этом допускается применение математических выражений и функций. Приведем ряд примеров: V(5) — потенциал узла 5; V(7,4) — разность потенциалов между узлами 7 и 4; VBE(VT1) — напряжение база-эмиттер транзистора VT1; l(V1) — ток через источник сигнала V1; l(V1)*V(V1) — мгновенная мощность источника сигнала 1/7; CBC(Q1) — емкость перехода база-коллектор транзистора Q1; Q(C1) — заряд конденсатора С1\ FFT(V(7)) — спектр напряжения в узле 7 (при этом по оси X нужно откладывать частоту F); D(QA) — логический уровень сигнала в цифровом узле QA. Моделирование начинается после нажатия на панель Run, на или нажатия F2. Моделирование может быть ос- пиктограмму тановлено в любой момент нажатием на пиктограмму или кла- 25
вишу Esc. Пример изображения результатов моделирования приведен на рис. 1.6. Графики различаются цветом, который назнача- ется в меню на рис. 1.5 после нажатия на пиктограмму ИИ. В этом примере изображены два графика: на одном размещаются напряжения V(7) и V(7,4), на другом — ток через диод l(D1) (на одном графике их нельзя строить из-за различия в масштабах). , Него Сар 7.0 3 - (Transient Anabml . D X Fit ЬА Wt Jom Qptons Xtansiert Scope Monte Carlo Ыф ,lfll Xl * "MCf/^TS 10 00 5 00"=^ 0°00 00m" v(1) 2 40m 0 90m J •0 60m u.uum ЦП" V I ' t ► П 40m v(L2 I im / \/ ■ b/>j? — v r \y^^ AMPLDET CIR Tern lerature = 27 vvWV.AAA 0 80m 1 20m - , - - I 0 60m 1 20m T "Л?й£ А*МтУ'к13£-пЧ A '?* 160m 2 00m } ~ r 1 60m 2 00m Scale Mode m Starts the'optimizer Рис. 1.6. Графики переходных процессов Номера графиков отмечаются на рис. 1.5 для каждой переменной в графе Р. Масштаб графиков по осям X, Y указываются в явном виде в графах X Range, Y Range или выбирается автоматически, если пометить курсором панель Auto Scale Ranges. Щелчок на пиктограмме EJ или нажатие F8 активизирует электронный курсор для считывания координат графика, имя переменной которой подчеркнуто, например У(7) (см. рис. 1.7; выбор анализируемой переменной производится щелчком курсора). На рис. 1.7 показано, как с помощью двух курсоров измеряется амплитуда гармонического сигнала и его период повторения. Один маркер устанавливается щелчком левой кнопки мыши, второй — щелчком правой. Ниже графиков выводится таблица с графами: 26 Left — значение переменной, отмеченной щелчком левой кнопки мыши; Right — значение переменной, отмеченной щелчком правой кнопки мыши; Delta — разность двух отсчетов; Slope — производная функции, рассчитанная по двум отсчетам. 10.00 |1 348т6 959|. vtAAAAiVA/WWWiffl 0 40т 500«^^-> v(1,5) Т 2 40т 0.90т» -0 60т 0 00т 0 40т KD1) Т 0 80т Left 6 959 6 746 348т 1.20т Right 2 770 6.3I7 1 802т 1.60т Delta •4 189 0.171 0.454т 0 80т Left i31E-15m 0.000т 1.20т Right 0 004т 2 000т 1.60т Delia 0 004т 2.000т Рис. 1.7. Электронный курсор Каждая строка этой таблицы соответствует одной функции, а последняя строка — независимой переменной, в данном примере — времени Т. Возвращение в обычный режим отображения графиков осуществляется нажатием F2. При моделировании нелинейных схем первоначально интересно определить режим по постоянному току. В меню на рис. 1.5 для этого предоставлены две панели: Operating Point — расчет режима по постоянному току (включение источников питания) перед началом расчета переходных процессов, вызванных включением источников сигналов; Operating Point Only — только расчет режима по постоянному току (расчет переходных процессов не производится). Если выбран один из этих режимов, то нужно выполнить декларированные расчеты по команде Run и затем выполнить команду завершения Transient>Exit Analysis или просто нажать клавишу F3. После этого откроется окно схем и в режиме Options нужно выбрать команду View>Node Voltages/States для отображения в 27
узлах схемы потенциалов по постоянному току, как показано на рис. 1.8 (или просто нажать на пиктограмму В_]), Отсюда, например, видно, что смещение база-эмиттер транзистора VT1 равно 2,44-1,75 = 0,69 В. VI SINIOk 0 Е OOnF |рсИ 39ml 814.31 ul f1 -75JI *|в ЗЗ'гЛ т игр DI KD220A |pd-S 11E-03Q] ^1 I3.33E-025 R4 100k Рис. 1.8. Изображение потенциалов по постоянному току в узлах схемы, токов ветвей и рассеиваемой мощности Расчет частотных характеристик. Выбрав в меню Analysis команду АС, переходим в режим расчета частотных характеристик. Задание на расчет формируется в окне, приведенном на рис. 1.9. На строке Frequency Range указываются границы диапазона частот, на строке Frequency Step — тип шага по частоте (в данном примере используется Linear — линейный шаг), количество точек — на строке Number of Points. При необходимости расчета спектральной плотности внутреннего шума на строке Noise Input указывается имя источника входного сигнала, на строке Noise Output — номер выходного узла, для которого рассчитывается спектральная плотность напряжения шума. Примечание. Для расчета частотных характеристик ко входу схемы должен быть подключен источник синусоидального, импульсного сигнала или источника пользователя (Sine source, Pulse source, User source). При расчете частотных характеристик амплитуда этого сигнала назначается программой равной 1 В, а частота меняться в заданных пределах. Поэтому если имеется один источник сигнала, то выходные напряжения будут совпадать с частотными характеристиками устройства. Если же источников сигнала несколько, то отклики от всех сигналов будут складываться с учетом фазовых соотношений. 28 I AC Analysts Limits Run □ Stepping..! Properties...I Help Frequency Range Number of Points 1000 и Temperatue ] Linear H Maximum Change % Noise Input ' Noise Output [none |2 X Expression Run Options State Variables Frequency Step P" Operator Poin P" Auto Scale Ra Y Expression Normal Zero Linear Linear Log d d d X Range Y Range pil) 15000.5000 400 ШШИИ1 ИЕ1ИИ1 ph[V(i)) 15000.5000 0.-200 |*№» le+008.1000 60,40 Determines the method for calculating the spot of the next data point. Рис. 1.9. Окно задания параметров для анализа частотных характеристик (AC Analysis) В графе Y expression указываются имена переменных для построения графиков частотных характеристик. Переменные при расчете частотных характеристик являются комплексными. Приведем несколько примеров их записи: V(1) — модуль напряжения в узле 1; db(V(1)) — модуль напряжения в узле 1 в децибелах; re(V(1)) —действительная часть напряжения в узле 1; im(V(1)) — мнимая часть напряжения в узле 1; ph(V(1)) — фаза напряжения в узле 1 в градусах; gd(V(1)) — групповое время запаздывания напряжения в узле 1; INOISE — корень квадратный из спектральной плотности напряжения шума, приведенного ко входу; ONOISE — корень квадратный из спектральной плотности выходного напряжения шума (графики INOISE и ONOISE нельзя строить одновременно с графиками других переменных). Пример построения амплитудно-частотной и фазо-частотной характеристики резонансного усилителя приведен на рис. 1.10. Очень полезна возможность проведения многовариантного анализа при вариации любого параметра компонента схемы или его модели. Для этого в окне задания параметров (см. рис. 1.9) НаЖа- тием на панель Stepping или на пиктограмму [££У открывают окно для задания от 1 до 10 варьируемых параметров, показанное на рис. 1.11. Сначала в графе Parameter Type выбирают тип варьируемого параметра: Component — значение параметра компонента схемы; Model — параметр математической модели компонента; 29
vm Рис. 1.10. Частотные характеристики усилителя Symbolic — значение параметра, определенного по директиве .define. Затем в строке Step What указывают имя варьируемого параметра, на последующих строках пределы его изменений и в графе Step It включают кнопку Yes. Результаты расчета частотных характеристик усилителя на рис. 1.4 при вариации емкости контура С2 приведены на рис. 1.12. Stepping ЕЭ 1:С2.Уа1ие|г Step What |C2 |3: I 4. )5- |6: j 7: la | a | ift jj |ШМЭ 11: |l2 \lhl d from |15п То J40n Step Value J5nF Step It Я Yes Г No Method <•■ Linear Г" Log С List Parameter Type " Component <~ Model Г Change <"* Step all variables simultaneously f Step variables m nested loops Displays this Status bar for quick information OK Cancel Help.., Рис. 1.11. Вариация емкости конденсатора С2 30 *>15 иФ 32000 000 Рис. 1.12. Семейство частотных характеристик при вариации емкости С2 На рис. 1.12 текстовые надписи типа С2 = 15 нФ выполнены по команде ввода текста Options>Mode>Text (Ctrl+T), активизируемой также нажатием пиктограммы Нажатием на пиктограмму -&-] на график наносят значения координат точки, указанной курсором; так на рис. 1.12 отмечена точка экстремума с координатами (10.02 кГц, 272.08). Расстояние по вертикали между двумя выбранными точками наносятся на график после нажатия пиктограммы Увеличение выбранной области производится нажатием на пиктограмму Ш (Mode>Scale (F7)). Другие возможности обработки графиков описаны ниже. Нажатие клавиши F9 открывает окно задания параметров. Трехмерные графики. После вариации параметров схемы или вариации температуры при выполнении любых видов анализа можно по команде 3D Windows построить цветные графики функции двух переменных, пример которых показан на рис. 1.13. Расчет передаточных характеристик. Рассмотрим схему измерения статических выходных характеристик биполярных транзисторов, приведенную на рис. 1.14. К базе транзистора подключается источник постоянного тока IB, к коллектору — источник постоянного напряжения VCC. Выбрав в меню Analysis команду DC, перейдем в режим расчета передаточных характеристик по постоянному току. Задание на расчет формируется в окне, показанном на'рис. 1.15. 31
400 00 HI) -100 00 Рис. 1.10. Частотные характеристики усилителя Symbolic — значение параметра, определенного по директиве define Затем в строке Step What указывают имя варьируемого параметра, на последующих строках пределы его изменений и в графе Step It включают кнопку Yes. Результаты расчета частотных характеристик усилителя на рис. 1.4 при вариации емкости контура С2 приведены на рис. 1.12. 1:C2Value |г StepWhat |C2 |3: | 4: | 5: | 6: ] 7: j 8: | 9' | 10: j 11: _^J |ШШ I 12 |jLkJ d From |15п То |40п Step Value J5nF Step It ff Yes Г No Method Parameter Type <* Linear С Log С List <• Component С Model Г Change С Step all variables simultaneously <* Step variables in nested loops OK I Cancel Help... Displays this Status bar for quick information. Рис. 1.11. Вариация емкости конденсатора С2 30 40000 320 00 10 02К362 08 160 00 80 00 000 Рис. 1.12. Семейство частотных характеристик при вариации емкости С2 На рис. 1.12 текстовые надписи типа С2 = 15 нФ выполнены по команде ввода текста Options>Mode>Text (Ctrl+T), активизируемой также нажатием пиктограммы LLJ. Нажатием на пиктограмму на график наносят значения координат точки, указанной курсором; так на рис. 1.12 отмечена точка экстремума с координатами (10.02 кГц, 272.08). Расстояние по вертикали между двумя выбранными точками наносятся на график после нажатия пиктограммы Увеличение выбранной области производится нажатием на пиктограмму И (Mode>Scale (F7)). Другие возможности обработки графиков описаны ниже. Нажатие клавиши F9 открывает окно задания параметров. Трехмерные графики. После вариации параметров схемы или вариации температуры при выполнении любых видов анализа можно по команде 3D Windows построить цветные графики функции двух переменных, пример которых показан на рис. 1.13. Расчет передаточных характеристик. Рассмотрим схему измерения статических выходных характеристик биполярных транзисторов, приведенную на рис. 1.14. К базе транзистора подключается источник постоянного тока IB, к коллектору— источник постоянного напряжения VCC. Выбрав в меню Analysis команду DC, перейдем в режим расчета передаточных характеристик по постоянному току. Задание на расчет формируется в окне, показанном на'рис. 1.15. 31
Затем в режиме Analysis выберем команду Probe Transient. После этого экран разделяется на две части: в одной изображена схема, другая отведена для графиков характеристик (рис. 1.17). Схема перемещается на экране с помощью линеек прокрутки или нажатием правой кнопки мыши. При этом в соответствии с заданием на моделирование, составленном в окне Transient Analysis Limits (см. пример на рис. 1.5) выполняется анализ характеристик, результаты которого заносятся во временный файл данных. На экране они пока не видны. Нажатие левой кнопки мыши приводит к немедленному построению графика переходных процессов в узле схемы, помеченного курсором, как показано на рис. 1.17. Если же отметить курсором компонент схемы, будет построен график падения напряжения на двухполюсном компоненте или разность напряжений между ближайшими выводами многополюсного компонента. В данном примере графики аналоговых напряжений и временные диаграммы логических состояний цифровых узлов изображены па одном графике, при необходимости их можно разместить и раздельно. ^Micro-Cap 7.0 Fife EdK wma a Options Probe Vetted Нопгогча1 <ч-оое 1 > |и|51Ш I МЛ I I ЦЕ:\МС7\ОЛТД\ЛГ>16.Ст rrjldalal p|g| rslffl Я1* вас He* MM ft ЧтЫ\|зЙ Л^гё^йзЫзк! i i-i mwMj 16-разрядныи АЦП и ЦАП *тг_т |Ond jT£8M^№|tf|Tl ef-l И b\AsWM /U-UIFAlvUN/kM HI %N if| mD16 CIP TempfrHture = 27 'i ft. TUui ■и1 [ w m I n n 120 00П 160 OOn 200 OOn VflT|l V(OuO D(Conv) D(B0) D(B1) D(B2) D(B3) _ Select Mode Enable Horizontal Measure mode Click and drag to measure horizontal delta. Рис. 1.17. Режим оперативного построения графиков Probe Синтез фильтров. По командам меню Design синтезируются аналоговые пассивные и активные фильтры. Задание на синтез (рис. 1.18) предусматривает выбор типа фильтра, ввод харектер- 34 ных частот и коэффициента усиления фильтра. В результате синтеза рассчитывается порядок фильтра при аппроксимации его передаточной функции полиномами различного типа, и таблица нулей и полюсов отдельные звеньев фильтра. В заключение рассчитываются стандартные реализации схем этих звеньев, которые записываются в отдельные файлы для дальнейшего использования. Г 1 1 SB- R Т ■ -РН- fc А Design ] Impfamentaton j Optarc j Type f* Low-Pas* (~ HigWass CV Bandpass f Noteh f Oelaji SpecfcaUortt <? Model Passband 6a* |o" Passband Rsple [P.] [T Slopband Attend) Center Flea, |Fc| Passband |P81 StopoandlSB) Response С ВийеглоПп (~ Chebyshev Г f EIWk f* Inverse Chebysnev Potes and Zeros Phu . DD Й ■ > Jf F - -4- /1\ ' лА " /ft IJi & #fe Ълачцза Elliptic sil «rr-Kty Г ModeZ |T Нг "Hz ~H: Slaqe 1 F0 1 53 7ЭГ. 2 1045 82.. 3 998 741.. IQ0 75143 75.143 . 3tt 765 |fn 83S24E 111421 Left Right Oetti 0.00 O.DO 0.00 IMF -&S2 iSS ОШ1ЯВЕИ1 Рис. 1.18. Синтез аналоговых фильтров Завершение моделирования. Завершение моделирования и возвращение в окно схем производится по командам Exit Analysis или нажатием функциональной клавиши F3, завершение работы с МС7 производится по команде File>Exit (AU+F4). Перейдем теперь к последовательному изложению основных правил работы с программой Micro-Cap 7. 35
Глава 2 СОЗДАНИЕ ПРИНЦИПИАЛЬНЫХ СХЕМ 2.1. Режимы работы редактора схем, система меню После вызова программы МС7 на экран выводится окно редактора схем, показанное на рис. 1.1. Схемы создаются и редактируются с помощью набора команд, сгруппированных в системе ниспадающих меню. Наиболее употребительные команды вызываются нажатием на пиктограммы или комбинации "горячих" клавиш. Имеется несколько основных режимов редактора схем, в каждом из которых доступны определенные команды. Доступные команды и соответствующие им пиктограммы ярко высвечиваются, недоступные затенены. Список этих режимов приведен в табл. 2.1. Таблица 2.1 Список пиктограмм Режим Назначение Редактирование и опрос Ч •W т Select mode (Выбор) (Ctrl+E) Component mode ■ (Компоненты) (Ctrl+D) Text mode (Текст) (Ctrl+T) Выбор объектов для выполнения следующих операций: редактирование, очистка (без копирования в буфер обмена), удаление (с копированием в буфер обмена), перемещение, вращение, мультиплицирование, зеркальное отражение. Отдельный объект выбирается щелчком мыши. Для добавления объекта в группу предварительно нажимается клавиша Ctrl Добавление компонентов в схему Нанесение на схему текстовых надписей: имен цепей, описаний моделей компонентов, комментариев 36 Режим П. Wire mode (Цепи) (Ctrl+W) р- | Diagonal wire Mode 1 \l (Диагональные цепи) /D OO Graphics Mode (Графика) p^j Info Mode LLJ (Информация) (Ctrl+I) № t Help Mode (Помощь) (Ctrl+H) Назначение Ввод ортогональных проводников (цепей) Ввод цепей под произвольным углом Рисование графических объектов: линий, эллипсов, прямоугольников, ромбов, дуг, секторов круга, рисунков Вывод информации о параметрах выбранного щелчком мыши компонента с возможностью редактирования Вызов текстовой информации о модели компонента, выбранного щелчком курсора. Нажатие Alt+F1 выводит описание синтаксиса модели компонента, указанного курсором (без щелчка) формате SPICE или схемного ввода Отображение информации на схемах 1—1 Undo | °1 (Ctrl+Num+) 1—| Redo Г'| (Ctrl+Num-) гггп Zoom In 1^1 (Ctrl+Num+) Q, TEKT JK [pv Щ, Zoom Out (Ctrl+Num-) Grid Text (Текст) Attribute Text (Позиционные обозначения) Node numbers (Номера узлов) Node Voltages/states (Узловые потенциалы/ логические состояния) Откат назад на один или несколько шагов Откат вперед на один или несколько шагов Увеличение масштаба изображения Уменьшение масштаба изображения Высвечивание всех текстовых надписей Высвечивание позиционных обозначений всех компонентов Вывод номеров узлов схемы Отображение на схеме значений узловых потенциалов аналоговых узлов и логических состояний цифровых узлов в режиме по постоянному току 37
Режим -и- -Я- 0N В ::: Q Й? #4 1? Currents Power Conditions Pin Connections (Выводы компонентов) Grid (Сетка) Title Box (Угловой штамп) Rubberbanding (Ctrl+Shift+R) Properties (F10) Find (Ctrl+F) Include 1 CirCkt Назначение Отображение на схеме значений токов ветвей Отображение на схеме значений мощностей, рассеиваемых в ветвях Отображение на схеме состояний р-п переходов (LIN — линейный режим, Оп — переход открыт, Off — переход закрыт, Sat — находится в режиме насыщения) Обозначение выводов всех компонентов Высвечивание сетки Нанесение изображения углового штампа (основной надписи) Режим перемещения компонентов вместе с присоединенными к ним проводниками Просмотр и редактирование свойств выбранного объекта Поиск объектов Добавление описания моделей и макромоделей Создание текстовых файлов в формате SPICE Режимы редактирования и опроса включатся по очереди. Одновременно может быть включен только один режим. Глядя на их пиктограммы, легко определить, какой режим включен. В состоянии "включено" кнопка пиктограммы утоплена и она окрашена в зеленый цвет. В состоянии "выключено" цвет пиктограммы черный. Приведем далее описание меню, доступных при редактировании принципиальных схем. 2.1.1. Меню File Меню File содержит команды для работы с файлами схем, текстовыми заданиями в формате SPICE, файлами библиотек математических моделей и текстовыми документами. Список команд этого меню приведен в табл. 2.2. 38 Таблица 2.2 Список команд меню File Команда D New... (Ctrl+N) r^i Open... (Ctrl+O) У Save (Ctrl+S) Save As... Paths... Назначение Создание нового файла схемы, текстового файла в формате SPICE или библиотеки Открытие существующего файла (по умолчанию открывается каталог, из которого загрузка производилась последний раз): Shematic (*.CiR, '.MAC) SPICE (*.CKT, \LIB, \STM) Model Library (\LBR) Model Data (\MDL) Filter (\RES, *.CAP, *.IND) Загрузка файлов схем в формате МС7, МС5 Загрузка текстовых файлов в формате SPICE Загрузка бинарных файлов библиотек моделей (с возможностями редактирования) Загрузка бинарных файлов библиотек моделей и справочных данных и вызов программы MODEL Загрузка тестовых файлов допустимых значений параметров пассивных элементов синтезированных аналоговых фильтров Сохранения схемы из активного окна, используя имя и путь, указанный на строке заголовка Сохранения схемы из активного окна в новом файле, имя которого указывается по дополнительному запросу Указание расположения данных (Data), библиотек (Model library and include files) и рисунков (Pictures) 39
Команда Translate ш Load MC File... Назначение Преобразование файлов: Binary Library to SPICE Text File SPICE Text File to Binary Library Schematic to SPICE Text File Schematic to Printed Circuit Board MC7 Schematic to MC5 Version 1 Schematic File MC7 Schematic to MC5 Version 2 Schematic File MC7 Schematic to MC6 Schematic File Bill of Materials... Преобразование бинарного файла библиотеки *.LBR в текстовый файл *.LIB формата SPICE, содержащий описания моделей Преобразование текстового файла описания моделей в формате SPICE в бинарный файл библиотеки Преобразование файла схемы в текстовый формат SPICE Составление файла списка соединений текущей схемы в формате САПР Protel, ACCEL, Or- CAD, PADS Преобразование файлов схем МС7 в формат схем МС5 Version 1 для последующей загрузки в старые версии МС5 Преобразование файлов схем МС7 в формат схем МС5 Version 2 для последующей загрузки вМС5 Преобразование файлов схем МС7 в формат МС6 Составление отчета Загрузка файлов результатов расчета по методу Монте-Карло (*.ANO, *.DNO *.TNO) 40 Команда ЕР ш Revert Delete Close (Ctrl+F4) El к А Print Preview Print (Ctrl+P) Print Setup... Файл 1,..., Файл 8 Exit (Alt+F4) Назначение Восстановление содержания файла текущего окна с диска. Если команда Undo позволяет восстановить только последнее изменение, то данная команда восстанавливает все изменения Удаление с диска выбранного файла схемы Закрытие текущего окна схемы Предварительный просмотр изображения схемы перед печатью на бумагу выбранного формата Вывод на печать схемы, просмотренной в режиме Print Preview в соответствии с параметрами, заданными в окне Print Setup Выбор принтера, размера и ориентации бумаги Список последних восьми загруженных файлов Завершение работы с программой МС5 2.1.2. Меню Edit Меню Edit содержит команды редактирования. Список его команд приведен в табл. 2.3. Таблица 2.3 Список команд меню Edit Команда | 1 Undo (Ctrl+Z, Г°| CTRL+Num+) О X Redo (Ctrl+Num-) Cut (Ctrl+X) Назначение Отмена последней команды редактирования. Повторное выполнение команды восстанавливает первоначальный вариант (Откат «вперед») Отмена последней команды Undo (Откат «назад») Удаление выбранного объекта и размещение его в буфер обмена Windows 41
Команда [ТТЛ Copy (Ctrl+C) Hi] a Paste (Ctrl+V) г—п Clear (Del, * 1 Ctrl+X) mi Select All (Ctrl+A) Copy to Clipboard ♦fl Add Page —jri Delete Page... V Refresh Models Назначение Копирование выбранного объекта в буфер обмена Копирование содержания буфера обмена в текущее окно, точка привязки указывается курсором и отмечается щелчком мыши Удаление выбранного объекта без копирования в буфер обмена Выбор всех объектов текущего окна Копирование содержания текущего окна в буфер обмена: Copy the Visible Portion of Window in BMP Format Copy the Select Box Part in BMP Format Copy the Entire Window in WMF Format Copy the Entire Window in EMF Format Копирование видимой части активного окна в стандартном графическом формате BMP Копирование выбранной части окна в формат BMP Копирование активного окна в графический формат WMF Копирование активного окна в графический формат EMF Добавление к схеме новой страницы Удаление одной или нескольких страниц схемы Размещение в окне текста описания моделей компонентов, которые еще не были помещены в него. Модели просматриваются во всех библиотеках, указанных по командам .LIB, в том числе указанные в файле NOM.LIB. Если модель компонента не найдена, помещается модель с параметрами,назначаемыми по умолчанию 42 Команда Box t—» Щ га О1 L? CZ Change №Г Назначение Редактирование объектов, заключенных в прямоугольную рамку ("ящик"): Step Box... Mirror Box... Rotate (Ctrl+R) Flip X (Ctrl+F) FlipY Make Macro (Ctrl+M) Копирование фрагмента схемы указанное количество раз. Копирование производится по горизонтали, по вертикали или в обоих направлениях Создание зеркального отражения фрагмента схемы. По дополнительному запросу выбирается направление отражения по горизонтали или по вертикали, а также необходимость копирования текста Вращение фрагмента схемы на угол 90° против часовой стрелки Зеркальное отображение относительно оси X, расположенной посредине выбранной области Зеркальное отображение относительно оси Y, расположенной посредине выбранной области Запись выделенного фрагмента схемы в макрофайл *.МАС Изменение ряда параметров схемы: Properties (F10) Загрузка диалогового окна Schematic Properties для изменения цвета любого объекта схемы, изменения надписей в Title Box 43
Команда 1K+ F Ч Bring to Front шП Send to Back м *♦ Find... (Ctrl+F) Repeate Last Find (F3) Replace Назначение Attributes Color... Font... Rename Components Rename Defines Reset Node Position Изменение видимости пяти основных атрибутов всех компонентов схемы: PART, VALUE, MODEL, TIMING MODEL, I/O MODEL Изменение цвета текста выбранного фрагмента в окне текста или в окне схем Изменение названия шрифта выбранного текста, его размера, стиля и цвета Переименование! всех компонентов, используя стандартные соглашения, и изменение нумерации узлов в порядке их расположения слева направо и сверху вниз Изменение символьных имен, определенных по директивам .define, если они конфликтуют с именами узлов Возвращение номеров узлов в первоначальное расположение Щелчок мыши на выбранных перекрывающихся объектах перемещает нижний объект наверх Щелчок мыши на выбранных перекрывающихся объектах перемещает верхний объект вниз Поиск в текущем окне схем или текста разнообразных объектов: компонентов, атрибутов, фрагментов текста, имен узлов Поиск следующего объекта, удовлетворяющего заданному критерию Замена фрагмента текста 44 2.1.3. Меню Component Меню Component содержит каталог библиотек аналоговых и цифровых компонентов. Каталог имеет иерархическое меню, пример которого приведен на рис. 2.1. Библиотека создается с помощью редактора компонентов, вызываемого из меню Windows по команде Component Editor. В частности, на рис. 2.1 видно, что в библиотеку Diode внесен раздел Russian, куда занесены модели отечественных диодов. Библиотеки Analog Primitives и Digital Primitives содержат модели типовых компонентов, не указывая значения их параметров; их перечень приведен в Приложении 1. Библиотеки Analog Library и Digital Library содержат модели примерно 7700 коммерческих аналоговых и цифровых компонентов. Параметры моделей компонентов содержатся в текстовых файлах с расширением имени .LIB (их полный список помещается в файле NOM.LIB, расположенном в подкаталоге /DATA). Список команд меню Component приведен в табл. 2.4. Analog Primitives ► (. ^^Д^Щ^^^^| Digital Primitives ► Digital Library ► Animation ► 1 Find Component ■ 1 REF01A AD 2C106A 3LED 4 Digital Switch 5 Resistor 6NPN 7 Diode 8 Capacitor 9 Battery 10 Xor_T ВЛ ► BJT Pwr ► Comparator ► Crystal ► ■ж?^^Н1 Ferrite ► IGBT ► jfet ► Misc. ► MOSFET ► Opamp ► Passive > Reference ► RF > Sensor ► Switch/Mux ► Thyristor ► Trans Line ► Varistor ► Vendor ► Miscellaneous > Rectifier * Schottky » Varactor Zener Dual Rectifier Current Regulators Europe Japan Russian 1 Щи И Щ 1N3491-"» 1 uOOOQ- > EOQuO- FuOuO- G0000- M0000- R000Q- 50000- UOQ00- X00Q0- ЩЦЁ2иЯЗ ■ 1M3903 1N4933- ► 1N3909 1N5186- ► НШ&1Н1 1N5400- ► 1N3911 ► 1N5550- ► 1N391Z > 1N5302- ► 1N3913 > 1N6304- ► 1N4001 ► 6A05- ► 1N4001GP и 1N4007 1N4007GP Рис. 2.1. Структура меню Component 45
Таблица 2.4 Список команд меню Component Команда Analog Primitives Analog Library ► Digital Primitives Назначение Типовые аналоговые компоненты Passive Components * Active Devices ► Waveform Sources * Function Sources * Laplace Sources Z Transform Sources ► Dependent Sources * Macros * Subckts ► Connectors ► Miscellaneous * Пассивные компоненты (резисторы, конденсаторы, диоды и т. п.) Активные устройства (транзисторы, операционные усилители) Источники сигналов Функциональные источники сигналов Линейные управляемые источники, задаваемые преобразованием Лапласа Линейные управляемые источники, задаваемые Z-преобразованием Линейные и нелинейные зависимые источники Макромодели, заданные схемами замещения Макромодели,заданные текстовым описанием на языке PSpice Соединители Смесь Библиотеки типовых моделей и моделей аналоговых компонентов разных фирм Типовые цифровые компоненты Standard Gates ► Tri-State Gates ► Edge-Triggered Flip- Flops ► Стандартные вентили Вентили с тремя состояниями Триггеры с динамическим управлением 46 Команда Digital Library ► Animation Find Component (Ctrl+Shift+F) 1...10 Назначение Gated Flip- Flops/Latches * Pullups/Pulldowns ► Delay Line ► Programmable Logic Arrays ► Logic Expression * Pin Delay ► Constraints * AtoD Converters ► DtoA Converters ► Stimulus Generators ► Триггеры с потенциальным управлением Источники постоянных логических сигналов Цифровые линии задержки Программируемые логические матрицы Логические выражения Задание задержек распространения сигналов Контроль временных соотношений Аналого-цифровые преобразователи Цифро-аналоговые преобразоватенли Генераторы цифровых сигналов Библиотеки моделей цифровых компонентов разных фирм Цифровые устройства с анимацией: Digital Switch LED Seven Segment Ключ, управляемый курсором Индикатор состояния Семисегментный индикатор Поиск во всех библиотеках компонента по имени и размещение его на схему Список 10 последних загруженных компонентов После выбора компонента программа МС7 переходит в режим Component. Размещение выбранного компонента на схему производится щелчком мыши в окне схем. Перемещение мыши, не отпуская левой кнопки, приводит к перемещению компонента на схеме. Одновременное нажатие правой кнопки мыши поворачивает компонент на 90° против часовой стрелки. Отпускание левой кнопки 47
мыши фиксирует компонент на схеме. После этого открывается окно для задания позиционного обозначения, параметров компонента или имени его модели, показанное на рис. 2.2. При выборе в окне одного из параметров компонента на строке сообщений приводится формат его ввода. Resistor Name Value Н Г" Show Change |SLIDER_MAX Г Show Display Г Pin Markers Г Pin Names Г" Pin Numbers P Current P Power P Condition PART-R2 VALUE=250 FREQ= M00EI.= SLIDER M1N=0 SLIDER MAX-3000 PACKAGE C0ST= P0WER= OK Cancel Syntax... Font.. Add Expand... Delete Help Bar Help. 1 jd г •Description: Sets the maximum value for the slider in Dynamic DC Syntax: [value] Example: 10 Рис. 2.2. Задание атрибутов компонента на примере резистора 2.1.4. Меню Windows Меню Windows содержит команды работы с окнами. Список его команд приведен в табл. 2.5. Таблица 2.5 Список команд меню Windows Команда н Cascade (Shift+F5) Назначение Каскадное расположение открытых окон 48 Команда т —I га □ ш ei Title Vertical (Shift+F4) Title Horizontal Overlap Maximize Arrange Icons Zoom-In (Ctrl + "серый"+) Zoom-Out (Ctrl + "серый"-) Toggle Drawing/Text (Ctrl+G) Split Horizontal Split Vertical Remove Splits Component Editor- Shape Editor... Package Editor... Назначение Последовательное расположение открытых окон по вертикали Последовательное расположение открытых окон по горизонтали Разрешение накладывать окно результатов анализа поверх окна схем Максимизация выбранного окна схем или его иконки Упорядочивание размещения иконок свернутых окон в нижней части экрана Увеличение масштаба изображения Уменьшение масштаба изображения Переключение между окном схем и соответствующим ему окном текста Разделение рабочего окна на окно схем и окно текста, протяженных по горизонтали Разделение рабочего окна на окно схем и окно текста, протяженных по вертикали Распахивание окна схем на весь экран, закрывая окно текста. Левые верхние точки форматки схемы и окна схем совмещаются, при этом масштаб изображения схемы не изменяется Переход в режим редактирования библиотеки компонентов Переход в режим редактирования графики символов компонентов Вызов редактора упаковки компонентов (информация о типе корпуса компонента необходима для составления списков соединений, передаваемых редакторам печатных плат) 49
Команда и Model Program... Calculator... Check Model Library Parameters 1 оаголовок окна> 9<заголовок окна> More Windows... Назначение Вызов программы идентификации параметров моделей аналоговых компонентов MODEL по паспортным и экспериментальным данным (моделей DIODE, NPN, PNP, NMOS, PMOS, NJFET, PJFET, OPASMP, CORE) Вызов встроенного калькулятора Вывод перечня библиотек, перечисленных в файле NOM.lib, с указанием синтаксических ошибок Активизация и размещение на переднем плане схемы или текстового файла, выбирая его из списка открытых файлоз 2.1.5. Меню Options Меню Options содержит команды выборов режима редактирования и задания различных параметров программы МС5. Список его команд приведен в табл. 2.6. Таблица 2.6 Список команд меню Options Команда Main Tool Bar (Ctrl+O) Default Main Tool Bar Status Bar Mode 4 Vv^ Назначение Включение/выключение строки инструментов Размещение панелей инструментов по умолчанию Включение/выключение строки описания текущей команды Выбор режима Select (Ctrl+E) Component (Ctrl+D) Выбор объектов для последующего редактирования Добавление компонента в схему 50 Команда т Т_. \ /и оо ш Ю Е Назначение Text (Ctrl+T) Wire (Ctrl+W) WireD Graphics \ □ О Polygon Flag Scale (F7)* Cursor (F8)* Point Tag* Нанесение на схему текстовых надписей (имен цепей, описаний моделей компонентов и произвольных текстовых комментариев) Ввод ортогональных цепей Ввод цепей произвольной ориентации Рисование графических объектов: Line Rectangle Diamond Ellips Arc Pie Линия Прямоугольник Ромб Эллипс и окружность Дуга Сектор Рисование полигона Ввод маркеров для быстрой навигации по схеме Вывод на весь экран части графика, заключенного в рамку Режим электронного курсора Нанесение на график значений координат X, Y выбранной точки 51
Команда нн *? HP i ч>- ES View TEST [пи -м- -ft- Назначение Horizontal Tag* Vertical Tag* Help (Ctrl+H) Info Point to End Paths Point to Point Paths Выбор инс Attribute Text Grid Text Node Numbers Node Volt- ages/Sta tes Current Power Нанесение расстояния по горизонтали между двумя выбранными точками графика Нанесение расстояния по вертикали между двумя выбранными точками графика Вызов текстовой информации о модели компонента выбранного щелчком курсора Вывод информации о параметрах выбранного компонента Расчет задержек сигналов во всех путях, подходящих к выбранному цифровому компоненту Расчет задержек сигналов путей, соединяющих два выбранных цифровых компонента зормации, видимой на схеме: Позиционные обозначения компонентов Текстовые надписи Номера узлов схемы Узловые потенциалы аналоговых узлов и логические состояния цифровых узлов в режиме по постоянному току Токи ветвей Рассеиваемая мощность 52 Команда RLL ' p\ ON -H- + □ Show All Paths Preferences... (Ctrl+Shift+P) Default Properties For New Circuits... G Global Settings... (Ctrl+Shift+G) User Definitions Назначение Condition Pin Connections Grid Crosshair Cursor Border Title Состояния р-п переходов (LIN — линейный режим, Оп — переход открыт, Off — переход закрыт, Sat — находится в режиме насыщения) Выводы компонентов Узлы сетки Курсор в виде перекрестья во весь экран Нанесение рамки на чертеж схемы Изображение углового штампа (основной надписи) Составление списка всех возможных путей распространения цифровых сигналов с указанием задержек. Каждый путь начинается в цифровом генераторе или триггере. Выбранный в списке путь высвечивается на схеме Открытие диалогового окна для изменения назначения цвета разных объектов шрифтов и других параметров, значения которых сохраняются в файле текущей схемы. Подробный перечень этих параметров приведен в табл. 2.7 Задание по умолчанию перечня параметров новой схемы Задание глобальных параметров, определяющих режим моделирования. Их перечень приведен в табл. 2.8 Запись в файл MCAP.INC определений (моделей, констант, функций), автоматически включаемых в файлы описаний всех схем 53
Команда Model Parameter Limits Editor Component Palettes 1-9 (Ctrl+1 Ctrl+9) Назначение Редактирование минимальных и максимальных значений моделей компонентов Вывод на окно схем от 1 до 9 панелей со списком компонентов для их быстрого выбора при составлении схемы. Состав компонентов в панелях определяется в режиме Component Editor Примечание. Команды, помеченные звездочкой "*", доступны только в окне отображения результатов моделирования (см. пример на рис. 1.6). По команде Preferences или нажатием иконки диалоговое окно изменения различных параметров закладок, изображенных на рис. 2.3. открывается имеющее 8 Common Option: j Colot Palettes | Format | Status Bar | Main Tool Bar | Component Palettes | Auto Save | Warnings | General Г* Select Mode Г" 'Sound Analyse Г" Floating Nodes Check fy DC Path to Ground Check W Convergence Assi" Circuit - P Text Increment fy Node Snap Г" Auto Show Model Default I f? Lock Tool Bar Г Ttrne Stamp Fie List Size W Г Print Background Г Date Stamp Waning Time [з Sec. Г Add DC Path to Ground (7 Inertial Cancelation Auto Scale Grids |5 f? Plot On Top Г* Analysis Progress Bar I? Select Curve Color 17 Gmin Stepping P Component Cursor Nodes Recalculation Threshold 11000000 Г Rubberbanding fy Block Select Display Mode fy Show Slider Г7 Automatically Add Opamp Power Supplies OK Отмена Применить Справка Рис. 2.3,а. Диалоговое окно Preferences, основная закладка Common Options На рис. 2.3,а показана основная закладка Common Options, а перечень устанавливаемых на ней параметров приведен в табл. 2.7. 54 Таблица 2.7 Параметры закладки Common Options Параметр Назначение Значение по умолчанию General Select Mode Sound Quit Warning Lock Tool Bar Print Background Time Stamp Date Stamp File Load Size Warning Time Автоматическое включение режима выбора объектов схемы при завершении любого другого режима Включение звукового сигнала предупреждения Вывод запроса подтверждения выхода из программы Запрет перемещения строк инструментов Печать цветного фона схем и графиков Размещение в верхнем левом углу графиков текущих результатов моделирования с указанием даты Размещение в верхнем левом углу графиков текущих результатов моделирования с указанием времени Количество файлов, включаемых в перечни последних загруженных файлов (не более 16) Длительность вывода предупреждений об ошибках No Yes Yes Yes No No No 8 3c Analysis Floating Nodes Check DC Path to Ground Check Convergence Assist Вывод информации о наличии в схеме "плавающих" узлов (т.е. узлов, к которым подсоединен только один компонент) Проверка наличия пути по постоянному току на "землю" для всех узлов схемы перед началом моделирования Включение утилиты Convergence Assist для попытки оптимизации параметров, влияющих на сходимость алгоритмов анализа (RELTOL, ABSTOL, VNTOL, ITL2, ITL4, MNETHOD, GMIN) No Yes Yes 55
Параметр Add DC Path to Ground Plot on Top Select Curve Color Inertial Cancellation Analysis Progress Bar Gmin Stepping Auto Scale Grids Назначение Автоматическое добавление резисторов с сопротивлением, равным 1/GMIN, во все ветви, не имеющие путей по постоянному току на "землю" Размещение графиков результатов моделирования поверх схем Окрашивание выбранных цепей Сглаживание при выполнении логического моделирования коротких импульсов, длительность которых меньше задержек цифровых устройств Отображение индикатора процесса выполнения моделирования Включение метода вариации проводимости Gmin, если нормальный режим расчета режима по постоянному току и метод вариации источников питания не обеспечивают сходимости Задание количества сеток, используемых при автоматическом масштабировании графиков Значение по умолчанию No Yes Yes Yes No Yes 5 Circuit Text Increment Node Snap Auto Show Model Автоматическое добавление "1" к именам Grid text при выполнении операций вставки из буфера обмена, копирования путем "перетаскивания" курсором или зеркального отображения Автоматическое обеспечение электрического соединения с выводом компонента или концом проводника, если начальная точка проводимой линии не совмещена с ними, но попала в соседний узел сетки Помещение модели каждого размещенного на схеме компонента в окно текста Yes Yes Yes 56 Параметр Component Cursor Rubber- banding Show Slider Nodes Recalculation Threshold Block Select Display Mode Automatically Add Opamp lower Supplies Назначение Автоматическая замена формы курсора изображением компонента, выбранного для размещения на схеме "Растягивание" проводников схемы, не отрывая их от узлов и выводов компонентов при выполнении операций перемещения Размещение движкового переключателя у изображений батарей и резисторов при выполнении анализа Dynamic DC для изменения их номиналов перемещением движков (минимальные и максимальные значения номиналов определяются с помощью атрибутов SLIDERJVIIN, SLIDEFLMAX, см. рис. 2.2) Максимальное количество узлов схемы, при превышении которого не будут переопределяться номера узлов схемы при добавлении или удалении компонентов или цепей. При включении режима View Node Numbers этот параметр игнорируется Включение режима блочного выбора объектов, при котором окрашиваются фоновые объекты Автоматическое добавление на схему и подключение источников питания ОУ VCC nVEE Значение по умолчанию Yes Yes No 1 000 000 Yes Yes На рис. 2.3, б показана закладка Color Palettes, позволяющая создать собственную палитру цветов. Закладка Format (рис. 2.3,е) позволяет задать форматы представления различной числовой информации [допускается стандартная, научная (добавление суффикса Е) и инженерная (добавление суффикса Е) нотации]: Analysis Plot Tags — результаты обработки графиков характеристик (по командам Point Tag -tf и др.); Numeric Output Values — значения выходных переменных; Shematic Voltage/Currernt/Power — результатов расчета режима по постоянному току (потенциалы, токи ветвей и рассеиваемая мощность); 57
Common Options Color Palettes лиjjj j -1яяя_1_1_и Рис 2Ъ6 диалоговое ОКно Preferences, закладка Color Palettes jjjjjmmm JJJJMM JJJJJJUH Common Options | Cotor Palettes Format | Status Bar | Main Tool Bar | Component Palettes | Auto Save | Warnings | Analysis Plot Tags Numeiic Output Values Schematic Pain Delays Formula text Format Format Format Format Format 3 Digit Engineering 3 Digit Engineering 4 Digit Engineering j Digit Engineering 3 Digit Engineering Foimal Formal f"* Scientific *•" Engineering Г Default Digits [4-3 Sample 123 4561К ; OK ~;| а Cancel Рис. 2.3,8. Диалоговое окно Preferences, закладка Format Preferences Common Options | Color Palettes ] Format Status Bar | Mam Tool Bar | Component Palettes | Auto Save | Warnings | Font: Times New Roman Cyif See- Font stjile: H System 'T£ Tahoma ^ Technical Terminal '!£ Times New Roman T£ Tir . JewRi. jnC JESSE . fr Times New Roman К 3fr Tiranti Solid LET ^J 12 Regular 10-3 11 14 16 18 20 22 24 d Sold Italic Bold Italic Sample Panes ГУ Track Г~ Time d AaBbYyZz OK J. Отмена Пр*1менмть I Справка Closes this dialog box and saves any changes you have made. Рис. 2.3,2. Диалоговое окно Preferences, закладка Status Bar Schematic Path Delays — задержки распространения сигналов; Formula Text — численные значения, указываемые в формулах. Закладка Status Bar (рис. 2.3,г) позволяет задать шрифт надписей в строке состояний [на панели Panes определяется необходимость отображения текущих координат курсора (опция Track) и текущего времени на строке состояний (опция Time)]. Закладка Main Tool Bar (рис. 2.3,6) позволяет выбрать кнопки, размещаемые в меню инструментов. Common Options | Color Palettes | Format | Status Bar Main Tool Bar Tool Bar Buttons- File Edit Component Windows , Options &ШВНННН1 пса IL x Ш % A ж dfib +^ ы *jd Jd Hi P Show Button 17 Show Tool Bar All On Default All Off Рис. 2.3,5. Диалоговое окно Preferences, закладка Main Tool Bar Закладка Component Paletts (рис. 2.3, e) позволяет нанести на схему до 9 списков компонентов для их быстрого нанесения на схему наиболее употребительных аналоговых и цифровых компонентов; программа МС7 поставляется с 4 такими панелями, показанными на рис. 2.4. Состав компонентов, размещенных на каждой закладке, определяется с помощью опции User редактора компонентов, см. разд. 2.3.1. Каждая из этих панелей также размещается на схеме по команде Options>Component Palettes или нажатием комбинации клавиш Ctrl-кномер панели>, например, панель 2 вызывается нажатием Ctrl+2. 59
Preferences JJj Common Options | Cola Palettes | Format | Status Bar | Main Tool Bat Component Palettes I Auto Save | Warnings | Palette 1 Name j'JWffl V Show Patette 4 Patette 2 Name [Analog Models Г Show Palette 5 Name (Digital ICs Г Show Patette 7 Palette 3 Name [Digital Г Show Palette 6 Name |Palette 5 Г Palette В Name |Palette 6 Г Patette 3 Name |Palette 7 Г Name palette 8 Г Name [paietteS Г OK Отмена Применить Справка Рис. 2.3,е. Диалоговое окно Preferences, закладка Component Paletts На закладке Aufo Save (рис. 2.3, ж) выбирается режим сохранения схем перед выполнением моделирования и устанавливается интервал автосохранения. Common Options | Color Palettes | Format | Status Bar | Main Tool Bar ] Component Palettes Auto Save j Warnings | \J~ fe**9 JsXS Srcurtsjiefqre Hu_nt^_Araljisisi P Automatically Save File Every 110 Minutes Рис. 2.3,ж. Диалоговое окно Preferences, закладка Auto Save На закладке Warnings (рис. 2.3,з) активизируется режим выдачи различных предупреждающих сообщений (о закрытии не сохранен- Common Options ] Color Palettes | Format | Status Bar | Main Tool Bar | Component Palettes ] Auto Save Warnings Jи P File ■ P Quit f7 Opamp power supplies P Add DC Path to Ground Ail on Рис. 2.3,3. Диалоговое окно Preferences, закладка Warnings 60 ных файлов; о выходе из программы; об автоматической вставке источников питания для ОУ; об автоматической вставке в схему резисторов для создания путей по постоянному току между каждым узлом и «землей»; о выводе всех возможных предупреждений). я Micio-C*p 7.0.3 - F \MC7\DATA\DIFFAMP СШ1 f File Edit tuiipvrtent ^ do»* ^t""'" ArMljBis Design DgSH^SU' +^-к--и--к-«--<-Ф □□ i PG . D X Help -Ifflxl ■T"L\ Resistor .. ICapacitor Diode Battery Pulse source Sine source Ground NPN PNP DNMOS Opamp Iff «л -№, tpv ~;;;q ъ & Й 43 ®.q. MODEL 1 PUL(\ iNE»0l MODEL N1 NPtJ IBF^I U MnDELN2NPN'BF=5u . =1 8P TF» 5N TP»1 Is = TF=1NTB=E Kiil»l»U\B<tW"B«i/"" >r Рис. 2.4. Панели для быстрого выбора размещаемых на схеме компонентов Для быстрого выбора наиболее употребительных компонентов в строку инструментов помещены их пиктограммы, изображенные на рис. 2.5. Состав этих пиктограмм определяется на панели Component закладки Main Tool Bar (рис. 2.3, д). На этой же закладке определяется состав пиктограмм, выводимых на панель инструментов и при использовании других команд (File, Edit, Windows, Options и Analysis). т ^Л- Hh -И- -К -с» -*- ф Рис. 2.5. Инструменты быстрого нанесения на схему наиболее употребительных компонентов ("земля", резистор, конденсатор, диод, п-р-птранзистор, логический инвертор, батарея напряжения, источник импульсного напряжения) 61
lol По команде Global Setting или нажатием иконки i" I открывается диалоговое окно установки параметров моделирования изображенное на рис. 2.6 (табл. 2.8). Global Settings ABSTOL CHGTOL DEFAD DEFAS DEFL DEFW DIGDRVF DIGDRVZ lira i.OlpC 1° о 100u 100u 2 20K DIGERRDEFAULT|20 DIGERRUMIT DIGFREQ DIGINITSTATE DIGIDLVL DIGMNTYMX OK 10K 10GHz о 2 2 DIGMNTYSCALE DIGOVRDRV DIGTYMXSCALE GMIN ITL1 ITL2 ITL4 PERF0RM_M PIVREL PIVTOL RELTOL RMIN SD |0 4 |з J1.6 |1р |100 |50 |10 |2 |1ш |.1р |1т |lu J2 53 TNOM |27 Cancel Standard Default TRT VN1 WIC OL OL TH □ P |1u J30 ■■ ■ Г чиишмьь Р PRIVATEANALOG Г Г J -'HIVATtUIUITAL TRYTOCOMPACT METHOD С GEAR « IHAPLdJlDAL Power Default 1 Help... 1 Restores default values suitable for high power circuits. fi С r. Имя опцик iBSTOL ;hgtol )EFAD Рис. 2.6. Диалоговое окно Global Settings Таблица 2.8 Глобальные параметры 1 Наименование Допустимая ошибка расче ков в режиме Transient Допустимая ошибка расче ряда в режиме Transient Диффузионная площадь МОП-транзистора ТЭТО- таза- стока Размерность A Кл m2 Значение по умолчанию 10 "12 ю-14 0 62 Имя опции DEFAS DEFL DEFW DIGDRVF DIGDRVZ DIGERRDEFAULT DIGERRLIMIT DIGFREQ DIGINITSTATE DIGIOLVL DIGMNTYMX DIGMNTYSCALE DIGOVRDRV Наименование Диффузионная площадь истока МОП-транзистора Длина канала МОП-транзистора Ширина канала МОП-транзистора Минимальное выходное сопротивление цифровых устройств (для моделей UIO) Максимальное выходное сопротивление цифровых устройств (для моделей UIO) Максимальное количество контролируемых ошибок цифровых устройств Максимальное количество сообщений об ошибках в цифровых устройствах Максимальная частота дискретизации при анализе цифровых устройств (минимальный временной шаг равен 1/ DIGFREQ) Установка начального состояния триггеров: 0 - сброс; 1 - установка; 2-Х Уровень интерфейса А/Ц, Ц/А по умолчанию Селектор выбора задержки цифрового устройства по умолчанию: 1 - минимум; 2 - типичное значение; 3 - максимум; 4 - мин/макс (наихудший случай для цифровых устройств) Масштабный коэффициент для расчета минимальной задержки Отношение выходных сопротивлений цифровых устройств, при котором изменяется состояние общего выходного узла Размерность м2 м м Ом кОм ГГц Значение ; по умолчанию 0 ю-4 ; ю-4 ■ 2 20 20 10000 10 2 1 2 0,4 3
Имя опции DIGTYMXSCALE GMIN ITL1 ITL2 ITL4 PERFORM_M PIVREL PIVTOL RELTOL RMIN SD Наименование Масштабный коэффициент для расчета максимальной задержки Минимальная проводимость ветви цепи (проводимость ветви, меньшая GMIN, считается равной нулю) Максимальное количество итераций в режиме DC Максимальное количество итераций при расчете передаточных функций по постоянному току при переходе к последующей точке Максимальное количество итераций при переходе к следующему моменту времени в режиме Transient Количество значащих цифр до и после десятичной точки в таблицах выходных данных плюс единица Относительная величина элемента строки матрицы, необходимая для его выделения в качестве ведущего элемента (режим АС) Абсолютная величина элемента строки матрицы, необходимая для его выделения в качестве ведущего элемента (режим АС) Допустимая относительная ошибка расчета напряжений и токов в режиме Transient Минимальное значение сопротивления нагрузки полупроводниковых приборов Отношение диапазона разброса случайных параметров к среднеквадратичному отклонению Размерность См Ом Значение по умолчанию 1,6 ю-12 100 50 10 2 10J ю-13 10J 106 2,58 64 Имя опции TNOM TRTOL VNTOL WIDTH NOOUTMSG PRIVATEANALOG PRIVATEDIGITAL TRYTOCOMPACT Наименование Номинальная температура Коэффициент, определяющий допустимую ошибку усечения в режиме Transient Допустимая ошибка расчета напряжений в режиме Transient Длина строки выходного файла (80 или 132) Подавление передачи в выходной файл сообщений об ошибках моделирования Создание копий моделей всех аналоговых компонентов. При наличии таких копий вариация параметров модели относится только к одному выбранному компоненту, в противном случае ко всем компонентам, имеющим такую модель. При наличии в модели параметра DEV такие копии создаются автоматически независимо от значения параметра PRIVATEANALOG Создание копий моделей всех цифровых компонентов. При наличии таких копий вариация параметров модели относится только к одному выбранному компоненту, в противном случае ко всем компонентам, имеющим такую модель. При наличии в модели параметра DEV такие копии создаются автоматически независимо от значения параметра PRIVATEDIGITAL Представление в компактной форме информации о распределении токов и напряжений в длинных линиях с потерями Размерность С В Значение по умолчанию 27 7 ^0'6 80 No Yes No No 3—1361 65
Имя опции METHOD: GEAR, TRAPEZOIDAL Наименование Выбор метода численного интегрирования дифференциальных уравнений Размерность Значение по умолчанию No/Yes 2.1.6. Меню Analysis Меню Analysis содержит перечень режимов моделирования, список которых приведен в табл. 2.9. Таблица 2.9 Список команд меню Analysis Команда Transient... (Alt+1) AC... (Alt+2) DC... (Alt+3) Dynamic DC (Alt+4) Transfer Function... (Alt+5) Sensitivity... (Alt+6) Probe Transient... (Ctrl+Alt+1) Probe AC... (Ctrl+Alt+2) Probe DC... (Ctrl+Alt+3) Назначение Анализ переходных процессов Анализ частотных характеристик Анализ передаточных функций по постоянному току Расчет режима по постоянному току и его динамическое отображение на схеме Расчет передаточных функций по постоянному току, а также входного и выходного сопротивления Расчет чувствительностей по постоянному току одного или нескольких выражений по отношению к одному или нескольким параметрам Анализ переходных процессов и отображение их результатов в режиме Probe Анализ частотных характеристик и отображение их результатов в режиме Probe Анализ передаточных функций по постоянному току и отображение их результатов в режиме Probe 2.1.7. Меню Design Меню Design содержит две команды Active Filters и Passive Filters для синтеза активных и пассивных аналоговых фильтров. Обе эти команды имеют однотипные диалоговые окна, показанные на рис. 2.7. 66 Active Filter Designer Design | Implementation | Options | Type Г" Low-Pass (~ High-Pass (•" Bandpass (~ Notch (~ Delay □ _L R t :,B_ ~ Fc A I Specifications С Model Passband Gain (~ Mode 2 ] dB Passband Ripple |R) [3 0102ЭЭ35Е dB StopbandAtten (А) [20 dB Centei Fieq. [Fc| fToOO Hz Passband (PB) pToO Hz Stopband (SB) |200 Hz Response Г" Butterworth С Chebyshev Г Г Elliptic (•" i|nverse Chebyshev Poles and Zeros Stage | FO 1 334.1070... 2 1053.335... 3 334.3374... I Q0 13.06316. 18.06316... 5.823224.. I FN | 836.1352... 1117.135... Default Plot OK Cancel Help Active Filter Designer Design Implementation | Options | i a) Bandpass 17 Same Circuit for Each Stage Odd Low Pass Stage Impedance Scale Factor fl Odd High Pass Stage Opamp Model to Use |$IDEAL 3 Gain Adjust Stage Resistor Values Capacitor Values 17 Exact Browse... 17 Exact "Z3 -3 Browse.. Q Stage 1 2 3 Circuit S alien-Key Sallen-Key S alien-Key I Gain 0 0 0 I F0 Э34.1070075.. 1053 335743... 334.3374371... I Q0 18 06316617... 18.06316617... 5 32S224337... I FN I 8861352262... 1117.135333... Default Plot OK Cancel Help 6) 67
Passive Filter Designer Design ] Implementation Options | Component Value f4 Scientific ^ Engineering f Default Digits [IT 3 Save To <•" New Circuit f4 Cuirent Circuit Create <"~ Circuit <* Macro 1 Format Porynomial Format <~ Scientific <"* Engineeting l» Default Digits J5 3 Test Г? Show Title f? Show Porynomial Pfol f? Gain Г Phase Г" Group Delay P Separate Plots Number of Data Points |500 P Show Circuit f Г? Auto Default Pbl OK Отмена Справка в) Рис. 2.7. Диалоговое окно синтеза фильтров На закладке Design (рис. 2.7,а) выбирается тип фильтра, тип аппроксимирующего полинома, способ задания требований к его АЧХ (Mode 1 или Mode 2) и задаются эти требования. Здесь же в окно Poles and Zeros выводятся результаты расчета полюсов и нулей синтезированного фильтра. На закладке Implementation (рис. 2.7,6) щелчком курсора по графе Circuit выбирается тип реализации пассивного фильтра (Standard или Dual) или тип схем отдельных звеньев активного фильтра (Sallen-Key, MFB, Tow- Thomas, Fleischer-Tow, KHN, Acker-Sossberg). Кроме того, при синтезе активных фильтров указывается необходимость размещения схем разных звеньев на одной и той же схеме (Same Circuit for Each Stage), выбирается тип операционного усилителя (Opamp Model to Use). На панелях Resistor Values, Capacitor Values и Inductor Values (для пассивных фильтров) указывается необходимость использования точных значений рассчитанных номиналов компонентов (Exact) или использовать ближайшие значения, перечень которых приведен в отдельных файлах (имена которых указываются после нажатия на панели Browse). Для пассивных фильтров указываются также значения сопротивлений генератора/нагрузки (Source/Load Resistor). Масштабный коэффициент (Impedance Scale Factor) используется для изменений значений параметров всех пассивных компонентов: на него умножаются сопротивления резисторов и индуктивности катушек, на него делятся значения емкостей всех конденсаторов. На закладке Options (рис. 2.7,в) выбирается формат представления численных значений номиналов компонентов (Component Value Format) и параметров передаточных функций фильтров (Polynomial Format). На панели Plot выбирается перечень характеристик, графики которых необходимо построить нажатием на одноименную клавишу (пример построения ФЧХ фильтра приведен на рис. 2.8). Plot HDD i^ Шш >Jb *7 Л!/ ч£- fc s эГ > jp W ^_^_ Bandpass Inverse Chebyshev Sallen-Key 5291 0-00 "^-^J936.26 • 1.99ЁГр 1.06K -2.19 Ь -39.02 -14.73 -130 95 -29.48 222.87 -44.23 -314.30 -5S9S 406 75 -73.73 \ :\ soo Gain fdBI Phase (deg) F Left -1.99 -71.93 936.26 1K Right ■2.19 -290 56 1.06 К Delta 1.2K Slope •0.1S -1 621E-03 £18.63 -1.790E00 1.000E00 .17 Рис. 2.8. Частотные характеристики синтезированного фильтра 68 69
На панели Save To выбирается один из двух вариантов создания схемы реализации фильтра: New Circuit — в новой схеме, Current Circuit — в текущей схеме. На панели Create выбирается тип реализации фильтра: Circuit — в виде принципиальной схемы, как показано на рис. 2.9, a; Macro — в виде макромодели, как показано на рис. 2.9, б (в последнем случае имя файла макромодели создается автоматически или по указанию пользователя). Low-Pass Butterworth Sallen-Key Passband Gain = О dB Passband Ripple (R) = 3.0103 dB Passband Frequency (Fc) = 1000Hz Stopband Attenuation (A) = 10 dB Stopband Frequency (Fs) = 2000 Hz Impedance Scale Factor=1, and OPAMP model=LF155 02 i ON 2 23948MEG V'P V'M 15 V2f V- C1 "1 OOP Stage 1:Sallen-Key F1 =1/(U*U+1.41424J+1) Poles = -0 70711. +-0.70711J Poles = -0.70711, +-0.70711 j LP = Ideal low-pass response based upon choice of filter type and response, .define LP define U (S/(2*PI*1000)) 1/(U*U+1.4142*U+1)* 1 a) X1 _ Out _ В S 1000 2000 MA V1 I" LP = Ideal low-pass response based upon choice of filter type and response. .define U (S/(2*PI*1000)) .define LP 1/(U*U+1.4142*U+1)* 1 6) Рис. 2.9. Результаты синтеза фильтра 70 2.1.8. Меню Help Меню Help содержит команды работы со средствами встроенной помощи, список которых приведен в табл. 2.10. Таблица 2.10 Список команд меню Help Команда Contents (F1) Search for Help On... Tip of the Day Product Support About Micro-Cap... Statistics (Alt+Z) Key ID General Demo Назначение Вывод содержания встроенной документации по программе МС7 Вызов справочной и поисковой системы Вывод списка рекомендаций Информация о технической поддержке работы с программой МС7, список адресов дистрибутеров Справочная информация о номере версии программы МС Вывод справочной информации о программе и текущей схеме Вывод идентификатора программы Запуск демонстраций о работе программы МС7 2.1.9. Назначение функциональных клавиш Несмотря на то, что программа МС7 управляется с помощью мыши, в ряде случаев удобно пользоваться и клавиатурой. Назначение выделенных "горячих" клавиш приведено в предыдущих разделах, здесь же приведем назначение функциональных клавиш клавиатуры: F1 — вызов меню помощи Help; F2 — начало моделирования после выбора одного из видов анализа в меню Run; F3 — выход из режимов AC, DC или Transient Analysis и возвращение в окно схем Schematic Editor. В окне схем нажатие клавиши F3 повторяет поиск объекта; F4 — отображение окна графиков результатов анализа (например, если было открыто окно текстового выходного файла); Ctrl+F4 — закрытие активного окна; F5 — отображение текстового выходного файла в окне Numeric Output; F6 — возвращение к исходному масштабу в выбранном окне графиков; 71
Ctrl+F6 — циклическое переключение открытых окон; F7 — переключение в режим Scale масштабирования фрагмента графика на весь экран; F8 — переключение в режим электронного курсора Cursor измерения координат графиков; F9 — очистка окна графиков в режиме Probe и вызов окна задания параметров Analysis Limits в режиме анализа характеристик; Ctrl+F9 — удаление всех графиков; F10 — открытие окна Properties; F11 — открытие окна варьирования параметров Parameter Stepping (в режиме Transient Analysis); F12 — вызов редактора переменных состояния State Variables Editor (в режиме Transient Analysis). 2.2. Создание чертежа схемы Новая схема создается по команде File>New (см. рис. 1.2). При этом возможно как графическое изображение схемы (режим Schematic), так и ее текстовое описание (SPICE/Text). В связи с тем, что основную привлекательность программы МС7 придает графический ввод схем, не будем касаться текстового ввода в формате SPICE, который подробно описан в [6, 8]. Созданные же ранее схемы загружаются по команде File>Open. Краткое описание всех команд редактора схем приведено в разд. 2.1, а здесь обсудим подробнее основные этапы создания и редактирования принципиальных схемы. Подготовительные операции. В результате выполнения команды File>New открывается пустой экран, на котором создается новая схема. Первоначально рекомендуется нажатием на пиктограмму ЬЛ (команда View/Grid меню Options) нанести на экран координатную сетку для упрощения построения схемы. Шаг сетки установлен фиксированным и равным 0,1 дюйма (в программе МС7 принята английская система единиц, изменить ее нельзя). Замечание. В соответствии с принятым шагом сетки в библиотеке МС7 создана графика символов компонентов. Так, резистор изображен в виде прямоугольника с размерами 2,5 х 7 мм, т. е. меньшими, чем требуется по ЕСКД. Однако рисовать более крупные символы не имеет большого смысла, так как при выводе схем на современные лазерные принтеры они изображаются весьма разборчиво и достаточно компактно. При этом вновь создаваемые символы рекомендуется рисовать, соблюдая масштаб и пропорции, принятые в поставляемых вместе с программой библиотеках, чтобы их можно было одновременно размещать на схеме. Одно- 72 временно рекомендуется отредактировать графику символов ряда типовых компонентов по ЕСКД (к ним в первую очередь относится резистор, индуктивность и «земля», сохраняя файл STANDARD.shp, в который заносится информация о графике компонентов). Добавление компонентов в схему. Перед добавлением символа элемента на схему его нужно выбрать в меню Component (см. разд. 2.1.3). Наиболее часто встречающиеся компоненты имеет смысл разместить на специальных панелях (см. разд. 2.1.5 и рис. 2.3) для ускорения их поиска. Выбранный тем или иным способом компонент размещается на схеме щелчком мыши. Нажатую кнопку мыши не нужно отпускать, пока компонент перемещением курсора не будет размещен в нужное место схемы. Компонент поворачивается на 90е нажатием правой кнопки (до отпускания левой кнопки). Фиксация компонента на схеме выполняется отпусканием левой кнопки мыши. Ввод и редактирование атрибутов компонента. Диалоговые окна атрибутов открываются после ввода на схему компонентов из библиотек Analog Primitives и Digital Primitives (компоненты из библиотек Analog Library и Digital Library занесены в них с набором необходимых атрибутов и поэтому вводить атрибуты заново при размещении их символов на схему нет необходимости — их можно только редактировать, открывая окна атрибутов двойным щелчком курсора по символу компонента); примеры диалоговых окон атрибутов показаны на рис. 2.2 и 2.10. Простейшие компоненты, такие как резистор, конденсатор и т. п. (рис. 2.2), имеют минимальный набор атрибутов, к которым относятся позиционное обозначение PART (например, R1, R2, RC, C1) и номинальное значение параметра VALUE (например, 2.2 к, 100 pF, 15 и). При этом следует иметь в виду, что если, например, при вводе резистора указано имя его модели R1, то при вводе следующего резистора программа предложит назначить ему имя R2 и т. д. По умолчанию программа МС7 назначает первый символ позиционного обозначения по правилам, принятым в программе PSpice [8] (табл. 2.11). Однако по желанию пользователя можно вручную изменять позиционные обозначения, например, обозначая транзисторы согласно ЕСКД вместо Q1, Q2 как VT1, VT2 и т. п. При этом транслятор схем в формате PSpice (по команде Translate/Schematic to SPICE Text File меню File) автоматически добавит перед таким именем префикс согласно табл. 2.1, например QVT1, QVT, что позволит провести моделирование в программе PSpice без дополнительного редактирования (хотя в последних версиях PSpice этого уже не требуется). Резисторы и источники постоянного напряжения имеют дополнительные атрибуты SLIDERJVIIN, SLIDERJVIAX, устанавливающие пределы изменения их номинальных значений 73
при выполнении анализа схемы по постоянному току в режиме Dynamic DC (они изменяются перемещением курсором движковых регуляторов, если в диалоговом окне Preferences на закладке Common Options установлен флаг Show Slider). Таблица 2.11 Префиксы имен компонентов Первый символ имени В С D Е F G Н I J К L М N О Q R S Т V W и X Z Тип компонента Арсенид-галлиевый полевой транзистор (GaAsFET) с каналом л-типа Конденсатор Диод Источник напряжения, управляемый напряжением (ИНУН) Источник тока, управляемый током (ИТУТ) Источник тока, управляемый напряжением (ИТУН) Источник напряжения, управляемый током (ИНУТ) Независимый источник тока Полевой транзистор с управляющим р—л-переходом (FET) Связанные индуктивности Индуктивность МОП-транзистор (MOSFET) Аналого-цифровой преобразователь на входе цифрового устройства Цифроаналоговый преобразователь на выходе цифрового устройства Биполярный транзистор Резистор Ключ, управляемый напряжением Линия передачи Независимый источник напряжения Ключ, управляемый током Цифровое устройство Макромодель Статически индуцированный биполярный транзистор (IGBT) 74 NPN Name IM0DEL Value Г Show [KT3102D Н Г" Show Change Display Г" Pin Markers Г" Pin Names Г" Pin Numbers W Current W Power F? Condition PART=Q1 VALUE= MODEL-KT3102D PACKAGE= C0ST = POWER- Ic vs Vce JC548B JC548C KT3101A KT3102A KT3102B KT3102BM zJ -1 _J I'HITTIWH on New Cancel Syntax... Font... Plot Add Expand... Help Gar Help... Source:Global library located at F:\MC7\LIBRARYMJB_RUS LIB IS (3.628F BF 288.6 NF 1 VAF 58 2 IKF .1893 ISE 33.28F :=i NE 1.634 BR 1 546 NR 1 VAR 0 1KB .6305 ISC 18 26F d 'Description: Mandatory model name Syntax: <name> Examples: IRF710, 2N2222A, OP-02 a) Большинство компонентов (за исключением простейших типа резистора, конденсатора, индуктивности, источника постоянного напряжения и т. п.) имеют атрибут имени модели MODEL (например, транзистор КТ312А, операционный усилитель UD1407). Цифровые компоненты характеризуются, кроме того, логическими выражениями, моделями вход/выход, таблицами имен входных сигналов и др. Количество атрибутов определяется типом компонента. Каждый атрибут имеет имя (Name) и значение (Value). Имена атрибутов обычно задаются при создании компонента (см. разд. 2.3), хотя это можно сделать и в процессе их редактирования. В списке атрибутов (см. рис. 2.2, 2.10) курсором выбирается нужный атрибут и на строках Name, Value вводятся/редактируются его имя (обычно не требуется) и значение. С помощью панелей управления Display задается видимость имени и значения атрибута на схеме. 75
Pulse Souice Name [model Value Г Show [PULST H W [Show Change Display f Pin Markers f Pin Names Г" Pin Numbers f? Current 17 Power P Condition MODEL=PULSE PACKAGE= C0ST= P0WER= VALUE- |Voltage vs Time JJ OK New Cancel Syntax... Font.. Plot Add Expand... Help Bar Help... Sourcelocal text area of F:\MC7\DATASCARL0 CIR VZERO 0 VONE 5 P2 11 ON P3 500N 3AWT00TH SQUARE TRIANGLE pi [iooFJ Р4[5Ш P5 1000N 6) Рис. 2.10. Выбор модели компонента: а — транзистора, б — импульсного сигнала На панели управления Display Pin Names задается видимость на схеме имен выводов компонента. Для некоторых компонентов высвечивание имен их выводов облегчает понимание схемы. Однако обычно эта панель находится в состоянии "выключено". Нажатие на кнопку Expand открывает окно для ввода текста большого объема. Обычно оно используется в случаях, когда значение атрибута занимает много места, например, табличное задание управляемых источников или описание цифрового сигнала. Для этого на строке Value вводится идентификатор переменой, а в окне Expand описывается оператор .DEFINE с описанием этой переменной. Нажатие на кнопку Edit открывает окно просмотра/редактирования параметров математической модели компонента (рис. 2.10,6). В окне, расположенном справа от окна атрибутов, приводится список моделей, находящихся в доступных библиотеках. Просмотрев этот список, из него выбирают подходящую модель данного компо- 76 нента. Заметим, что этот список выводится автоматически, когда компонент впервые размещается на схеме и его модель не выбрана (на строке MODEL после знака равенства ничего не указано). Каждому компоненту можно добавить ряд атрибутов, не оказывающих влияния на результаты моделирования, например, тип корпуса, допустимая рассеиваемая мощность. Для добавления нового атрибута нажимается кнопка Add, в результате на строке Name появится стандартное имя атрибута пользователя USER, строка Value заполняется самостоятельно. При необходимости имя этого атрибута также может быть изменено. С помощью кнопки Delete выбранный атрибут удаляется. Изменение шрифта атрибута, его размера и стиля выполняется после нажатия кнопки Font. Редактирование существующих атрибутов выполняется после двойного щелчка мышью при расположении курсора на выбранном атрибуте. В программе МС7 имеется несколько встроенных моделей источников сигналов Sin source, Pulse source: Sin source (гармонические сигналы) 1 MHZ — частота 1 МГц, амплитуда 1 В 3PHASEA, 3PHASEB, 3PHASEC — трехфазные сигналы 60HZ — частота 60 Гц, амплитуда 120 В GENERAL —частота 10 МГц, амплитуда 1 В Pulse source (импульсные сигналы) IMPULSE — дельта-функция PULSE — амплитуда 5 В, период 1 мкс SAWTOOTH — треугольный импульс SQUARE — импульс типа меандр TRIANGLE — равносторонний треугольный импульс Ввод и редактирование электрических цепей (проводников). Режим ввода проводников включается щелчком мыши по пиктограмме Ш, выбором команды Options>Mode/Wire или нажатием комбинации клавиш Ctrl+W. Начало проводника отмечается щелчком мыши на выводе компонента. Передвигая курсор, не отпуская левую клавишу мыши, наносят проводник на чертеж. Если курсор движется по горизонтали или вертикали, прокладывается прямолинейный проводник. Если же он движется по диагонали, образуется один изгиб под углом 90°. Отпускание клавиши фиксирует окончание линии. Ввод проводников под произвольным углом выполняется в режиме Options>Mode/WireD (включается также нажатием на пиктограмму Lbl) Приведем ряд конкретных сведений. 77
1. Если в диалоговом окне Preferences меню Options включена опция Node Snap, не обязательно точно позиционировать курсор на вывод компонента или точку проводника, нужно лишь подвести его достаточно близко (поместить в соседний узел сетки), а программа сама выполнит электрическое соединение. 2. Электрические соединения образуются, когда проводник заканчивается в средней части другого проводника, образуя Т- образную цепь. Наличие такого соединения обозначается точкой, как на обычных принципиальных электрических схемах (рис. 2.11 ,а). 3. Соединения образуются также, если проводник пересекает конечную точку другого проводника или вывод компонента (рис. 2.11,6). ~l 3JT а) в) в) Рис. 2.11. Т-образное соединение (а), соединение с выводом компонента (б), пересечение проводников без электрического соединения (е) 4. Если в процессе проведения проводника он пересекает другой проводник, не останавливаясь в точке пересечения, электрическое соединение не образуется и точка не проставляется (рис. 2.11,е). В старых версиях программы Micro-Cap для этого используются компоненты типа Jumper (перемычки). Ввод и редактирование текстовых надписей. На схему наносятся текстовые надписи двух типов. Во-первых, это атрибуты отдельных компонентов (см. выше). Во-вторых, это имена цепей и описания моделей компонентов и любые произвольные текстовые комментарии, называемые в оригинальной документации grid text. Нанесение текстовых надписей второго типа производится в режиме Options>Mode/Text, активизируемым также нажатием комбинации клавиш Ctrl+T или щелчком мыши по пиктограмме IX.. Курсор помещается в точку схемы, где должен начинаться текст и нажимается левая клавиша мыши. Текст заносится в открывающемся окне, завершение его ввода производится клавишей [Enter]. Для того, чтобы ввести фрагмент текста с новой строки, необходимо подвести курсор к нужному месту и нажать клавиши Ctrl+[Enter]. При вводе имен цепей необходимо курсор подвести к любой точке выбранной цепи. Присваивание цепям имен упрощает чи- 78 таемость схемы. Целесообразно присваивать имена наиболее характерным цепям схемы (вход, выход и т. п.). На них можно ссылаться при выводе результатов моделирования наравне с номерами узлов (цепей). Высвечивание имен цепей, как и остальных текстовых надписей, выполняется нажатием пиктограммы 1—11 (режим Options>View/Grid Text). Текстовые надписи переносятся со схемы в окно текста и обратно выбором текста и нажатием комбинации клавиш Ctrl+B. Переключение между окном текста и страницей схемы осуществляется щелчком по панелям Text, Page 1,. Page 2, ... Кроме того, текстовое описание математических моделей заносится в окно текста по команде Edit>Refresh Models или нажатием на пиктограмму 12 Для редактирования текстовой надписи нужно перейти в режим выбора нажатием пиктограммы LM и затем дважды щелкнуть мышью на выбранном тексте, в том числе и на атрибуте компонента, который затем выводится в диалоговом окне для просмотра и редактирования. В окне текста текст редактируется обычным образом. Нанесение на схему текстовых описаний моделей компонентов. При размещении на схеме компонентов, имеющих модели, их текстовые описания автоматически наносятся в текстовое окно (и сохраняются в файле схемы с расширением имени *.CIR). Модели выбираются при вводе типовых компонентов (например, резистора, транзистора типа n-p-п), размещенных в библиотеках Analog Primitives и Digital Primitives. При вводе компонентов из библиотек Analog Library и Digital Library, в которых находятся конкретные компоненты (например, транзистор КТ316А), описания их моделей переносятся в текстовое окно (или обновляются) по команде Refresh Models меню Edit _3_1. В результате в окно текста переносятся описания моделей всех компонентов схемы, которые их еще не имеют. Эти описания берутся из библиотек, загруженных в текущем сеансе (их имена перечислены в файле NOM.LIB или в отдельных директивах .LIB). Так на рис. 1.4 в окно текста перенесены описания моделей диода KD220A и источника гармонического сигнала SIN10K. Если модель компонента не найдена, помещается модель с параметрами, назначаемыми по умолчанию. Поэтому перед выполнением моделирования необходимо просмотреть в окне текста все перенесенные туда модели компонентов и при необходимости их отредактировать. Имена вновь созданных файлов библиотек нужно 79
указать в файле NOM.LIB или непосредственно в окне текста с помощью директивы .LIB. Замечание. Текстовые описания моделей, созданных вручную или перенесенных из программы PSpice, заносятся в библиотечные файлы с расширением имени .LIB или в файлы моделей отдельных компонентов с расширением .MOD. Модели компонентов, созданные с помощью программы Model, заносятся в бинарные файлы библиотек с расширением имени .LBR. Причем эта программа составляет модели только полупроводниковых приборов, операционных усилителей и магнитных сердечников. Модели же источников сигналов (гармонических и импульсных) составляются вручную. Созданные самостоятельно текстовые описания моделей источников следует помещать в отдельные текстовые файлы и включать ссылки на них в файл NOM.LIB. Ввод и редактирование графических объектов. По команде Mode/Line, Rectangle, Diamond, Ellipse, Arc, Pie, Polygon, Picture /u меню Options или нажатием на пиктограмму ivv-m на схему наносятся графические объекты и рисунки, не влияющие на результаты моделирования. Сначала в любой точке схемы производится щелчок мыши и в открывшемся списке выбирается тип графического объекта: Line — линия; Rectangle — прямоугольник; Diamond — ромб; Ellips — эллипс; Arc — дуга; Pie — сектор круга; Picture — рисунок. Затем обычным образом выполняется построение выбранной геометрической фигуры. После этого программа МС7 переходит в режим выбора (Select). Предусматривается возможность изменения размеров и формы всех объектов. Буксировка угловых точек изменяет размер объекта, буксировка промежуточных — изменение ширины или длины прямоугольной области, в которую вписан объект. Двойной щелчок на графическом объекте открывает диалоговое окно для его редактирования. Копирование фрагментов схем. Этот режим позволяет определить прямоугольную область, в которую заключен фрагмент схемы, и затем скопировать его несколько раз. Эта команда наиболее полезна при создании схем, содержащих большое количество повторяющихся структур, в частности, схем с программируемыми логическими интегральными схемами (ПЛИС, PLA). 80 Копирование выполняется в три этапа: 1) Сначала переходят в режим выбора нажатием на пиктограмму 2) Затем щелчком мышью в определенной точке начинают задавать область копирования, буксируя мышь до тех пор, пока образующийся прямоугольник не приобретет заданные размеры. После того как будет создана эта область, ее размеры могут быть при необходимости скорректированы буксировкой углов или сторон. Щелчком по пиктограмме ® (команда Box/Step Box меню Edit) открывают диалоговое окно задания параметров копирования (рис. 2.12). В графе Direction задается направление копирования: Horizontal — по горизонтали; Vertical — по вертикали; Both — в обоих направлениях. (ер Ьок ' Direction ! (* Horizontal | Г Vertical ! Г Both I 1 □ OK Г Copy test Times to step J1 Cancel Help. Рис. 2.12. Окно копирования На строке Copy text этого окна включается режим копирования схемы вместе с текстом. Количество копий задается на панели Times to step. Перемещение, вращение, зеркальное отображение и удаление объектов. Все эти операции начинаются нажатием на пиктограмму и выбором одного или нескольких объектов. Перемещение осуществляется их буксировкой в новое место расположения. Остальные операции выполняются выбором соответствующих команд меню Edit. □ (ко- Нанесение рамки чертежа.. Щелчком по пиктограмме манда View/Border меню Options) наносится рамка чертежа схемы. Формат рамки чертежа устанавливается в соответствии с 81
форматом бумаги, выбираемом в меню File по команде Print Setup. Например, для формата А4 210 х 297 мм рамка имеет размер 196 х 281 мм. В принципе, размер схемы может быть произвольным. Причем если размер схемы превышает габаритные размеры рамки, на схему наносится ряд примыкающих друг к другу рамок, и пользователь должен сам позаботиться, чтобы компоненты и цепи схемы не пересекались линиями рамки. Это неудобно, поэтому для создания больших схем целесообразно использовать несколько листов. Основная надпись чертежа схемы. Щелчком по пиктограмме LEJ (команда View/Title меню Options) на схему наносится основная надпись (угловой штамп). Заполнение граф основной надписи выполняется на закладке Title Block команды Change Properties (F10) IMJ меню Edit, в диалоговом меню которой (рис. 2.13,а) имеются пять полей Field 1 — Field 5. Текст в первом поле печатается крупным шрифтом высотой 4,5 мм, в остальных — мелким высотой 2 мм. По умолчанию в них помещаются ключевые слова, которые на схеме заменяются их значениями: Field 1 — имя схемы (заполняется вручную), Field 2=&user, &company — фамилия пользователя и название компании (вводятся регистрационные данные Windows), Field 3=&name — имя файла, Field 4=date — текущая дата, Field 5=Лист &sheet из $maxsheet — номер страницы и общее количество страниц. При необходимости на каждом поле можно ввести любую информацию как на английском, так и русском языке, не более 30 символов. Ширина основной надписи изменяется автоматически так, чтобы разместить всю информацию. Пример заполненной основной надписи приведен рис. 2.13, б. К сожалению, привести ее формат в соответствии с требованиями ЕСКД не представляется возможным. Получение информации о компонентах и их моделях. Нажатие на пиктограмму I. х 1 (команда Mode/Info меню Options, Ctrl+I) включает режим получения информации. Компонент, информацию о котором нужно получить, выбирается щелчком мыши. После этого на экран выводятся значения параметров модели компонента, описание макромодели, таблица цифровых сигналов или таблица описания функциональных источников в зависимости от типа компонента. Для простейших компонентов, не имеющих модели, выводится диалоговое окно редактирования атрибутов. 82 Properties Color/Font Field 1 Field 2 Field 3 Field 4 Field 5 |7 One OK Tit Title 1 e Block | Tool Bar | (Усилитель IПетров. Flodnik Software j$name |$date [Лист $page из $maxpage Cancel -- i □ Help . a) Усилитель Петров, Rodnik Software AMPLDET 14.05.2002 Лист 1 иг 2 6) Рис. 2.13. Диалоговое окно (а) и основная надпись принципиальной схемы Title Block (б) Текстовая информация, обнаруженная на схеме, высвечивается и показывается как часть схемы. Другая информация выводится с помощью программ Model или Text Editor. При выборе нанесенных на схему команд .INCLUDE и .LIB выводится содержание файлов, в которых они описаны. При затруднениях с назначением параметров моделей компо- *? нентов нажимается пиктограмма L2U (команда Mode/Help меню Options). В этом режиме щелчок на компоненте предоставляет информацию о синтаксисе его математической модели. Эту же информацию можно получить с помощью общих средств помощи Help, что гораздо сложнее. 83
Работа с большими схемами. Большие схемы располагаются на нескольких страницах, каждая из которых имеет собственную рамку и основную надпись. Добавление к схеме новой страницы выполняется по команде Add Page меню Edit. Все листы схемы, созданные по этой команде, приобретают порядковый номер и сохраняются в одном файле. Переход на другой лист выполняется с помощью линейки прокрутки листов схемы, рядом с которой указывается номер текущего листа (см. рис. 1.1). Удаление одной или нескольких страниц схемы выполняется по команде Delete Page меню Edit. Для просмотра разных фрагментов схемы используется несколько приемов: ■ прокрутка схемы по горизонтали или вертикали, Это наиболее постой и удобный метод, работающий, однако, довольно медленно; масштабирование с помощью пиктограмм увеличения или уменьшения Q 2±i I изображения схемы; панорамирование схемы нажатием комбинации клавиш СМ+<клавиша стрелки>. Изображение схемы перемещается в направлении выбранной стрелки <-, Т, ->, i. Кроме того, панорамирование производится нажатием и удерживанием правой кнопки мыши. Мышь приобретает форму ру- Q ки N-' , передвижение которой смещает схему относительно экрана; ■ центрирование схемы нажатие Shift и щелчок левой кнопки мыши — центрирование производится относительно текущего положения курсора. Если при нажатой клавише Shift щелкнуть правой кнопкой мыши, масштаб изображения переключится между 1:1 и 4:1 и схема центрируется относительно положения курсора; ■ листание страниц производится с помощью линейки прокрутки листов схемы. В этих же целях используются комбинации клавиш Ctrl+PgUp и Ctrl+PgDn. Сохранение схемы. По завершении создания схемы ее следует сохранить в файле. Нажатие на пиктограмму У I (команда Save меню File) сохраняет схему из активного окна, используя имя и путь, указанный на строке заголовка. Если схема еще не имеет имени, программа предлагает его ввести. По команде Save As меню File схема сохраняется в новом файле. 2.3. Редактирование компонентов Редактор компонентов загружается выбором в меню Windows команды Component Editor. С его помощью редактируется библиотека компонентов (Component library), содержащая абсолютно все компоненты, от резисторов до макромоделей. Для каждого компонента в библиотеку заносится информация о его имени, графике символа, математической модели, расположении позиционного обозначения и других текстовых атрибутов и данные о выводах. Библиотека разбита на группы однотипных компонентов. Список групп и входящих в них компонентов, помещен в расположенном справа окне. Щелчок на имени группы открывает/закрывает список имен ее компонентов. 2.3.1. Структура экрана редактора компонентов Component Editor На рис. 2.14 приведено изображение экрана Component Editor. На нем имеются следующие панели управления, графы и окна. Панели управления: □ New — создание нового файла библиотеки компонентов; j Open — загрузка файла библиотеки компонентов; I qpj I ELJ Merge — присоединение файла библиотеки компонентов формата МС4, МС5, МС6 к текущей библиотеке компонентов. Имена файлов внешних библиотек задаются в открывающемся диалоговом окне. Из внешних библиотек переносятся только компоненты с уникальными именами. Компоненты, имена которых совпадают с именами текущей библиотеки, в нее не переносятся. Если компонент внешней библиотеки использует имя символа, отсутствующее в библиотеке символов текущей библиотеки, этот символ копируется из внешней библиотеки символов в текущую с добавлением префикса $ к имени символа. Новые компоненты размещаются в специальную группу, например New Group; \£ Import Wizard — вызов Мастера создания макромодели на основании макромодели существующего компонента; Export to MC6 — создание компонента в формате МС6; Parts List — создание текстового файла перечня имен корпусов компонентов выбранной группы библиотеки для импорта в другие программы типа Excel; 84 85
"Л —> ffif i J * l|: !_j □. *_ -_ «_ С §, £ £ £ £ ,g 4 О О О О U 12. L !_ I_ l_ Lk о <Г а <л 86 Л в библиотеку; Parts Wizard — указание имени компонента, добавляемого Add Component — добавление в группу нового компонента; при этом в окне компонентов должно быть выбрано (высвечено) имя группы, за исключением имени группы самого верхнего уровня Component; + +i Add Group — добавление имени новой группы; при этом в окне компонентов должно быть выбрано имя любой группы; Сору— копирование текущего компонента в буфер обмена; Past — вставка компонента из буфера обмена на место, следующее за компонентом, указанным курсором; R| Replace with Template — замена имени выбранного объекта на типовое, замена символа последним, находящимся в буфере; X Delete — удаление выбранного компонента или группы; группа может быть удалена только в том случае, если она пустая; Move Parts — перемещение компонента из одной библиоте- У ки в другую; i A ■VS- Find Component — поиск компонента по имени и другим параметрам; Q Zoom In — увеличение размера символа компонента на эк- ране. При этом размер символа на схеме не изменяется; Zoom Out — уменьшение размера символа компонента на экране. При этом размер символа на схеме не изменяется; ы Info— вывод текстовой информации о выбранном объекте; Sort — сортировка в библиотеке компонентов или групп в алфавитном порядке; Clear Paletts — установка всех цветовых палитр по умолчанию; Help— вызов раздела Component Editor системы помощи. Поля текстовой информации: Name— имя компонента. Это то же самое имя, которое появляется в меню компонентов при нанесении компонента на схему. Имя компонента присваивается произвольно; 87
Shape — имя условного графического обозначения или символа компонента. Символы компонентов создаются с помощью специального графического редактора Shape Editor (вызывается по команде Shape Editor меню Windows). Имена символов выбираются из списка, открывающегося нажатием на значок -LI; Definition — имя математической модели компонента, выбираемое из списка моделей. Имена большинства моделей очевидны: Resistor — резистор, NPN — биполярный л-р-л-транзистор и т. д. Для типовых компонентов имена их моделей совпадают с именами символов (см. Приложение 1). Приведем здесь лишь описание некоторых терминов: Blank — вспомогательные символы, не участвующие в моделировании. Наносятся на схему для введения пояснений, например, Arrow — стрелка, Babblel — клемма. С помощью атрибута TEXT этого символа на схему дополнительно наносятся поясняющие надписи; Jumper (перемычка) — пересечение проводников без электрического соединения; Macro — макромодель, задаваемая схемой замещения. Имя макромодели должно совпадать с именем файла этой схемы (расширение имени .CIR); NULL — такой символ не может быть нанесен на схему; Short — короткое замыкание; Subckt — макромодель, задаваемая текстовым описанием с помощью директивы .SUBCKT, размещаемым на схеме или в файле математических библиотек (расширение имени .LIB или .LBR). При использовании библиотеки имя ее файла указывается с помощью атрибута FILE. Имя такого компонента должно совпадать с именем макромодели в директиве .SUBCKT. Опции: Palette — имя панели списка компонентов. Всего может быть создано 9 панелей от Palette 1 до Palette 9. Выбор None означает отказ от размещения данного компонента на какой-нибудь панели. Эти панели упрощают выбор компонентов при создании принципиальных схем (см. рис. 2.5). На каждой панели может быть помещено любое число количество компонентов. Панели открываются на схеме по команде Component Palette 1 — 9 меню Options или нажатием клавиш Ctrl+<HO/wep панели>. ModehComponent Name — эта довольно странно выглядящая опция присваивает имя компонента имени модели. Поэтому при размещении на схеме компонентов, для которых опция включена, отпадает надобность открывать окно атрибутов (см. рис. 2.2), что упрощает этот процесс. В библиотеке компонентов эта опция включена для всех компонентов из групп Analog Library и Digital Library. PART Attribute — отображение на схеме позиционного обозна- 88 чения компонента, задаваемого с помощью атрибута PART. При размещении на схеме таких компонентов позиционные обозначения будут им по-прежнему присваиваться автоматически, но не будут видны. При этом значение этой опции можно изменить в панели атрибутов индивидуально для каждого компонента и тем самым сделать видимыми их позиционные обозначения. VALUE Attribute — отображение на схеме атрибута VALUE, с помощью которого задаются параметры простых компонентов. Значение этой опции можно изменить в панели атрибутов индивидуально для каждого компонента и тем самым сделать видимыми значения их параметров. MODEL Attribute — отображение на схеме атрибута MODEL, с помощью которого задаются имена моделей компонентов. Значение этой опции можно изменить в панели атрибутов индивидуально для каждого компонента и тем самым сделать видимыми имена их моделей. PIN Text — вывод в окно атрибутов текущего компонента флага Display Pin Names, указывающего на необходимость вывода на схеме имен выводов компонента; Memo — поле для нанесения описания компонента (в целях документирования). Создание выводов компонента. В левой части окна редактора компонентов (рис. 2.14) изображается графика выбранного символа компонента, его выводы и их имена. Буксировка точки вывода или его имени позволяет независимо изменять их расположения. При создании типовых компонентов (резисторы, диоды и все другие компоненты, не относящиеся к макромоделям) после заполнения строки Definition в графическом окне появляются изображения точек выводов в с указанием их имен. Точки выводов первоначально расположены неправильно (так графика символа рисуется пользователем по своему усмотрению), поэтому они должны быть отбуксированы в правильные позиции. При создании/редактировании макромоделей (Definition=Macro или Subckt) двойной щелчок на точке вывода или его имени открывает диалоговое окно для ввода/редактирования имени вывода и дополнительного указания типа вывода: аналогового или цифрового (рис. 2.15). Поля дополнительной информации о выводах. Некоторые цифровые компоненты имеют различное число выводов, например, логический элемент И (AND) может иметь два, три и более входов. Поэтому предусмотрены дополнительные поля для информации о количестве входов (Inputs), выходов (Outputs), адресных входов (Adress), разрядов шины (Width) и др. 89
Pin Name Name PinC (* Analog С Digital Г Hidden pin OK Cancel Delete Help... Рис. 2.15. Окно ввода имени и типа вывода Расположение позиционного обозначения и других атрибутов. В средней части окна редактора компонентов изображено нормальное и повернутое на 90° изображение символа компонента с указанием места расположения позиционного обозначения и других атрибутов XX, YY. Значения этих атрибутов проставляются после размещения компонента на схеме. Места же их расположения относительно изображения символа буксируются с помощью мыши. Выбор компонента. В правой части окна редактора компонентов расположен иерархический список компонентов, разбитый на группы. Открытие/закрытие группы выполняется двойным щелчком мыши на ее имени. Выбор компонента в группе выполняется обычным щелчком мыши. Сохранение изменений. Работа с Component Editor завершается нажатием на кнопку \Ш; после этого в ответ на запрос Save Changes (Сохраните изменения) нажмите кнопку ОК. 2.3.2. Добавление в библиотеку компонентов Программа МС7 поставляется с достаточной обширной библиотекой компонентов, которых достаточно для первоначального знакомства с ней (библиотека профессиональной версии содержит около 8 тыс. компонентов). Однако с течением времени возникает необходимость ее пополнения, в первую очередь созданием библиотек отечественных компонентов и макромоделей используемых типовых устройств. Для создания новой группы в списке компонентов курсор подводится к имени группы предыдущего уровня иерархии и нажимается + + иконка Add Group I"" I Созданной группе автоматически присваивается имя New Group, которое затем может быть изменено в открывшемся в левой части экрана окне Group. Например, для создания в группе Analog Library библиотеки отечественных компонентов курсором выбираем имя этой группы, нажимаем на иконку 90 Add Group и в окне Group заменяем стандартное имя New Group на уникальное. Вновь образовавшаяся группа занимает последнее место в списке групп данного уровня иерархии. Для создания нового компонента выбирается имя группы, в которой он должен быть расположен и нажимается иконка Add Component I'т I. Образуется новый компонент с именем new_1, new_2 и т.д. После этого заполняются поля текстовой информации и включаются необходимые опции (см. выше). Каждому новому компоненту присваивается уникальное имя, указывается имя символа (после этого изображение символа в окне принимает необходимый вид), имя математической модели, начальное расположение позиционного обозначения и расположение имен выводов. Если компонент является макромоделью (Macro, Subckt), логическим выражением (Logic Expression), устройством задержки сигналов (Pin Delay) или устройством контроля (Constraints), необходимо дополнительно ввести выводы и присвоить им имена. Для этого щелчком мыши в окне символа отмечают расположение точки вывода и в открывшемся окне (рис. 2.9) указывают его имя и тип: Analog — аналоговый, Digital — цифровой (по умолчанию устанавливается тип Analog). 2.3.3. Добавление в библиотеку макромоделей В программе МС7 имеются макромодели двух типов. Макромодели типа Macro задаются схемой замещения, Subckt — текстовым описанием. Макромодель типа Macro. Схема замещения макромодели помещается в файл схем, имеющих расширение имени .CIR или .MAC. Имя макромодели должно совпадать с именем файла этой схемы. По команде Options>ModeЯext (вызывается также нажатием I .J ) присваиваются имена узлам схемы, которые должны быть выводами макромодели. Если необходимо передавать в макромодель численные значения параметров, в списке параметров атрибута VALUE вместо численных значений помещают имена параметров или же имена этих параметров декларируются в директиве .PARAMETERS. На рис. 2.16 приведено окно редактора компонентов при создании макромодели сумматора SUM, а на рис. 2.17 его схема замещения. Приведем пример заполнения текстовых полей на примере этого сумматора: Name=SUM — имя компонента (должно совпадать с именем файла схемы замещения); Shape=Sum — имя символа (задается произвольно в редакторе Shape Editor); 91
to Макромодель SUM типа MACRO Вызывается из других схем. Не может быть промоделирована автономно Напряжение на выходе равно взвешенной сумме входных напряжении. PINB i • PINA PINC KA*V(A)+KB*V(B) s т _ аЗ ,PARAMETERS(KA,KB) ш х ^ Рис. 2.17. Макромодель сумматора SUM, размещенная в файле SUM.CIR g Definition=Macro — тип макромодели. i Имена выводов PinA, PinB и PinC в схеме замещения (рис. 2.17) I, и символе макромодели (рис. 2.16) должны совпадать. ? Имя макромодели помещается в пределах той подгруппы, где 2 ее создали. 3 При размещении на схеме символа макромодели нужно указать к значения ее параметров. Так вызов сумматора SUM, в описании щ которого используются два параметра КА и KB (рис. 2.17), имеет | вид SUM(KA,KB), где вместо идентификаторов параметров КА и | KB нужно указать их значения. * Макромодель типа Subckt. Текстовое описание макромодели £ задается с помощью директивы .SUBCKT, размещаемой непосред- ™ ственно на схеме или, что более удобно, в текстовом файле мате- s матических библиотек (расширение имени .LIB или .LBR). Имя та- °" кого компонента должно совпадать с именем макромодели, что обеспечивается с помощью опции Model=Component Name. В качестве примера на рис. 2.14 приведено окно редактора компонентов при создании операционного усилителя (ОУ) фирмы Analog Devices, а на рис. 2.18 — текстовое описание его макромодели. Приведем пример заполнения текстовых полей на примере ОУ: Name= OP08_AD — имя компонента (должно совпадать с именем модели); Shape=Opamp7 — имя символа (задается произвольно в редакторе Shape Editor); Definition=Subckt — тип макромодели. Имена выводов символа ОУ 3, 2, 99, 50, 45, 15, 17 (рис. 2.18) 93
должны совпадать с именами соответствующих выводов в текстовом описании (рис. 2.14). Их назначение поясняется в начале этого описания. Директиву .SUBCKT с текстовым описанием макромодели можно ввести несколькими способами: 1) В окне текста; 2) В файле, имя которого указывается с помощью атрибута символа компонента FILE, например FILE= OP.LIB ; 3) В файле, имя которого непосредственно указывается с помощью директивы .LIB, например .LIB OP.LIB; В файле, ссылка на который (например .LIB OP.LIB) помещается в файл NOM.LIB, загружаемый в программу МС7 по умолчанию (этот способ наиболее удобен для отлаженных библиотек). * ОР27 SPICE Macro-model 12/90, Rev. В * JCB / PMI * Copyright 1990 lay Analog Devices, Inc. * * Node assignments * non-inverting input * I inverting input * I | positive supply * III negative supply * I I I I output I I I I I .SUBCKT OP27 1 2 99 50 39 * * INPUT STAGE POLE AT 80 MHZ R3 R4 CIN C2 11 IOS EOS Ql Q2 5 6 1 5 4 1 9 5 6 97 97 2 6 51 2 10 2 9 7 8 0.0619 0.0619 4E-12 16.07E-9 1 3.5E-9 POLY(l) 30 33 QX QX 10E-6 1 Рис. 2.18. Текстовое описание макромодели операционного усилителя ОР27 2.4. Редактирование графических символов компонентов Создание и редактирование символов или условных графических обозначений (УГО) компонентов принципиальных электрических схем осуществляется с помощью графического редактора, вызываемого в меню Windows по команде Shape Editor. 94 2.4.1. Структура экрана редактора графических символов Shape Editor После загрузки редактора Shape Editor на экране появляется окно редактирования, изображенное на рис. 2.19. На нем имеются следующие панели управления, графы и окна. Список символов. В средней левой части экрана приведен список графических символов, содержащихся в текущей библиотеке символов. Выбранный символ высвечивается и изображается в графическом окне. Кнопки команд (расположены в нижней части окна слева): Add— добавление в библиотеку нового символа, имя которого указывается по дополнительному запросу. Графика символа задается с помощью команд' меню инструментов и редактора графических объектов Object Editor (вызывается нажатием на кнопку Editor); Delete — удаление выбранного символа; Revert — восстановление первоначальной графики символа, которую он имел до вызова редактора Shape Editor. Восстановление первоначальной графики производится только для текущего выбранного символа. Изменения, произведенные при редактировании предыдущих символов, остаются в силе. Однако имеется возможность отменить все изменения, выполненных в текущем сеансе работы с редактором Shape Editor, прекращая с ним работу по команде Close без сохранения изменений (см. ниже); Editor — вызов редактора Object Editor, предназначенного для редактирования числовых параметров графических объектов (подробности в разд. 2.4.2). Таким образом производится уточнение координат характерных точек графики символа, созданного первоначально с помощью движений мыши. При этом нанесение на выводы компонентов условных значков (сигнал синхронизации, инверсный выход и др.) возможен только с помощью Object Editor (это свойство используется в основном при создании символов цифровых компонентов); Close — завершение работы с редактором символов и сохранение всех внесенных изменений в файле библиотеки; Help — вызов раздела Shape Editor системы помощи. Панель инструментов. Создание, редактирование и просмотр графики символов производятся с помощью команд, пиктограммы которых размещены в меню инструментов. Их перечень приведен в табл. 2.12. Обратим внимание, что при создании графики символов точки расположения их выводов в редакторе Shape Editor не указываются, это делается с помощью редактора компонентов Component Editor (см. разд. 2.3.1). 95
Таблица 2.12 Пиктограммы меню инструментов Команда D & У е? о А % ш X Й1|| И ^ New File Open File Save File As Remove File Undo (Ctrl+Z) Cut (Ctrl+X) Copy (Ctrl+C) Past (Ctrl+V) Clear (Del) Select All Select Mode (Выбор) Pan (Панорамирование) Назначение Создание нового файла библиотеки графических символов Загрузка файла библиотеки графических символов Сохранение библиотеки графических символов под новым именем Удаление из редактора файла библиотеки графических символов Отмена последней команды редактирования Удаление выбранного объекта и размещение его в буфере обмена Копирование выбранного объекта и размещение его в буфере обмена Вставка содержания буфера обмена в место, отмеченное курсора Удаление выбранного объекта без размещения его в буфере обмена Выбор всех графических элементов текущего символа Переход в режим выбора для последующего редактирования графических объектов, из которых состоят символы компонентов Переход в режим панорамирования для просмотра фрагментов больших схем. В этом режиме перемещение схемы производится буксировкой (щелчок, удерживание и перемещение) левой кнопки мыши. Во всех остальных режимах аналогичное действие производится буксировкой с помощью правой кнопки мыши. Панорамирование схемы производится также с помощью линеек прокрутки, а также нажатием клавиш Ctrl+ошавиша стрелки> 4—1361 97
□ о ш Q ш ы а ы Vv; Rectangle Mode (Прямоугольник) Diamond Mode (Ромб) Ellipse Mode (Эллипс) Arc Mode (Дуга) Block (Блок) Line Mode (Линия) Closed Polygon (Замкнутый полигон) Open Polygon (Открытый полигон) Included Shape (выбор типового УГО) Рисование прямоугольника Рисование ромба Рисование эллипса Рисование дуги Создание символа цифрового компонента в виде прямоугольника (блока). Щелчком мыши определяется лееый верхний угол его контура, буксировкой мыши задается положение противоположного угла. Выводы проставляются автоматически на левой и правой сторонах с шагом 0,2 дюйма=5 мм (два шага крупной сетки). Количество выводов зависит от высоты прямоугольного контура (в дальнейшем имеется возможность удалить лишние выводы). Выеоды проставляются на более длинных сторонах прямоугольника Рисование линии Рисование замкнутого полигона. После завершения рисования последней стороны полигона и нажатия Esc проводится линия, соединяющая первую и последнюю вершины Рисование не замкнутого полигона Переход в режим переноса графики выбранного символа в окно редактирования текущего символа. В этом режиме щелчком курсора в любой точке графического окна открывается список символов "Choose Root Shape". Выбор символа в этом списке переносит его на экран, привязываясь к указанной курсором точке. Далее его положение может быть скорректировано 98 1 т в |Т] -Г 1 □ ■ - • ■ ш & т Q Чй ■U т Text (Текст) Seven Segment (Семисегмент- ный индикатор) LED (Индикатор) Switch (Ключ) Current Arrow (Стрелка) Outline (Контур) Transparent Solid (Заливка) Grid (Сетка) Grid Snap (Привязка к сетке) Shrink (Уменьшение) Grow (Увеличение) Send to back (Вниз) Bring to front (Наверх) Next Object (Следующий объект) Ввод текста Размещение семисегментного светодиодного индикатора Размещение индикатора Размещение ключа, управляемого щелчком курсора Размещение стрелки, указывающей направление тока Щелчок мыши по фигуре, залитой краской, удаляет заливку, оставляя только линию контура Заливка выбранной замкнутой фигуры краской Нанесение координатной сетки на экран графического редактора символов. Шаг крупной сеткой составляет 0,1 дюй- ма=2,5 мм; мелкая сетка имеет в 4 раза более мелкий шаг Фиксация точек привязки всех графических объектов в узлах сетки Уменьшение масштаба изображения Увеличение масштаба изображения Щелчок мыши на выбранных перекрывающихся объектах перемещает верхний объект вниз Щелчок мыши на выбранных перекрывающихся объектах перемещает нижний объект наверх Переход к следующему из перекрывающихся объектов 99
f; V ct Э в Font (Шрифт) FlipX (Зеркально по X) Flip Y (Зеркально по Y) Rotate (Вращение) Mirror (Зеркальное отражение) Выбор шрифта (используются шрифты True Type, в том числе и кириллические) Зеркальное отображение относительно оси X, расположенной посредине выбранной области Зеркальное отображение относительно оси Y, расположенной посредине выбранной области Вращение выбранной области на угол 90° против часовой стрелки Создание зеркального отражения выбранной области по горизонтали или по вертикали. Направление отражения указывается по дополнительному запросу, где также указывается необходимость отражения текста Сохранение изменений. Работа с Shape Editor завершается нажатием на панель Close. После этого в ответ на запрос "Save Shape Editor Changes?" (Сохранить изменения?) нажмите кнопку ОК. 2.4.2. Редактор графических объектов Object Editor Большинство символов создаются в графическом редакторе с помощью мыши. В некоторых случаях удобно использовать редактор Object Editor, оперирующий с координатами характерных точек графических объектов (линий, прямоугольников, полигонов и т. п.). Редактор Object Editor упрощает создание полигонов, генерируя многоугольник с задаваемым числом вершин. Только с его помощью создаются символы цифровых компонентов. Для всех остальных символов с помощью Object Editor удобно в текстовом виде уточнять форму графических объектов. Координаты объектов указываются числом шагов мелкой сетки, один такой шаг равен 1/40 дюйма = 0,635 мм. Напомним, что шаг крупной сетки равен 1/10 дюйма = 2,54 мм. Каждый такой шаг разбит на 4 мелких. Координаты отсчитываются, начиная с верхнего левого угла графического окна. Object Editor вызывается по команде Edit редактора Shape Editor (рис. 2.19). Экран редактора Object Editor изображен на рис. 2.20. Оно состоит из пяти основных частей. 100 Object Editor □ о о а А^Ч В !> □ О J \ а т ■ 4 ■ OBJECTS Diode, root LINE POLY OPEN — Delete Close 2 3 4 ■©■ 4- i Help.. i X 56 72 56 J72 Y J48 48 104 J104 □ J , Рис. 2.20 . Экран редактора Object Editor Создание графических объектов производится с помощью команд, пиктограммы которых размещены на панели инструментов. Эти команды аналогичны командам основного окна редактора Shape Editor (см. табл. 2.12), за некоторым исключением. При создании элементарных фигур типа прямоугольник, ромб, эллипс, дуга и линия создаются типовые фигуры, вписываемые в прямоугольник с координатами (5,5) (20,20). Затем их координаты редактируются в поле параметров. При создании полигонов программа запрашивает количество вершин Point Count и затем строит типовой полигон, координаты вершин которого затем редактируются. При выборе команды Block создается прямоугольный контур цифрового компонента с одним входом и одним выходом, при этом увеличить число выводов нельзя. Поэтому цифровые компоненты с большим числом выводов создаются в основном окне Shape Editor и редактируются в Object Editor. При редактировании объекта типа Block он выбирается курсором в списке графических объектов и затем выбирается тип графики каждого вывода. Назначение пиктограмм выводов объясняется в табл. 2.13. Графика выводов, естественно, не влияет на функционирование компонента, но помогает восприятию схемы. Первоначально все выводы имеют типовую форму. Для ее изменения щелчком курсора по пиктограмме вывода открывается список их типов, в котором выбирается нужный. 101
Таблица 2.13. Назначение пиктограмм выводов Пиктограмма вывода а ш н щ в Назначение вывода Отсутствие вывода в данной точке (так удаляются лишние выводы) Вход синхронизации Логическая инверсия Инверсный вход синхронизации Типовой вывод, не имеющий функционального обозначения По завершении редактирования нажимается панель Close. 2.4.3. Библиотека символов Изменения, внесенные в графические символы, сохраняются и заносятся в библиотеку только после выхода из Shape Editor. Библиотека символов заносится в файл, который должен находиться в том же каталоге, что и программа МС7.ЕХЕ. 2.5. Редактор упаковки компонентов Package Editor Библиотека упаковки компонентов содержит информацию, необходимую для создания списка соединений схемы (Netlist file), передаваемого в программы разработки печатных плат ACCEL (P-CAD), OrCAD, PADS, Protel. Для каждого компонента, включаемого в список соединений, должна быть отдельная запись в редакторе Package Editor. При старте МС7 в Package Editor загружается стандартный файл библиотеки упаковки компонентов STANDARD.PKG, если в панели General на закладке Common Options диалогового окна команды Options>Preferences выбрана опция Package Library Load. Редактор Package Editor, вызываемый по команде Win- dows>Package Editor, содержит список компонентов; он имеет следующие командные кнопки (рис. 2.21). 102 Add — добавление базовой упаковочной информации к данным компонента, имя которого указывается в диалоговом окне Find Component; Add Complex — добавление дополнительной упаковочной информации к данным компонента, имя которого указывается в диалоговом окне Find Component; Duplicate — перенос упаковочной информации выбранного компонента компоненту, имя которого указывается в диалоговом окне Find Component; Delete — удаление выбранного элемента; Merge — объединение файла библиотеки упаковки (*.PKG) с файлом текущей библиотеки; Find — поиск компонента по его имени; Close — закрытие Package Editor и сохранение внесенных изменений (при получении дополнительного подтверждения) в файле STANDARD.PKG; Help — вызов раздела Package Editor системы помощи. Info — вывод информации о выбранном компоненте. В полях данных помещается следующая информация. Package — название корпуса компонентов (ССС, DIP, LCC, LLD, РСС, SIP, SO, TO и др.), оно должно совпадать с данными атрибутов PACKAGE компонентов; Component — имя компонента; Pin Cnt — количество выводов компонента; ACCEL — название корпуса компонента, заменяющее данные поля Package, когда составляется список соединений схемы в формате ACCEL (P-CAD); OrCAD — название корпуса компонента, заменяющее данные поля Package, когда составляется список соединений схемы в формате OrCAD; Protel — название корпуса компонента, заменяющее данные поля Package, когда составляется список соединений схемы в формате Protel. PADS — название корпуса компонента, заменяющее данные поля Package, когда составляется список соединений схемы в формате PADS. В поле Gate выбирается имя (номер) секции сложного компонента. В колонке Pin Name указываются/выбираются имена выводов выбранного компонента. Если выбрано имя вывода NC# (не подсоединяемый вывод), то содержание поля РСВ игнорируется; полях РСВ содержат номера выводов компонентов, передаваемые в файл списка соединений. 103
О u N < С» -* Q. CO — О. О L_ 0-СЛ cocococococococococorncocococococococo (N см OOll c^c^mm(-,-,-,- oo oo с о о о о с s2 СЛ О Q.OQ.U ■) Ш 00 Ш СГ> СП т- i Fi m m со со со ) о о о - о m со j о о о j о о о SS8SS QUO СЧ (N fSI ii ii i2 <c < < йайаи Л ) О UO > 1Й Ш CD JSSSS i- CM CO о i- см со "м" CM GQ.G i- i- CM CM CM CM о о о о о о DL -чГ 00 XI "Л "П a LJ 7] Я LJ Т| § LJ К | < LJ К ] СЕ Ш О к | и 1 > > >\ и > ► 1 < о СЕ < ш о ► 1 5 ш LJ ► ) < О к | < LJ Т] a LJ ► | Я LJ Ql iЕЕЕЕЕЕЕ "ПГП ш I m ГПГП MM < < ПН i- (N1 <Г> 2.6. Представления чисел, переменных и математических выражений При создании принципиальных схем используются числа, переменные и математические выражения следующего вида. Числа Числовые значения параметров компонентов представляются в виде: действительных чисел с фиксированным десятичным знаком (обратим внимание, что в качестве десятичного знака в программе МС7 используется точка). Например, сопротивление 2,5 кОм, записывается как 2500, а емкость 1 мкФ как 0.000001; действительных чисел с плавающим десятичным знаком — научная нотация. Например, емкость 1 мкФ может быть записана как 1Е-6; действительных чисел с плавающим десятичным знаком — инженерная нотация, согласно которой различные степени 10 обозначаются следующими суффиксами: F фемто Р пико N нано U микро М МИЛЛИ К кило MEG мега G гига Т тера 10" 10"' 10"у 10"ь 10'3 10J 10ь 10у 101 Для экономии места на осях X, У графиков результатов моделирования малая буква "т" обозначает 10"3, большая буква "М" — 10 (вместо MEGA). Во всех остальных случаях большие и малые буквы не различаются. Например, сопротивление 1,5 МОм может быть записано как 1.5MEG, 1.5meg или 1500К, емкость 1 мкФ как 1U или 1uF. В последнем примере показано, что для большей наглядности после стандартных суффиксов допускается помещать любые символы, которые при интерпретации чисел не будут приниматься во внимание. Пробелы между числом и буквенным суффиксом не допускаются! Переменные В программе МС7 ряд констант и переменных имеют стандартные значения: Т — время в секундах; 105
F — частота в герцах; Е — ЕХР(1)=2,718281828; S — комплексная переменная, используемая при анализе аналоговых устройств; GMIN — минимальная проводимость ветви, задаваемая в диалоговом окне Options>Global settings; PI — число 71=3.14159265389795; TEMP — температура компонентов в градусах Цельсия; VT — температурный потенциал р-п—перехода, равный 1,3806226-10'23х (273,15+ТЕМР)/(1,6021918-10'1Э); при ТЕМР=27 °С VT=25,86419mB; J — корень квадратный из -1; Tmin — начальный момент времени расчета переходных процессов; Ттах — конечный момент времени расчета переходных процессов; Fmin — начальная частота расчета частотных характеристик; Fmax — начальная частота расчета частотных характеристик; PGT — общая мощность, генерируемая в схеме; PST — общая мощность, запасаемая в схеме; PDT — общая рассеиваемая в схеме мощность; Z — комплексная переменная, используемая при анализе цифровых устройств.. Номера узлов, присваиваемые программой МС7 автоматически, представляют собой целые числа, например 0, 2, 25. Кроме того, пользователь по команде Options>Mode/Text может присвоить любому узлу имя в виде текстовой алфавитно-цифровой переменной, начинающейся с буквы или символа "_" и содержащей не более 50 символов, например А1, Out, Reset. В математических выражениях могут использоваться следующие переменные: D(A) V(A) V(A,B) V(D1) KD1) l(A,B) IR(Q1) VRS(Q1) Логическое состояние цифрового узла А Напряжения на узле А (напряжения измеряются относительно узла "земли", которой программа присваивает номер 0) Разность потенциалов между узлами А и В Напряжение между выводами устройства D1 Ток через устройство D1 Ток через ветвь между узлами А и В (между этими узлами должна быть включена единственная ветвь) Ток, втекающий в вывод R устройства Q1 Напряжение между выводами R и S устройства Q1 106 CRS(Q1) QRS(Q1) R(RD С(Х1) Q(X1) L(X1) X(L1) B(L1) H(L1) RND ONOISE INOISE PG(V1) PS(X1) PD(D1) Емкость между выводами R и S устройства Q1 Заряд емкости между выводами R и S устройства Q1 Сопротивление резистора R1 Емкость конденсатора или диода Х1 Заряд конденсатора или диода Х1 Индуктивность катушки индуктивности или сердечника Х1 Магнитный поток в катушке индуктивности или сердечнике Х1 Магнитная индукция сердечника L1 Напряженность магнитного поля в сердечнике L1 Случайное число с равномерным законом распределения на отрезке [0, 1] Корень квадратный из спектральной плотности выходного напряжения Корень квадратный из спектральной плотности входного напряжения, равный ONOISE/коэффициент передачи по мощности Мощность, генерируемая источником V1 Реактивная мощность, накапливаемая в устройстве Х1 Мощность, рассеиваемая в устройстве D1 В этом перечне символы А и В обозначают номера узлов схемы, D1 — имя компонента с двумя выводами или управляемого источника, Q1 — имя любого активного устройства или линии передачи. Символы R и S заменяются аббревиатурами выводов устройств согласно следующей таблице: Устройство МОП-транзистор (MOSFETs) Полевой транзистор (JFETs) Арсенид-галлиевый транзистор (GaAsFETs) Биполярный транзистор (BJT) Статически индуцированный биполярный транзистор (IGBT) Аббревиатуры выводов D, G, S, В D, G.S D, G,S В, Е, С, S С, G, Е Названия выводов Сток, затвор, исток, подложка Сток, затвор, исток Сток, затвор, исток База, эмиттер, коллектор, подложка Коллектор, затвор, эмиттер 107
Устройство Линия передачи (Tran. Line) Аббревиатуры выводов АР, AM, ВР, ВМ Названия выводов Вход+, вход-, выход+, выход- Например, следующие выражения означают: l(R1) — ток через резистор R1; R(Rload) — сопротивление резистора RIoad; IC(VT1) — ток коллектора биполярного транзистора VT1; VBE(Q1) — напряжение между базой и эмиттером биполярного транзистора Q1. Приведем список обозначений переменных типа напряжение, ток, емкость и заряд для всех компонентов: Компонент Резистор Конденсатор Индуктивность Диод Линия передачи Биполярный транзистор Биполярный транзистор с выводом подложки МОП- транзистор Напряжение V V V V VAP, VAM, VBP, VBM VBE, VBC, VEB, VEC, VCB, VCE VBE, VBC, VBS, VEB, VEC, VES, VCB, VCE, VBS VGS, VGD, VGB, VDS, VDG, VDS, VSG, VSD, VSB, VBG, VBD, VBS Ток I I I I IAP, IAM, IBP, IBM IB,IE,1С IB,IE,1С, IS IG, IS, ID, IB Емкость Нет С Нет С Нет СВЕ, СВС СВЕ, СВС, CCS CGS, CGD, CGB, CBD, CBS Заряд Нет Q Нет Q Нет QBE, QBC QBE, QBC, QCS QGS, QGD, QGB, QBD, QBS 108 Компонент Полевой транзистор Арсени- галлиевый транзистор Источники тока или напряжения Напряжение VGS, VGD, VSG, VSD, VDG, VDS VGS, VGD, VSG, VSD, VDG, VDS V Ток IG, IS, ID IG, IS, ID I Емкость CGS, CGD CGS, CGD Нет Заряд QGS, QGD QGS, QGD Нет После имени переменной в скобках указывается позиционное обозначение компонента. Например, напряжение затвор-исток МОП-транзистора М1 обозначается как VGS(M1). Приведем список обозначений переменных типа сопротивление, магнитный поток, магнитная индукция, напряженность магнитного поля: Компонент Резистор Индуктивность тивление R Нет Магнитный поток Нет X тивность Нет L Магнитная индукция Нет В Напряженность магнитного поля Нет Н Математические выражения и функции При описании математических выражений используются следующие обозначения: Символ х,У Z и, v S, S1 D1.D2 Обозначение Действительные величины, например V(10) при анализе переходных процессов Комплексная величина z = x+jy, например V(1) при анализе частотных характеристик Действительные сигналы при анализе переходных процессов, например Ц10) Спектры, рассчитываемые с помощью операций обработки сигналов Логические состояния цифровых узлов, например D(1), D(QB) В сложных текстовых переменных директивы .DEFINE и при указании переменных, выводимых на графиках при проведении 109
моделирования, возможно использование следующие математические операции Арифметические операции + — Сложение; - — Вычитание; * — Умножение; / —Деление; DIV — Целочисленное деление; MOD — Остаток целочисленного деления. Тригонометрические функции от действительных и комплексных величин (х — действительная, z — комплексная величина) Ехр(х) — экспонента; 1_п(х) — натуральный логарифм |х|; Log(x) или Log10(x) —десятичный логарифм |х|; Sin(x) — синус, х в радианах; Cos(x) — косинус, хв радианах; Тап(х) — тангенс, х в радианах; Asin(x) — арксинус; Acos(x) — арккосинус; Atn(x) или Arctan(x) — арктангенс; Atan2(y,x)= Atn(y/x); Sinh(z) — гиперболический синус; Cosh(z) — гиперболический косинус; Tanh(z) — гиперболический тангенс; Coth(z) — гиперболический котангенс. Прочие функции от действительных и комплексных величин ABS(y) — абсолютное значение у, SQRT(y) — корень квадратный из модуля у; SGN(y) — знак числа у, POW(y.x) — степенная функция комплексных величин /=е*пМ, обозначаемая как у*х; PWR(y,x) — действительная часть степенной функции уЛх; ** — степенная функция, например 5**2=25; PWRS(y,x) — действительная часть степенной функции уЛх; FACT(n) — факториал целого числа п; JN(n,z[,m]) — функция Бесселя n-го порядка первого рода комплексного аргумента z, полученная суммированием первых т членов ряда; по умолчанию т=10; 110 J0(z) — функция Бесселя нулевого порядка первого рода комплексного аргумента z, аналогичная JN(0,z,10); J1(z) — функция Бесселя первого порядка первого рода комплексного аргумента z, аналогичная JN(1,z,10); YN(n,4,m]) — функция Бесселя n-го порядка второго рода комплексного аргумента z, полученная суммированием первых т членов ряда; по умолчанию т=10; Y0(z) — функция Бесселя нулевого порядка второго рода комплексного аргумента z, аналогичная YN(0,z,10); Y1(z) — функция Бесселя нулевого порядка второго рода комплексного аргумента z, аналогичная YN(1,z,10); Series(n,n1,n2,z) — расчет текущей суммы ряда комплексной функции z=z(ri) при изменении п от п1 до л2; RND — случайные числа на отрезке [0, 1] с равномерным законом распределения; STP(x) — функция единичного скачка, равная 1 при х>0 и равная 0 при хОО; IMPULSE(y) — импульсная функция, равная у и площадь которой равна 1 ; ТАВ1_Е(х,х1,у1,х2,у2,...,Хп,Уп) —табличная зависимость функции у от х. Переменная х должна быть определена как параметр с помощью директивы .define Задаются координаты точек (х„ у/), в промежуточных точках используется линейная интерполяция. Если x<Xi, то у=уъ если х>хп, то у=уп; Waveform(u/vw_gbauna,y) — импорт функции у из файла имя файла, имеющего стандартный формат МС7; в этот файл пользователя (User source) могут быть записаны дискретизированные результаты моделирования, если на закладке Save Waveforms команды Properties (F10) выбрать из списка имя переменной и вести имя файла *.USR; \МРОИТ{имя_файла,у) — импорт функции у из файла. Текстовый файл должен иметь формат SPICE или МС5; в него помещается таблица значений переменных, в качестве которых может быть время (Т), частота (F), напряжение источника напряжений (\/(имя источника)), ток источника тока (\(имя источника)), и выражение Для у; SUM(y,x[,sfart]) — текущий интеграл от переменной у по переменной х; начальное значение х равно start, SD{y[,starf\) — текущий интеграл от переменной у по времени Т при анализе переходных процессов, по частоте F при АС-анализе или по переменной DCINPUT1 при DC-анализе; начальное значение независимой переменной равно start, DD(y) — производная у по времени Т при анализе переходных процессов, по частоте F при АС-анализе частотных характеристик 111
и по переменной DCINPUT1 при DC-анализе по постоянному току; RMS(y[,start]) — текущее среднеквадратичное отклонение переменной у при интегрировании по времени Т при анализе переходных процессов, по частоте F при АС-анализе частотных характеристик и по переменной DCINPUT1 при DC-анализе по постоянному току; начальное значение независимой переменной равно значению start, AWG(y[,starf\) — текущее среднее значение переменной у при интегрировании по времени Т при анализе переходных процессов, по частоте F при АС-анализе частотных характеристик; начальное значение независимой переменной равно значению start, DEL(y) — приращение процесса y(t) относительно предыдущей точки при расчете переходных процессов. Производная рассчитывается как отношение двух таких операторов, например производная dy/dt равна DEL(y)/DEL(f); SDT(y) — текущий интеграл процесса y(t) относительно времени Т, начиная от T=Tmin; DDT(y) — производная процесса y(t) относительно времени Т; D\FA{u,v[,d\) — сравнение значений двух функций и и v во всех дискретных точках при расчете переходных процессов. DIFA присваивается значение 1, если во всех точках абсолютное значение разности функций меньше величины d, в противном случае присваивается 0. Параметр d необязательный, по умолчанию полагается сМЗ; D\FD(u,v[,cl\) — сравнение значений двух логических и и v во всех дискретных точках при расчете переходных процессов. DIFA присваивается значение 1, если во всех точках значения функций отличаются друг от друга, в противном случае присваивается 0. В течение первых d секунд после начала расчета переходных процессов сравнение не проводится. Параметр d необязательный, по умолчанию полагается d = 0. Операции отношения и логические операции = — равно; > — больше; < — меньше; >= — больше или равно; <=— меньше или равно; <> или != — не равно; == — равно; AND — логическое И; NAND — отрицание логического И; NOT — отрицание; OR — логическое ИЛИ; 112 NOR — отрицание логического ИЛИ; XOR — исключающее ИЛИ; Примечание: логическим выражениям присваиваются значения 1, если они истинны, и 0, если они ложны. MIN(x,y) — минимальное значение величин х, у, МАХ(х,у) — максимальное значение величин х, у, LIMIT (u,x,y) — равно и, если х< и<у, равно х, если и< х, равно у, если и>у, IF(b,x,y) — функция равна х, если Ь истинно, в противном случае равна у. Функции от комплексных чисел DB(z) — величина в децибелах, равная 20*LOG(|z|); RE(z) — действительная часть z, IM(z) — мнимая часть z, MAG(z) — модуль z. При построении графиков допустимо просто указать z, PH(z) — фаза z в град.; GD(z) — групповое время запаздывания. Операции с логическими переменными HEX(A,B,C,D) — значение состояний цифровых узлов А, В, С, D в шестнадцатеричной системе; BIN(A,B,C,D) — значение состояний цифровых узлов А, В, С, D в двоичной системе; DEC(A,B,C,D) — значение состояний цифровых узлов А, В, С, D в десятичной системе; OCT(A,B,C,D) — значение состояний цифровых узлов А, В, С, D в восьмеричной системе; + — сумма двух двоичных, восьмеричных, шестнадцатеричных или десятичных чисел; разность двух двоичных, восьмеричных, шестнадцатеричных или десятичных чисел; DIV — целочисленное деление двух двоичных, восьмеричных, шестнадцатеричных или десятичных чисел; MOD — остаток после целочисленного деления двух двоичных, восьмеричных, шестнадцатеричных или десятичных чисел; & — операция поразрядного логического И состояний двух цифровых узлов; | — операция поразрядного логического ИЛИ состояний двух цифровых узлов; л — операция поразрядного логического исключающего ИЛИ состояний двух цифровых узлов. Операция поразрядного логического отрицания состояния цифрового узла 113
Операторы обработки сигналов при построении графиков HARM(u) — расчет гармоник сигнала и; THD(S[,FJ) — коэффициент нелинейных искажений спектра S, в процентах относительно уровня составляющей на частоте F; если частота F не указана, то относительно составляющей на частоте первой гармоники, равной 1/Ттах; IHD(S[,FJ) — коэффициент нелинейных искажений отдельных составляющих спектра S, в процентах относительно уровня составляющей на частоте F; если частота F не указана, то относительно составляющей на частоте первой гармоники, равной 1/Ттах; FFT(u) — прямое преобразование Фурье дискретных отсчетов сигнала u(f). Отличается от функции HARM множителем N/2 для гармоник с первой до N-й и множителем N для нулевой гармоники, где N — количество дискретных отсчетов входного сигнала u(t); IFT(S) — обратное преобразование Фурье спектра S; CONJ(S) — сопряженный комплексный спектр S; CS(S, S2) — взаимный спектр сигналов и и v, равный CONJ(FFT(S))*FFT(S2)*c/fc//; AS(S) — собственный спектр сигнала u(f), равный CS(S, S); CC(u,v) — взаимная корреляционная функция сигналов и и v, равная IFT(CONJ(FFT(y)*FFT(u))*ctt; АС(и) — автокорреляционная функция сигнала и, равная IFT(CONJ(FFT(u))*FFT(u))*cfl; COH(u,v) — нормированная корреляционная функция сигналов и и v, равная CC(u,v)/SQRT(AC(u(0))*AC(v(0))y, REAL(S) — действительная часть спектра S, рассчитанного с помощью FFT; IMAG(S) — мнимая часть спектра S, рассчитанного с помощью FFT; MAG(S) — модуль спектра S, рассчитанного с помощью FFT; PHASE(S) — фаза спектра S, рассчитанного с помощью FFT. Параметры моделей Параметры моделей компонентов можно вывести в текстовой форме или на графики, используя ссылки на них в виде: позиционное_обозначение_компонента.имя_параметра Приведем несколько примеров: Q1.BF — коэффициент усиления тока BF биполярного транзистора Q1; М1 .GAMMA — параметр GAMMA МОП-транзистора М1; 114 Л .VT0 — пороговое напряжение VT0 полевого транзистора Л. В связи с тем, что в процессе моделирования параметры моделей компонентов не изменяются, их графики представляют собой прямые линии. Тем не менее строить их имеет смысл при выполнении вариации параметров или статистических испытаниях по методу Монте-Карло, чтобы убедиться, что изменения параметров производятся в правильном диапазоне. Правила использования выражений и переменных 1. Все параметры компонентов могут быть функцией времени Т (при анализе переходных процессов), произвольных напряжений и токов, температуры TEMP, комплексных переменной s и z (при анализе частотных характеристик). Приведем примеры: 1.0/(1.0+.00Гэ) — передаточная функция фильтра низких частот, заданная с помощью преобразования Лапласа; exp(-T/.5)*sin(2*PI*10*T) — функциональный источник затухающего гармонического сигнала с частотой 10 Гц; 5.0 pF*(1+2e-6*T) — емкость конденсатора, зависящая от времени; 4.7 K*(1+.3*V(P,M)) — сопротивление резистора, зависящее от напряжения; 2.6 иН*(1+2*(ТЕМР-273)л2) — индуктивность, зависящая от температуры; V(VCC)*I(VCC) — мгновенная мощность источника напряжения VCC; SUM(V(VCC)*I(VCC),T) — энергия источника VCC на интервале времени Т; FFT(V(A)+V(B)) — преобразование Фурье от V(A)+V(B)); RMS(V(Out)) — текущее среднеквадратическое отклонение напряжения V(Out)); IM(V(7)) — мнимая часть комплексного напряжения в узле 7; MAG(VCE(Q1)*IC(Q1)) — модуль комплексной мощности, выделяемой на устройстве Q1 при анализе частотных характеристик; 5*(Т>10 ns AND T<20 ns) — одиночный импульс с амплитудой 5 В на интервале времени 10...20 не; 5*((Т mod 50)>10 AND (T mod 50)<20) — импульс с амплитудой 5 В на интервале времени от 10 с до 20 с, период 50 с. 2. Значения операторов отношения и булевых операторов равно 1.0, если они истинны, и 0.0, если они ложны. 3. Операторы AVG, DEL, RMS и SUM могут использоваться только при выводе данных и не могут использоваться в выражениях для параметров. 115
4. ONOISE и INOISE могут использоваться только при АС анализе и их нельзя использовать в выражениях в совокупности с другими величинами, например с напряжениями. 5. При вычислении преобразования Фурье FFT в режиме АС (при этом рассчитываются импульсные характеристики как функции времени Т) графики других переменных (напряжений, токов и т. п.) строятся неправильно. Поэтому их следует выводить на экран по отдельности в разных сеансах моделирования. 6. В АС анализе все промежуточные вычисления выполняются с комплексными величинами. Однако при построении графиков указание имени переменной означает построение графика ее модуля. Например, указание имени переменной V(1) эквивалентно использованию функции вычисления модуля комплексной величины MAG(V(1)). И более того, спецификация выражения V(1)*V(2) приведет к построению модуля произведения двух комплексных напряжений. Для вывода мнимой части произведения используется запись IM(V(1)*V(2)), действительной части — RE(V(1)*V(2)). 7. При моделировании в режимах АС и DC значение переменной Т (время) полагается равной нулю. При расчете переходных процессов и в режиме DC равной нулю полагается переменная F (частота). 8. В выражениях для преобразования Лапласа передаточных функций может использоваться только символ S для обозначения комплексной переменной. При отсутствии в выражении для такой передаточной функции символа S выдается сообщение об ошибке. Поэтому преобразования Лапласа нельзя использовать для задания линейных блоков с постоянным коэффициентом передачи — в этих целях используйте другие типы управляемых источников сигналов. 9. Комплексные величины можно использовать только в следующих функциях: +, -, *, /, sqrt, pow, In, log, exp, cosh, sinh, tanh, coth. В функциях другого типа комплексные величины заменяются их действительными частями, например, функция действительного переменного SIN при наличии комплексного аргумента С1 равна sin(C1)=sin(RE(C1)). 10. Перед выполнением моделирования или составлением списка электрических соединений программа МС7 вычисляет значения всех операторов .DEFINE. В связи с этим применение этих операторов внутри оператора .MODEL могут привести к ошибка. Пусть, например, имеются два оператора .define BF 111 .model Q1 NPN (BF=50 ...) В результате подстановки в оператор .MODEL определения 116 .define BF 111 он приобретет неожиданный совершенно ошибочный вид: .model Q1 NPN (111=50 ...) Поэтому применение определений .DEFINE в директиве .MODEL недопустимо! В этих целях можно использовать идентификатор промежуточной переменной. В рассматриваемом примере это может быть: .define VALUE 111 .model Q1 NPN (BF= VALUE ...) Тогда после подстановки оператор .MODEL приобретет правильный вид: .model Q1 NPN (BF=111 ...) 11. Помните, что выражения в операторах определения переменных .DEFINE понимаются буквально. Пусть, например, имеются два определения .define A 4+C .define В А*Х Следует иметь в виду, что выражение 4+С не подразумевается заключенным в скобки (4+С). Поэтому величина В равна 4+С*Х. Если же величина В должна быть равной (4+С)*Х, скобки нужно проставить в определении величины А: .define А (4+С) 2.7. Текстовые директивы Директивы программы МС7 представляют собой текстовые выражения, начинающиеся с точки ".". При графическом вводе схем они помещаются в окне текста или непосредственно в окне схем, при текстовом вводе в формате SPICE — в текстовом файле. В связи с этим МС7 имеет два набора директив: один для графического ввода схем, второй для текстовых файлов в формате SPICE. Приведем перечень всех директив программы МС7: Формат SPICE .АС .DC .END .ENDS .1С .LIB .MODEL .NODESET Схемный ввод .DEFINE .1С .ENDS .INCLUDE (.INC) .LIB .NODESET .MACRO .MODEL 117
Формат SPICE .NOISE .OP .OPTIONS (.OPT) .PLOT .PRINT .SUBCKT TEMP TRAN .INCLUDE (.INC) Схемный ввод .OPTIONS .PARAMETERS .SUBCKT (только в окне текста) Только в окне текста Только в окне текста Только в окне текста Только в окне текста Только в окне текста Только в окне текста Все директивы SPICE и большинство директив, помещаемых на схемах, совпадают с директивами известной программы PSpice [6], исключение составляют лишь директивы .DEFINE, .MACRO и .PARAMETERS. Приведем описания всех директив в алфавитном порядке с указанием областей их применения. .АС — расчет характеристик в частотной области Формат (только для файлов SPICE): .AC [[UN] | [DEC]] <n> <начальная частота> <конечная часто- та> Эта директива задает диапазон частот в пределах кначальная час- тота>... <конечная частота>. Параметр LIN устанавливает линейный шаг по частоте, при этом п - общее количество точек по частоте. Параметр DEC устанавливает логарифмический характер изменения частоты декадами. Параметр п определяет в таком случае количество точек по частоте на одной декаде. Расчет характеристик в частотной области производится после определения режима по постоянному току и линеаризации нелинейных компонентов (это делается автоматически, никаких дополнительных директив не требуется). К схеме должен быть подключен один или несколько источников сигналов, имеющих параметры, задающие комплексные амплитуды (и фазы) входных сигналов. К ним относятся источники сигналов типа SIN, PULSE и USER, имеющих фиксированную амплитуду в режиме АС, равную 1 В, и независимые источники напряжения V и тока I в формате SPICE, для которых амплитуда и фаза в режиме АС задается специально. .DC — многовариантный расчет режима по постоянному току Формат (только для файлов SPICE): 118 .DC <имя 1-й переменной> <начальное значение> <конечное значение> <приращение> + [<имя 2-й переменной> <начальное значение> <конечное зна- чение> <приращение>] Расчет режима по постоянному току производится при вариации одного или двух источников постоянного напряжения или тока. Если указаны спецификации двух варьируемых параметров, то первый параметр изменяется в заданных пределах для каждого значения второго параметра. Такой вложенный цикл удобен, в частности, для построения статических характеристик полупроводниковых приборов. Максимальное количество итераций при переходе к следующему варианту по умолчанию устанавливается равным достаточно малой величине ITL2=20. Поэтому в схемах, чувствительных к вариациям параметров, могут возникнуть проблемы со сходимостью. В этих случаях рекомендуется по директиве .OPTIONS увеличить значениеITL2. Приведем примеры .DC VIN 0.5 5.0 0.25 .DC VDS 0 10 .5 VGS 0 5 1 .DEFINE — присвоение значений идентификаторам переменных Формат (только для ввода схем): .DEFINE <текст1> <текст2> Выполняется замена простой текстовой переменной <текст1> сложной текстовой переменной <текст2>. Приведем примеры: .DEFINE R1 TEMP*.1*EXP(-T/tau) — текстовая переменная R1 (обозначающая сопротивление резистора) заменяется выражением для ее вычисления TEMPM*EXP(-T/tau); обратим внимание, что таким образом можно задать зависимость любого параметра схемы от времени, частоты и т. п., что реализуется в программе PSpice гораздо сложнее; .DEFINE Q1 КТ312А — позиционное обозначение транзистора Q1 при моделировании заменяется типом транзистора КТ312А; .DEFINE SQUAREWAVE + 0ns0 + LABEL=START + +10ns 1 + +10ns0 + +10ns GOTO START 10 TIMES — если при описании атрибутов цифрового сигнала STIM использовать переменную 119
SQUAREWAVE, в дальнейшем при моделировании она будет заменена текстовым описанием периодического сигнала. .END — конец задания на моделирование Формат (только для файлов SPICE): END Последняя директива в задании на моделирование. Если в одном файле имеются несколько заданий, в конце каждого из них должна быть включена эта директива. .ENDS — конец описания макромодели Формат (только для файлов SPICE): .ENDS [имя макромодели] Последняя директива описания макромодели. Необязательный параметр [имя макромодели] используется только для удобства чтения текстового файла. .1С — задание начальных условий Формат (для файлов SPICE или ввода схем): .1С <У(аналоговый узел)=аналоговое_значение>* <0<цифровой узел>=логическое_значение>* Примечание. Символ "*" означает повторение величин, заключенных в скобки. Задание начальных значений узловых потенциалов аналоговых узлов и логических значений цифровых узлов для расчета переходных процессов, режимов DC и АС. Значения этих потенциалов поддерживаются на заданном уровне при расчете режима по постоянному току с помощью подключения фиктивных источников напряжения. Далее при расчете переходных процессов эти источники отключаются. Если одновременно имеются директивы .1С и .NODESET, то начальные значения устанавливаются согласно директиве .1С, директива .NODESET игнорируется. Заметим, что использовать директиву .1С для задания напряжения на индуктивности или источнике переменного сигнала бессмысленно, так как при Т=0 они полагаются равными нулю. При использовании директивы .1С нужно иметь в виду следующее: 1. Если выполняется расчет переходных процессов при включенной опции Operating Point или расчет в режиме АС, то предварительно всегда выполняется расчет режима по постоянному току. При этом расчете фиксируются напряжения, установленные в ди- 120 рективе .1С; 2. Если расчет переходных процессов выполняется при выключенной опции Operating Point, то расчет режима по постоянному току не выполняется. Примеры: .1С V(4)=1.58 V(12)=0.31 .1С V(16)=-1 D(CLOCK)=X .INCLUDE — включение текстового файла Формат (для файлов SPICE или ввода схем): .INC[LUDE] <"имя_файла"> Копирование директив, помещенных в текстовом файле, в текущую схему перед выполнением моделирования. Имя файла может включать имя диска и полный путь к нему. Заключать имя файла в кавычки не обязательно. В основном используется для подключения файлов библиотек математических моделей небольшого размера. В связи с тем, что текстовые файлы включаются в описание схемы целиком, для подключения больших библиотек целесообразнее использовать директиву .LIB, подключающую только описания тех моделей, на которые в схеме есть ссылки. Пример: .INCLUDE D:\MC7\exdef.txt .LIB — подключение файлов библиотек компонентов Формат (для файлов SPICE или ввода схем): .LIB ["имя файла библиотеки"] В текстовом файле библиотеки с указанным именем содержится описание встроенных моделей одного или нескольких компонентов (параметры каждого компонента вводятся по директивам .MACRO, .MODEL или .SUBCKT/.ENDS). В этом же файле могут быть помещены комментарии и обращения к другим директивам .LIB. Применение директивы .LIB служит альтернативой и одновременно дополнением размещению описаний моделей непосредственно в окне текста схемы или в файле SPICE. Имя файла может включать имя диска и полный путь к нему. Заключать имя файла в кавычки не обязательно. Расширение имени файлам библиотек по умолчанию не назначаются. Поэтому расширение имени, например .LIB, должно быть указано в явном виде. В этом файле могут быть использованы только директивы .MACRO, .MODEL, .SUBCKT, .ENDS или .LIB. Другие директивы не допускаются. Строки, начинающиеся с символа "*", игнорируются целиком. Игнорируются также фрагменты строк после символа ";"■ При указании в задании на моделирование имени какого-либо 121
конкретного компонента, модель которого содержится в библиотечном файле, в ОЗУ загружается не весь файл, а только его часть, относящаяся к данному компоненту. Если имя файла не указано, то по умолчанию загружается файл NOM.LIB. Но в связи с тем, что этот файл просматривается всегда, то ввод директивы .LIB без указания имени файла имеет смысл применять для изменения порядка просмотра файлов библиотек (см. ниже). Наиболее часто ее применяют для указания имен файлов библиотек, отсутствующих в NOM.LIB или имеющих другой вариант модели какого-нибудь компонента. Приведем примеры: .LIB "KT315A.mod" — подключение файла описания модели транзистора КТ315А, находящегося в подкаталоге \MC7\DATA; .LIB "D:\MC7\DATA\RUS\d.lib" — подключение библиотеки диодов, находящейся на диске D в подкаталоге \MC7\DATA\RUS. В каталоге MC7\DATA\ должен находиться файл NOM.LIB, в котором перечислены директивы подключения всех используемых библиотек. Приведем пример этого файла, поставляемого вместе с МС7 и дополняемого пользователем: .lib "utility.lbr" .lib "diode.lbr" .lib "linear.lib" .lib "nation.lib" .lib "analog.lib" .lib "digio.lib" .lib "digOOO.lib" .LIB "D:\MC7\DATA\RUS\qrus.lib" .LIB "D:\MC7\DATA\ RUS\d.lib" .LIB "D:\MC7\DATA\ RUS\digit.lib" В случае, когда одна и та же модель имеет различные описания находящихся в разных файлов, необходимо учитывать, в каком порядке программа МС7 выполняет поиск моделей: • в окне текста; • в файле, имя которого указано в атрибуте FILE (если таковой имеется); • в файлах, перечисленных в директиве .LIB; • в файлах, перечисленных в файле NOM.LIB, просматриваемом по умолчанию .MACRO — задание определений макросов Формат (только для ввода схем): 122 .MACRO <имя макроса> <имя параметра схемы(список значений параметров)> Подобно директиве .DEFINE определяет имя макроса и набор значений параметров, подставляемых в схему. Используется для более компактной записи параметров моделей на схеме, где вместо длинного определения набора параметров записывается имя макроса. Пример: .MACRO MY_SCR SCR(50m,40m,1u,1,50,50Meg,30u,105,1) Здесь дано определение макроса MY_SCR, который в описании модели тиристора будет заменен списком параметров SCR(50m,40m,1u,1,50,50Meg,30u,105,1). .MODEL — описание модели компонента Формат (для файлов SPICE или ввода схем): .MODEL <имя модели> [АКО:<имя модели прототипа>] <имя типа модели> + {[[<имя параметра>=<значение>] [LOT=<раз6рос1>[%]] [DEV=<pa36poc2>[%]]]* + [Т_АВЭ>=<значение>] или [T_REL_GLOBAL=<3Ha4eHi/e>] или + [T_REL_LOCAL=<3Ha4ewue>]) Здесь <имя модели> — имя модели компонента схемы, назначаемое пользователем произвольно, например RLOAD, KT315V, D104. После ключевого слова АКО (A Kind Of) помещается ссылка на имя модели прототипа, что позволяет указывать только значения различающихся параметров. Тип компонента определяется <именем типа модели>, как указано в табл. 2.14. Таблица 2.14 Тип компонентов Имя типа модели Тип компонента Аналоговые компоненты RES САР CORE IND D GASFET Резистор Конденсатор Магнитный сердечник трансформатора ; Индуктивность Диод I Арсенид-галлиевый полевой транзистор с каналом л-типа 123
Имя типа модели IGBT NPN PNP LPNP NJF PJF NMOS PMOS TRN VSWITCH ISWITCH ОРА* PUL* SIN* Тип компонента Статически индуцированный биполярный транзистор Биполярный л—р—л-транзистор Биполярный р—л—р-транзистор Боковой биполярный р—л—р-транзистор Полевой транзистор с каналом л-типа Полевой транзистор с каналом р-типа МОП-транзистор с каналом л-типа МОП-транзистор с каналом р-типа Линия передачи Ключ, управляемый напряжением Ключ, управляемый током Операционный усилитель Источник импульсного сигнала Источник синусоидального сигнала 1 1 1 i Устройства интерфейса DINPUT DOUTPUT Аналого-цифровой интерфейс Цифроаналоговый интерфейс 1 1 Цифровые устройства UADC UDAC UIO UGATE UTGATE UBTG UEFF UGFF UDLY UPLD * Используются Аналого-цифровой преобразователь Цифроаналоговый преобразователь Модель входа/выхода цифрового устройства Стандартный вентиль Вентиль с тремя состояниями Двунаправленный переключающий вентиль Триггер с динамическим управлением Триггер с потенциальным управлением Цифровая линия задержки Программируемые логические матрицы только при графическом вводе схем В директиве .MODEL в круглых скобках указывается список значений параметров модели компонента (если этот список отсутствует или не полный, то недостающие значения параметров модели назначаются по умолчанию). Приведем примеры этой директивы: 124 .MODEL RLOAD RES (R=1.5 ТС1=0.2 ТС2=.005) .MODEL D104D(IS=1E-10) .MODEL KT315V NPN (IS=1E-11 BF=50 DEV=5% LOT=20%) .MODEL CK CAP (C=1 DEV=0.1) .MODEL KT315G AKO:KT315A NPN (BF=130) .MODEL M1 NMOS (Level=3 VT0=2.5 LOT=30% DEV=1 %) Каждый параметр может принимать случайные значения относительно своего номинального значения, задаваемых с помощью ключевых слов: DEV — ключевое слово параметров, принимающих независимые случайные значения; LOT — ключевое слово параметров, принимающих коррелированные случайные значения. После этих ключевых слов указывается значение разброса случайного параметра в абсолютных единицах или в процентах. Тип закона распределения случайных параметров — равномерный или нормальный — указывается при проведении моделирования. Температура, при которой измерены параметры компонентов, указывается по директиве .OPTIONS TNOM. В ее отсутствие она принимается равной значению параметра TNOM, устанавливаемому в окне Global Settings (по умолчанию 27° С). Анализ выполняется при температуре, называемой глобальной и устанавливаемой по директиве .TEMP (в ее отсутствие она равна значению параметра TNOM. Кроме того, можно установить физическую температуру каждого компонента, заменяя глобальную температуру с помощью одного из параметров: 1) значение абсолютной температуры устройства T_ABS; 2) относительную температуру T_REL_GLOBAL, так что абсолютная температура равна глобальной температуре плюс значение параметра T_REL_GLOBAL; 3) относительную температуру T_REL_LOCAL, так что абсолютная температура данного устройства равна абсолютной температуре устройства-прототипа (модель АСО) плюс значение параметра T_REL_LOCAL. .NODESET — задание начального приближения режима по постоянному току Формат (для файлов SPICE или ввода схем): .NODESET <У(аналоговый узел)=значение_ЭДС>* + <0(цифровой узел)=логическое_значение>* По директиве .NODESET установка приближенных начальных значений узловых потенциалов аналоговых узлов и логических состояний цифровых узлов для расчета режима схемы по постоян- 125
ному току. В отличие от директивы .1С состояния этих узлов фиксируются только во время вычислений одной итерации расчета режима по постоянному току. Это необходимо при расчете устройств с несколькими устойчивыми состояниями (например, триггеров) и полезно для улучшения сходимости при анализе режима по постоянному току сложных схем. Если имеются обе директивы .NODESET и .1С, то директива .NODESET игнорируется. Примеры: .NODESET V(12)=3.1 V(34)=1.7V .NODESET V(7) D(H1)=1 .NOISE — расчет уровня спектральной плотности шума Формат (для файлов SPICE): .NOISE У{<узел1>[,<узел2>\) <имя источника> [п] По директиве .NOISE выполняется расчет спектральной плотности уровня шума в режиме малого сигнала. Параметры этой директивы переносятся в соответствующее диалоговое окно Analysis Limits режима AC analysis. В этом окне пользователь должен в графах Y Expression указать имя переменной ONOISE или INOISE, выводимой на графики, а также задать диапазон частот анализа. Заметим, что одновременно со спектральными плотностями шума нельзя выводить на графики другие переменные. Например, нельзя одновременно построить графики ONOISE и V(Out). Источникам шума служат резисторы, ключи и полупроводниковые приборы, шумовые схемы замещения которых приведены в [6]. На каждой частоте f рассчитывается спектральная плотность выходного напряжения Su вых (/), В2/Гц, обусловленная наличием статистически независимых источников внутреннего шума. Точки съема выходного напряжения указываются по спецификации \/(<узел7>[,<узел2>]). К входным зажимам цепи подключается независимый источник напряжения или тока, имя которого (<имя источника>) указано в списке параметров директивы .NOISE. Этот источник не является источником реального сигнала, он служит лишь для обозначения входных зажимов цепи, к которым пересчитывается выходной шум. Если ко входу подключается источник напряжения, то на входе рассчитывается эквивалентная спектральная плотность напряжения SUBX3K (/), В2/Гц; если ко входу подключен источник тока, то рассчитывается эквивалентная спектральная плотность тока S;BX.3I<(/), А2/Гц. Уровень шума пересчитывается с выхода на вход делением спектральной плотности выходного напряжения SUBblx на квадрат модуля соответствующей передаточной функции. Заметим, что внутреннее сопротивление реального генератора сигнала Rr должно быть включено в описание цепи как отдельный резистор. 126 Если указан целочисленный параметр <п>, то на каждой n-й частоте в диапазоне анализа будет рассчитываться не только спектральная плотность суммарного шума, но и вклад в нее каждого шумового источника. Если параметр <п> не указан, то этот расчет не производится. Приведем примеры .NOISE V(5) Vln .NOISE V(101)VSRC 20 .NOISE V(4, 5) ISRC .OP — вывод результатов расчета режима по постоянному току Формат (для файлов SPICE): .OP В программе PSpice эта команда указывает на необходимость вывода в выходной текстовый файл результатов расчета режима по постоянному току. В связи с тем, что в программе МС7 эти данные всегда выводятся в окне Numeric Output и помещаются в файлы <имя схемы>.ТЫО, < имя схемы>.АЫО, эта директива не нужна. .OPTIONS — задание системных параметров Формат (для файлов SPICE или ввода схем): .OPTIONS [имя опции]* [<имя опции> = <значение>]* Директива изменяет для рассматриваемой схемы значения системных параметров, задаваемых в окне Global Setting (см. табл. 2.8). Опции перечисляются в любом порядке. Они подразделяются на два вида: 1) опции, имеющие численное значение; 2) опции, не имеющие численного значения (их можно назвать флагами, находящимися в положении "включено" или "выключено"). Например: .OPTIONS GMIN=1 e-9 RELTOL=1 e-4 VNTOL=1 n .OPTIONS NOOUTMSG .PARAMETERS — задание параметров схем Формат (для ввода схем): .PARAMETERS(<um« параметра 1>[,<имя параметра>]*) Задание параметров и констант, передаваемых в макромодель. Эта директива помещается на схеме макромодели в виде текста и определяет значения параметров, которые должны передаваться из основной схемы. В основной схеме все упоминаемые в этой ди- 127
рективе параметры должны быть определены с помощью директивы .DEFINE. Примеры: .parameters(GBW,Slew,ISC) .PARAMETERS(Gain) .PLOT — вывод графиков Формат (для файлов SPICE): .PLOT <тип анализа> <выходная переменная>* + [{<нижняя граница>,<верхняя граница>)]* Результаты в виде графиков выводятся в окне Analysis Plot. Параметр <тип анализа> может принимать значения AC, DC, NOISE или TRAN; <выходная переменная> может быть узловым потенциалом, током источника сигнала или состоянием цифрового узла. Примеры: .PLOT AC V(10) V(1,2) (0,10) .PLOT TRAN V(1) D(2) .PRINT — вывод таблиц Формат (для файлов SPICE): .PRINT <тип анализа> квыходная переменная>* Задание вывода таблиц результатов анализа окне Numeric Output. Параметр <тип анализа> может принимать значения АС, DC, NOISE или TRAN. квыходная переменная> может быть узловым потенциалом, током источника сигнала или состоянием цифрового узла. Содержание окна Numeric Output сохраняется в одном из следующих файлов: <имя схемы>.ТЫО — анализ переходных процессов; < имя схемы >.ANO — режим АС; < имя схемы >.DNO — режим DC. Формат данных в таблицах определяется в поле FMT окна Numeric Output, количество знаков в строке — параметром WIDTH. Параметр FMT определяет количество значащих цифр после десятичной точки, например FMT=5.3 — 3 знака после десятичной точки. Он связан с параметром NUMDGT, задаваемого с помощью директивы .OPTIONS (см. табл. 2.8) соотношением FMT=1.(NUMDGT-1). Примеры: .PRINT AC V(1) V(1,2) .PRINT TRAN V(1)D(3) .SUBCKT — описание макромодели Формат (для файлов SPICE или ввода в текстовом окне схем): 128 .SUBCKT <имя макромодели> <список узлов> + [OPTIONAL^/зел интерфейса>=<значение по умолчанию»*] + [PARAMS:«imw параметра>=<значение по умолчанию»*] + [ТЕХТ:«17/ия текстовой переменной>=<текст по умолчанию»*] {описание компонентов} .ENDS [имя макромодели] Отдельные фрагменты цепи или схемы замещения компонентов имеет смысл оформлять в виде макромоделей. Описание макромодели начинается директивой .SUBCKT и заканчивается директивой .ENDS. Между ними помещаются описания компонентов, входящих в состав макромодели. Ключевое слово OPTIONAL используется для спецификации одного или более необязательных узлов макромодели — указываются имя узла и его значение по умолчанию. Если при вызове макромодели эти узлы не указываются, используются их значения по умолчанию, что удобно для задания источников питания цифровых устройств. После ключевого слова PARAMS приводится список параметров, значения которых передаются из основной цепи в макромодель. После ключевого слова TEXT — текстовая переменная, передаваемая из описания основной цепи в описание макромодели (используется только при моделировании цифровых устройств). При использовании графического ввода схем символ макромодели включается в нужное место схемы, как обычный компонент. Включение макромодели в нужное место цепи при использовании текстового ввода осуществляется предложением Хххх ксписок узлов> <имя макромодели> + [PARAMS:«waot параметра>= оначение»*] + [ТЕХТ:«имя текста>=<текст»*] Приведем пример текстового ввода схемы, содержащей макромодель BLOCK: Х1 8 22 BLOCK .SUBCKT BLOCK 1 2 R1 1 01k R2 1 2 2k .ENDS BLOCK TEMP — вариация температуры Формат (для файлов SPICE): TEMP <температура>* 5—1361 129
Здесь указывается список значений температуры (по шкале Цельсия), для которых следует выполнить все указанные в задании директивы анализа характеристик. Если указано несколько значений температуры, то все виды анализа проводятся для каждой температуры. Если директива TEMP не приведена, а в директиве .OPTIONS не указано значение температуры TNOM, то расчеты проводятся для номинальной температуры 27 °С. .TRAN — анализ переходных процессов Формат (для файлов SPICE): TRAN <шаг вывода данных> <конечное время> + [<начальный момент времени вывода данных> + [<максимальный шаг>]] [UIC] Переходные процессы всегда рассчитываются с момента t = 0 до момента <конечное время>. Перед началом расчета переходных процессов рассчитывается режим по постоянному току. Шаг интегрирования выбирается автоматически. Результаты вычислений выводятся в виде таблиц или графиков с интервалом времени, задаваемым параметром <шагвыводаданных> (применяется квадратичная интерполяция между дискретными отсчетами). Если задан параметр <начальный момент времени вывода данных>, то вывод результатов расчетов подавляется на интервале времени от /=0 до указанного значения. Максимальное значение шага интегрирования устанавливается параметром <максимальный шаг>; если он не указан, то максимальный шаг интегрирования устанавливается равным <конечное время>/50. Режим по постоянному току определяет начальные условия для расчета переходных процессов. Это связано с тем, что значения источников сигналов в момент t=0 могут отличаться от их постоянных составляющих. Если в конце директивы TRAN указать параметр UIC (Use Initial Conditions), то расчет режима по постоянному току отменяется. При этом начальные значения напряжений на емкостях и токов через индуктивности указываются в опциях вида 1С= ..., включенных в описания конденсаторов и индуктивностей, а начальные значения узловых потенциалов указываются в директиве .1С. При моделировании смешанных аналого-цифровых цепей шаги интегрирования в аналоговых и цифровых устройствах выбираются разными независимо друг от друга. Шаг интегрирования цифровой части определяется значениями задержек в цифровых компонентах. Его минимальное значение определяется параметром DIGFREQ, задаваемым в окне Global Setting (см. табл. 2.8), оно равно 1/DIGFREQ. По умолчанию DIGFREQ=10 ГГц, но не более 1015/TSTOP. Задержками меньше половины шага интегрирования пренебрегают. 130 При отсутствии сходимости расчета переходного процесса рекомендуется в окне Global Setting увеличить максимальное количество итераций на одном временном шаге ITL4 (по умолчанию ITL4=10). Допустимая относительная ошибка расчета токов и напряжений задается опцией RELTOL (по умолчанию 1СГ3), а абсолютные ошибки токов, зарядов и напряжений — опциями ABSTOL (по умолчанию 1СГ12 A), CHGTOL (по умолчанию 10~14 Кл), VNTOL (по умолчанию Ю-6 В). Однако нельзя устанавливать абсолютные ошибки чрезмерно малыми. В частности, при анализе сильноточных или высоковольтных цепей задание абсолютных ошибок по умолчанию может привести к потере точности вычислений из-за ограниченности разрядной сетки ЭВМ. Приведем примеры: TRAN 5ms 500ms TRAN 5ms 500ms 100ms 2.5ms UIC Замечания. 1. В версиях старше Design Center 6.2 вместо UIC используется ключевое слово SKIPBP (Skip Bias Point). 2. Параметры директивы TRAN переносятся в окно Transient Analysis Limits программы МС7 и при их редактировании может быть задано не нулевое начальное время моделирования (см. разд. 3.1). 131
Глава 3 ВЫПОЛНЕНИЕ МОДЕЛИРОВАНИЯ После того, как нарисована принципиальная схема или создано ее текстовое описание, переходят к расчету характеристик, выбирая в меню Analysis (см. рис. 1.1) один из видов анализа: Transient, Alt+1 — расчет переходных процессов; AC, Alt+2 — расчет частотных характеристик; DC, Alt+3 — расчет передаточных функций по постоянному току (при вариации постоянной составляющей одного или двух источников сигналов, вариации температуры или параметров моделей компонентов); Dynamic DC, Alt+4 — расчет режима по постоянному току и динамическое отображение на схеме узловых потенциалов, токов ветвей и рассеиваемой мощности; Transfer Function, Alt+5 — расчет малосигнальных передаточных функций в режиме по постоянному току; Sensitivity, Alt+6 — расчет чувствительности режима по постоянному току. 3.1. Анализ переходных процессов (Transient Analysis) После перехода в режим анализа переходных процессов программа МС7 проверяет правильность составления схемы. При наличии ошибок выводится информационное сообщение, пример которого показан на рис. 3.1. QEiidi 10844 И &% Missing model statement 'KD220A'. ^^ Part: D1 File: F:\MC6\DATA\AMPLDET CIR OK Рис. З.1. Сообщение об отсутствии модели диода KD220A 132 При отсутствии ошибок в схеме программа составляет ее топологическое описание, выполняет подготовку к численному расчету переходных процессов и открывает окно задания параметров моделирования Transient Analysis Limits. 3.1.1. Задание параметров моделирования Transient Analysis Limits В окне задания параметров расчета переходных процессов, показанном на рис. 3.2 и открывающимся нажатием клавиши F9 или пиктограммы имеются следующие разделы. tt Transient Analysis Limits Run | Add | Delete | Типе RangR Bm Maximum Tme Step J0 005m Number of Points |20 Tempefature |List ^J |27 Pj X Expression iniBintiih I? !EUint№ I- ЮЕ1И№' |т Defines the expression for the Y- Expand... | Stepping... | Properties... j Hdp- I |*П] JFFTM1.5]] jltDl] axis Click the Run Options S_tate Variable, |7 Operating Г" Operating I? Auto Seal Y Expression | Normal 5 IZero Expand... Vanables ► Constant ► Operators ► Waveforms > right mouse "3 LJP » Cala&s ► Bessel > Series ► Miscellaneous ► Boolean ► rranscendental ► button for a variable menu. ^^^■xl DB RE IMG M GD Рис. З.2. Задание параметров расчета переходных процессов Команды: Run — начало моделирования (щелчок на пиктограмме в строке инструментов или нажатие F2 также начинает моделирование). Моделирование может быть остановлено в любой момент нажатием на пиктограмму LMJ или клавишу Esc. Последовательные прерывают и затем продолжают мо- ш нажатия на пиктограмму делирование; Add— добавление еще одной строки спецификации вывода результатов после строки, отмеченной курсором. На этой строке устанавливается способ отображения результатов и аналитические выражения для построения графиков. При наличии большого количества строк, не умещающихся на экране, появляется линейка прокрутки; Delete — удаление строки спецификации вывода результатов, отмеченной курсором; 133
Expand — открытие дополнительного окна для ввода текста большого размера при расположении курсора в одной из граф, содержащих выражения, например Y Expression; Stepping — открытие диалогового окна задания вариации параметров (см. разд. 3.4 и рис. 3.13); Properties — открытие диалогового окна, имеющего 5 или 6 закладок: Plot— управление выводом графиков на экран и на принтер, Scales and Formats — выбор масштабов по осям координат, Colors. Fonts and Lines — выбор цвета объектов, параметров шрифта и типа линий, Header — нанесение заголовков в выходных числовых данных, Save Curves выбор одной или нескольких переменных для сохранения в файлах User Source (рис. 3.3), только в режимеиТгапз1еп1, Tool Bar— нанесение пиктограмм команд на панель инструментов); Help— вызов раздела Transient Analysis системы помощи. Properties Q Plot j Scales and Foimats ] Cote. Fonts, and Lines ] Header Save Cinves hool Bat j I Save Cmve v(6] As (New Name] |v(6|vsT In File Browse... | |F:\MC7\DA№AMPLDET.USR Save I Delete [ OK j Отмена | Применить I Справка Рис. 3.3. Диалоговое окно Properties (закладка Save Curves) Числовые параметры: Time Range — спецификация конечного и начального времени расчета переходных процессов по формату Ттах[, Tmin]; no умолчанию назначается Tmin=Q (отрицательные значения моментов времени недопустимы). Например, спецификация "1.2ms,0.4ms" задает интервал моделирования от 0,4 до 1,2 мс; Maximum Time Step — максимальный шаг интегрирования. Расчет переходных процессов ведется с переменных шагом, выбираемым автоматически, величина которого определяется допустимой относительной ошибкой RELTOL. Максимальная величина ша- 134 га интегрирования равна заданному значению. Если этот шаг не задан (или задан равным нулю), то максимальный шаг интегрирования полагается равным (Ттах—Tmin)/5Q; Number of Points— количество точек, выводимых в таблицы, т. е. количество строк в таблице вывода результатов по умолчанию принимается равным 51, минимальное значение 6. Если заданные моменты времени не совпадают со значениями, при которых проводился численный расчет, то производится интерполяции Интервал вывода данных равен (Tmax—Tmin)/(<Number of Points>—'\). ш Эта опция доступна, если нажатием на одну из пиктограмм выбран вывод переменных в текстовый выходной файл (Numeric Output). Temperature — диапазон изменения температуры в градусах Цельсия; при выборе параметра Linear имеет формат High[,Low[,Step]]; если параметр Step (шаг) опущен, то выполняется анализ при двух значениях температуры Low (минимальной) и High (максимальной), если опущены оба параметра Lowv\ Step, то расчет проводится при единственной температуре, равной High; при выборе параметра List указывается список температур, разделяемых запятыми. При изменении температуры изменяются параметры компонентов, имеющие ненулевые температурные коэффициенты ТС, а также ряд параметров полупроводниковых приборов. Значение установленной здесь температуры может использоваться в различных выражениях, она обозначается как переменная TEMP. Вывод результатов моделирования: Ниже раздела "Числовые параметры" и слева от раздела "Выражения" расположена группа пиктограмм. Нажатие каждой пиктограммы определяет характер вывода данных, задаваемых в той же строке. Имеются следующие возможности: X Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси X. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным; Y Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси У. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным; Color — вызов меню для выбора одного из 16 цветов для окрашивания графиков. Кнопка окрашивается в выбранный цвет; 135
SJ Numeric Output— при нажатии этой кнопки в текстовый выходной файл заносится таблица отсчетов функции, заданной в графе Y Expression. Запись производится в файл и<имя схе- /иь/>.TNO". Таблица просматривается в окне Numeric Output (открывается нажатием клавиши F5). Количество отсчетов функции (число строк в таблице) задается параметром Number of Points в разделе Числовые параметры; Plot Group — в графе Р числом от 1 до 9 указывается номер графического окна, в котором должна быть построена данная функция. Все функции, помеченные одним и тем же номером, выводятся в одном окне. Если это поле пусто, график функции не строится. Выражения: X Expression — имя переменной, откладываемой по оси X. Обычно при анализе переходных процессов по этой оси откладывается время (переменная Т), однако это не всегда так. Так при расчете спектра сигнала с помощью преобразования Фурье (FFT) по оси X откладывается частота (переменная F, как показано на рис. 3.2), а при расчете петли гистерезиса ферромагнетика — напряженность магнитного поля, например Н(К1); Y Expression — математическое выражение для переменной, откладываемой по оси Y. Это может быть простая переменная типа напряжения в узле V(5), падения напряжения на двухполюсном компоненте V(L1), тока ветви 1(2,3), 1(1.1) или математическое выражение, например V(VCC)*I(VCC) (см. разд. 2.5). После щелчка правой копки мыши при расположении курсора в любой графе У Expression открывается показанное на рис. 3.2 всплывающее меню, позволяющее выбрать из предлагаемых списков переменные и константы, размещаемые в этих графах; X Range — максимальное и минимальное значение переменной Хна графике по формату High[,Low]. Если минимальное значение Low равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. В этом случае сначала выполняется моделирование, в процессе которого графики строятся в стандартном масштабе и затем автоматически перестраиваются; Y Range— максимальное и минимальное значение переменной Y на графике; если минимальное значение равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. Опции: Run Options— управление выдачей результатов расчетов: 136 Normal — результаты расчетов не сохраняются, Save — сохранение результатов расчетов в бинарном файле <имя схемы>.TSA, Retrieve — считывание последних результатов расчета из фай- па<имя схемы>.ТЭА, созданного ранее. При этом производится построение графиков и таблиц переходных процессов, как после обычного расчета. State Variables — установка начальных условий: Zero — установка нулевых начальных условий для потенциалов всех аналоговых узлов и токов через индуктивности и неопределенных логических состояний "X" для цифровых узлов, Read — чтение начальных условий из бинарного файла <имя схемы>.ТОР, созданного с помощью State Variables Editor, перед каждым вариантом расчета при изменении температуры или другого параметра, Leave — установка в качестве начальных условий значений, полученных при окончании расчета предыдущего варианта. При расчете первого варианта они полагаются нулевыми. Если в предыдущем варианте рассчитывался только режим по постоянному току, то в качестве начальных значений будут приняты параметры этого режима. Operation Point — выполнение расчета режима по постоянному току перед началом каждого расчета переходных процессов. Данные этого режима заменяют значения всех начальных условий, если они были установлены; Operation Point Only — расчет только режима по постоянному току (расчет переходных процессов не производится); Auto Scale Ranges — присвоение признака автоматического масштабирования "Auto" по осям X, /для каждого нового варианта расчетов. Если эта опция выключена, то принимаются во внимание масштабы, указанные в графах X Range, У Range. Щелчок курсором по одной их панелей Р, X Expression ... Y Range открывает всплывающие окна, пример которых приведен на рис. 3.2. Еще одна кнопка на панели инструментов LztJ открывает режим анимации (Animate); 3.1.2. Использование клавиши Р После выполнения команды Run начинается моделирование, и в процессе получения результатов на экран выводятся их графики. После нажатия клавиши Р в нижней части графического окна справа от обозначения каждой переменной выводятся их текущие чис- 137
ленные значения (рис. 3.4). Этот способ удобен для контроля за моделированием медленно протекающих процессов, диапазон изменения которых заранее не известен (так что текущие результаты могут быть не видны на экране). Однако моделирование при этом значительно замедляется, поэтому после просмотра наиболее интересного фрагмента данных следует выключить этот режим повторным нажатием клавиши Р. AMPLDET CIR Temperature = 27 Case= 1 ■10 00' — 0 00m 1 00m 2 00m Vf11 7 337 v(l,5) 7 037 T 0 00m KDf) 1 00m 0 000 —■— - -—». ■ i 2.00m T .—.. •»*w „„^_ 3.00m 2 950m Рис. 3.4. Нажатие клавиши Р выводит на экран текущие численные значения всех переменных 3.1.3. Меню режимов расчета переходных процессов Transient После перехода в режим расчета переходных процессов меняется состав меню команд (см. рис. 1.6). Появляется новый режим Transient, имеющий следующие команды. Run (F2) — выполнение моделирования; Limits (F9) — задание пределов моделирования и построе- ния графиков (см. разд. 3.1.1); Stepping (F11) — вариация параметров (см. разд. 3.4); Optimize (Ctrl+F11) — параметрическая оптимизация; Analysis Window (F4) — открытие графического окна ре- I 138 зультатов моделирования; Watch (Ctrl+W) — одновременный просмотр схемы, результатов моделирования и текстовых выражений в трех окнах; Breackpoint (Alt+F9) — остановка моделирования в заданной точке; 3D Windows (трехмерная графика): Add 3D Window — добавление окна трехмерной графики (см. рис. 1.13), Delete 3D Window — удаление окна трехмерной графики; Performance Windows (параметрическая зависимость [8]): +L- Add Performance Window — добавление окна параметрической зависимости, l£ Delete Performance Window — удаление окна параметрической зависимости; № Thumb Nail Plot — размещение графика текущего окна малого размера в верхнем правом углу экрана; Numeric Output (F5) — вывод на экран численных результатов в табличной форме (см. разд. 3.1.5); v4 State Variables Editor (F12) — вызов редактора значений переменных состояния (см. разд. 3.1.4); DSP Parameters — открытие диалогового окна DSP (Digital Signal Processing — цифровая обработка сигналов), в котором задаются границы интервала времени для расчета спектральных плотностей (Upper Time Limit, Lower Time Limit) и количество отсчетов Number of Points (должно быть в точности равно степени числа 2 в интервале от 64 до 8192), рис. 3.5. Заданные значения принимаются во внимание, если в графе Status нажать кнопку Оп. Эти данные необходимы для того, чтобы при расчете спектров периодических процессов выделить на участке установившегося режима интервал времени, равный периоду первой гармоники; Reduce Data Points — открытие диалогового окна сокращения объема информации, выводимой на графиках и сохраненной в файлах, рис. 3.6; Exit Analysis (F3) — завершение режима анализа и возвращение в окно схем. 139
DSP Control Parameters Upper Time Limit Lower Time Limit Number of Points OK 1300ns j285ns J1024 Cancel Status - ^ On С Off Help... □ Рис. З.5. Окно задания интервала времени для спектрального анализа Data Point Reduction Save every J 2nd Zl data point. Display every fist jj data point. -When — С Now *•" RunTime OK | Cancel j Help.. Рис. З.6. Окно сокращения объема выводимой информации 3.1.4. Задание начальных значений и редактирование переменных состояния Состояние электрической схемы полностью описывается потенциалами ее аналоговых узлов, токами через индуктивности и логическими состояниями цифровых узлов. Перед началом расчета переходных процессов их значения должны быть определены. В программе МС7 для этого используются следующие возможности. Перед первым расчетом переходных процессов какой-нибудь схемы, если не используется редактор State Variables Editor, все переменные состояния полагаются равными нулю, а состоянию цифровых узлов присваивается состояние неопределенности "Х\ При последующих расчетах той же схемы, выполняемых нажа- 140 тием клавиши F2 или кнопки Run, начальные условия устанавливаются в соответствии со значениями параметра State Variables, установленного в окне Analysis Limits. Здесь имеются три варианта: Zero — установка нулевых начальных условий для потенциалов всех аналоговых узлов и токов через индуктивности. Цифровым узлам присваиваются неопределенные логические состояния "X", а выходным состояниям триггеров Q, QB присваиваются значения "О", "1" или "X" в соответствии со значением глобального параметра DIGINITSTATE, устанавливаемым в окне Global Setting; Read — чтение начальных условий из бинарного дискового файла <имя схемы>.ТОР, созданного ранее с помощью State Variables Editor, перед каждым вариантом расчета при изменении температуры или другого параметра, Leave — программа МС7 ничего не делает с начальными условиями, она оставляет их без изменений. Здесь возможны три варианта: первый расчет — если не используется редактор State Variables Editor, все переменные состояния полагаются равными нулю, последующие расчеты — установка в качестве начальных условий значений, полученных при окончании расчета переходного процесса в предыдущем варианте; редактирование — если переменные состояния редактировались с помощью State Variables Editor, то их значения принимаются в качестве начальных условий. Используя эти начальные условия, производится расчет режима по постоянному току при включении источников питания (если включена опция Operation Point, см. рис. 3.2), начальные условия переопределяются и затем рассчитываются переходные процессы при включении источников переменных сигналов. Если же опция Operation Point выключена, то переходные процессы рассчитываются с первоначально заданными начальными условиями. При включении опции Operation Point Only переменные состояния принимают значения, определенные в режиме по постоянному току и отображаются в окне State Variables Editor. Окно State Variables Editor. Редактор значений переменных состояния, вызываемый нажатием пиктограммы Liii, имеет меню, показанное на рис. 3.7. В трех колонках располагаются значения узловых потенциалов Node Voltages, токов через катушки индук- тивностей Inductor Currents и логическими состояниями цифровых узлов Node Levels. Узловые потенциалы помечаются именами узлов, а в их отсутствие номерами узлов, токи через катушки индук- тивностей — их позиционными обозначениями, логические состоя- 141
'i State Varfc Node Voltag 1 2 3 4 5 6 $G_DGND $G_DPWR Close ibles Editor |Н1#Ы|!ЯШ |+2.44400е+000 j+1.74535e+000 |+5 00000e+000 j+3.39586e-001 ]-3.7Э150е-00Э j+0.00000e+000 |*5 00000e+000 Clear Inductor Currents L1 |+6 66089e-003 Read | Write | Print | Node Levels 7 8 Э 5$AT0D ic 1 F F F F F F F F Help.. □ 1 Рис. З.7. Редактор переменных состояния State Variables Editor ния цифровых узлов (если они имеются в схеме) — именами или номерами узлов. В начальный момент времени эти переменные полагаются равными нулю или состоянию неопределенности "X". После окончания моделирования в этом окне приведены конечные значения переменных состояния. Обычно не требуется редактировать эти значения. Однако это можно сделать, подводя курсор мыши в нужную строку или нажимая [Tab] для перевода курсора в следующую строку. В нижней части экрана расположены кнопки команд: Close — закрытие диалогового окна; Clear — присвоение нулевых значений всем переменным состояния; Read — чтение переменных состояния из файла <имя схе- мы>.ТОР (создается по команде Write); Write — немедленная запись значений переменных состояний в бинарный дисковый файл <имя схемы>.ТОР; Print —запись значений переменных состояний в текстовый файл <имя cxe/wb/>.SVV, содержание которого немедленно выводится в текстовое окно; .1С — запись существующих переменных состояния в виде директивы .1С и запись ее в текстовом окне; Help — вызов раздела State Variables Editor системы помощи. 3.1.5. Вывод численных данных Результаты расчета схемы по постоянному току и таблицы отсчетов переменных, отмеченных нажатием кнопок Wl в соответст- 142 вующих строках окна Transient Analysis Limits, заносятся в текстовый файл <имя схе/иь/xTNO. Просмотр его содержания выполняется нажатием на пиктограмму или по команде Numeric Output (F5) в меню Transient, как иллюстрируется на рис. 3.8. Time Range Maximum Time Step Number of Points Temperature Run Options Operating Point ID 959 VD 7 67 P.EQ 33 CAP 252 Power Terms: PD 352 PS 0 Pin Currents: Id 95 9 Condition: State Transi 2m 0. 0 Li Ho On Dl 53u 2Brr. 69 15p 42u 00 53m ON ent Anal-sis 005m St rm 27 al State of AMPLDET Variables Operating Point Only Temperature DC Operating X1S.D1 -377.3 If -3 67.2 3m 973.50G 4.28p 133.60f 0. 00 -377.31f OFF Dl = 27 Case= 1 Point Values odes XlS. D2 24.55p 201. 67m 1. 0 6G 5. 60p 4. 95p 0.00 24.55p 3FF Zero Off X1S.D3 24.55p 201. 67m 1. 0 6G 5 . 6 0 p 4.95p 0.00 24.55p OFF Рис. З.8. Просмотр файла результатов моделирования В начале файла результатов моделирования, как и для программы PSpice [8], помещаются значения режима по постоянному току (узловые потенциалы, токи через индуктивности и логические состояния цифровых узлов, состояния полупроводниковых р—л-переходов). Далее приведены параметры линейных моделей диодов и транзисторов, рассчитанных в режиме по постоянному току. В заключение — таблица дискретных отсчетов выходных переменных, графики которых изображены в окне Analysis Plot (на рис. 3.6 не показаны). Количество строк в этой таблице равно значению параметра Number of Points в меню Transient Analysis Limits. 3.2. Расчет частотных характеристик (AC Analysis) В режиме АС сначала рассчитывается режим схемы по постоянному току, затем линеаризуются все нелинейные компоненты (пассивные компоненты с нелинейными параметрами, диоды, транзисторы, нелинейные управляемые источники) и выполняется расчет комплексных амплитуд узловых потенциалов и токов ветвей. При линеаризации цифровые компоненты заменяются их 143
входными и выходными комплексными сопротивлениями, передача сигналов через них не рассматривается. Ко входу схемы должен быть подключен источник синусоидального SIN или импульсного сигнала PULSE или сигнала USER, форма которого задается пользователем. При расчете частотных характеристик комплексная амплитуда этого сигнала автоматически полагается равной 1 В, начальная фаза нулевая (независимо от того, как заданы значения параметров модели сигнала), а частота меняется в пределах, задаваемых в меню AC Analysis Limits. Возможно также подключение независимых источников напряжения V или тока I в формате SPICE, для которых задаются значения амплитуды и фазы. Если имеется один источник сигнала, то выходные напряжения будут совпадать с частотными характеристиками устройства. Если же источников сигнала несколько, то отклики от каждого сигнала будут складываться как комплексные величины. После перехода в режим анализа частотных характеристик программа МС7 проверяет правильность составления схемы. При отсутствии ошибок в схеме программа составляет ее топологическое описание, выполняет подготовку к численному решению системы линейных алгебраических уравнений и открывает окно задания параметров моделирования AC Analysis Limits. 3.2.1. Задание параметров моделирования AC Analysis Limits В окне задания параметров расчета переходных процессов, показанном на рис. 3.9, имеются следующие разделы. Команды: Run — начало моделирование. Щелчок на пиктограмме в строке инструментов или нажатие F2 также начинает моделиро вание. Моделирование может быть остановлено в любой момент нажатием на пиктограмму 15J или клавишу Esc. Последовательные нажатия на пиктограмму LLU прерывают и затем продолжают моделирование; Add—добавление еще одной строки спецификации вывода результатов после строки, отмеченной курсором. На этой строке устанавливается способ отображения результатов и аналитические выражения для построения графиков. При наличии большого количества строк, не умещающихся на экране, появляется линейка прокрутки; Delete — удаление строки спецификации вывода результатов, отмеченной курсором; 144 НАС Anatoli* Limits Run | Add Frequency Range Number of Points Temperature J List »| Maximum Changed Noise Input Noise Output P |Е131ИИ|«||Г ilhi UBiHiar ILII '-ЯИИФЦГ ЫВОНЫГ I 115000,5000 |51 1 |N0NE |2 X Expression 1 lF 1 |T 1 -| |v[5] Stepping .. I Properties. Help 1 |db(v[2)J |V(4| |FFT[v(2)) Run Options | Normal State Variables |Zero Frequency Step |LineaF W Auto Scale Ranges Y Expression , ■ X Range 1 115000,5000.20 1 |1,1e-10 Y Range | 1 (1.5.-6.1.5 I 150,0,10 Рис. З.9. Задание параметров расчета в режиме АС Expand — открытие дополнительного окна для ввода текста большого размера при расположении курсора в одной из граф, содержащих выражения, например Y Expression; Stepping — открытие диалогового окна задания вариации параметров; Properties — открытие диалогового окна задания параметров (см. разд. 3.1.1); Help— вызов раздела AC Analysis системы помощи. Числовые параметры: Frequency Range — спецификация конечной и начальной частоты по формату Fmax,Fmin. Отрицательные значения частоты не допускаются. Если значение Fmin не указано, то расчет не производится; Number of Points — количество точек по частоте (Nf), в которых производится расчет частотных характеристик. Минимальное значение равно 5. В связи с тем, что в режиме АС не производится интерполяции, то в таблицы и на графики выводятся все данные, полученные при расчете. Значения частот, на которых производится расчет характеристик, зависит от параметров, установленных в разделе "Опции": Auto, Linear, Log. В режиме Auto параметр Number of Points во внимание не принимается и количество точек определяется величиной Maximum Change. Если принят линейный шаг (Linear), то шаг приращения частоты равен Fk + 1 - Fk= (Fmax - Fmm)/{Nf- 1). Если принят логарифмический масштаб (Log), то отношение со- 145
седних частотных точек равно Fk+ MFk= (Fmax/Fmin)1/(/W- 1). Temperature — диапазон изменения температуры в градусах Цельсия. При выборе параметра Linear имеет формат High[,Low[,Step]]; если при этом параметр Step (шаг) опущен, то выполняется анализ при двух значениях температуры Low (минимальной) и High (максимальной), если опущены оба параметра Low и Step, то расчет проводится при единственной температуре, равной High. При выборе параметра List указывается список температур, разделяемых запятыми. При изменении температуры изменяются параметры компонентов, имеющие ненулевые температурные коэффициенты ТС, а также ряд параметров полупроводниковых приборов. Значение установленной здесь температуры может использоваться в различных выражениях, она обозначается как переменная TEMP. Maximum Change,% — максимально допустимое приращение графика первой функции на интервале шага по частоте (в процентах от полной шкалы). Принимается во внимание только при выборе опции Auto. Если график функции изменяется быстрее, то шаг приращения частоты автоматически уменьшается; Noise Input— имя источника сигнала, подключенного к входным зажимам цепи. При указании переменной INOISE в графе Y expression выводится график квадратного корня спектральной плотности напряжения или тока внутренних шумов цепи, пересчитанной к этим зажимам. Если в качестве источника входного сигнала включается источник напряжения, то на вход пересчитывается спектральная плотность напряжения, а если источник тока, то спектральная плотность тока; Noise Output — номера узлов выходных зажимов цепи, в которых вычисляется спектральная плотность напряжения выходного шума цепи. Формат: узел1[,узел2\. Вывод результатов моделирования: Ниже раздела "Числовые параметры" и слева от раздела "Выражения" расположена группа пиктограмм. Нажатие каждой пиктограммы определяет характер вывода данных, задаваемых в той же строке. Имеются следующие возможности: X Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси X. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным; Y Log/Linear Scale — переключение между логарифми- 146 ческой и линейной шкалой по оси Y. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным] Шт\ Color— вызов меню для выбора одного из 16 цветов для окрашивания графиков. Кнопка окрашивается в выбранный цвет; ШУ Numeric Output— при нажатии этой кнопки в текстовый выходной файл заносится таблица отсчетов функции, заданной в графе Y Expression. Запись производится в файл "<имя схе- мы>.Аг\Ю". Таблица просматривается в окне Numeric Output (открывается нажатием клавиши F5). Количество отсчетов функции (число строк в таблице) задается параметром Number of Points в разделе Числовые параметры; £Ьз| Rectungular — вывод графиков в прямоугольной (декарто- вой)-оистеме координат Polar — вывод графиков в полярной системе координат; Smith chart plot — вывод графиков на круговой диаграмме (диаграмме Смита); Plot Group — в графе Р числами от 1 до 9 указывается номер графического окна, в котором должна быть построена данная функция. Все функции, помеченные одним и тем же номером, выводятся в одном окне. Если это поле пусто, график функции не строится. Выражения: X Expression — имя переменной, откладываемой по оси X. Обычно при анализе переходных процессов по этой оси откладывается частота (переменная F), однако это не обязательно. Так при расчете импульсной характеристики с помощью преобразования Фурье по этой оси откладывается время (переменная Т), а при построении годографа для анализа устойчивости по методу Найкви- ста — действительная часть комплексного напряжения; Y Expression — математическое выражение для переменной, откладываемой по оси Y. Это может быть простая переменная типа напряжения в узле V(5), падения напряжения на двухполюсном компоненте V(L1) или тока ветви 1(2,3), l(L1), произведения комплексных величин, например V(VCC)*I(VCC), и другие выражения (см. разд. 2.5). Для расчета уровня внутреннего шума в графе Y Expression помещают имена переменных ONOISE, INOISE; при этом графики других переменных нельзя одновременно выводить на экран; X Range — максимальное и минимальное значение переменной Л ф 147
Хна графике по формату High[,Low]. Если минимальное значение Low равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. В этом случае сначала выполняется моделирование, в процессе которого графики строятся в стандартном масштабе и затем автоматически перестраиваются; Y Range— максимальное и минимальное значение переменной /на графике; если минимальное значение равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. Опции: Run Options — управление выдачей результатов расчетов: Normal — результаты расчетов не сохраняются, Save — сохранение результатов расчетов в бинарном дисковом файле <имя схе/иь/xASA, Retrieve — считывание последних результатов расчета из дискового файла<имя cxeMbi>.ASA, созданного ранее. При этом производится построение графиков и таблиц, как после обычного расчета; State Variables (Zero, Read, Leave) — установка начальных условий; Frequency Step— шаг изменения частоты: Auto — автоматический выбор шага по частоте, выбираемого на основе контроля максимального приращения функции первого графика; Linear — расчет с постоянным линейным шагом по частоте; Log — расчет с постоянным шагом на логарифмической шкале частоты; Operation Point — предварительное выполнение расчета режима по постоянному току; Auto Scale Ranges — присвоение признака автоматического масштабирования "Auto" по осям X, Y для каждого нового варианта расчетов. Если эта опция выключена, то принимаются во внимание масштабы, указанные в графах X Range, Y Range. 3.2.2. Использование клавиши Р После выполнения команды Run начинается расчет частотных характеристик, и в процессе получения результатов на экран выводятся их графики. После нажатия клавиши Р в нижней части графического окна справа от обозначения каждой переменной выводятся их текущие численные значения (рис. 3.4). Этот способ удобен для контроля за длительными расчетами частотных характеристик, диапазон изменения которых заранее не известен (так что текущие результаты могут быть не видны на экране). Однако моде- 148 лирование при этом значительно замедляется, поэтому после просмотра наиболее интересного фрагмента данных следует выключить этот режим повторным нажатием клавиши. 3.2.3. Меню режимов расчета частотных характеристик АС После перехода в режим расчета частотных характеристик меняется состав меню команд (см. рис. 1.10). Появляется новый режим АС, имеющий следующие команды. Run (F2) — выполнение моделирования; Limits (F9) — задание пределов моделирования и построе- ния графиков (см. разд. 3.2.1); [stepping (F11) — вариация параметров (см. разд. 3.4); [Optimize (Ctrl+F11) — параметрическая оптимизация; Analysis Window (F4) — открытие графического окна ре- 1 зультатов моделирования; Watch (Ctrl+W) — одновременный просмотр схемы, результатов моделирования и текстовых выражений в трех окнах; Breackpoint (Alt+F9) — остановка моделирования в заданной точке; 3D Windows (трехмерная графика): Add 3D Window — добавление окна трехмерной графики (см. рис. 1.13), llN Delete 3D Window — удаление окна трехмерной графики; Performance Windows (параметрическая зависимость [8]): Add Performance Window — добавление окна параметрической зависимости, Delete Performance Window — удаление окна параметрической зависимости; № Thumb Nail Plot — размещение графика текущего окна малого размера в верхнем правом углу экрана; Numeric Output (F5) — вывод на экран численных результатов^ табличной форме (см. разд. 3.1.5); State Variables Editor (F12) — вызов редактора значений переменных состояния (см. разд. 3.1.4); 149
DSP — открытие диалогового окна DSP (Digital Signal Processing — цифровая обработка сигналов), в котором задаются границы интервала частот для расчета преобразования Фурье (Upper Frequency, Lower Frequency) и количество отсчетов Number of Points (должно быть в точности равно степени числа 2 в интервале от 64 до 8192), рис. 3.10. Заданные значения принимаются во внимание, если в графе Status нажать кнопку On. При расчете импульсных характеристик путем преобразования Фурье комплексных коэффициентов передачи эта возможность практически не используется; Reduce Data Points — открытие диалогового окна сокращения объема информации, выводимой на графиках и сохраненной в файлах, рис. 3.6; Exit Analysis (F3) — завершение режима анализа и возвращение в окно схем. DSP Control Parameters Upper Frequency Lower Frequency Number of Points OK |2k_ J 0.4k 11024 Cancel Status- ff On Г Off Help... □ Рис. 3.10. Окно задания диапазона частот для расчета преобразования Фурье 3.2.4. Вывод численных данных Результаты расчета схемы по постоянному току и таблицы значений переменных, отмеченных нажатием кнопок i в соответствующих строках окна AC Analysis Limits, заносятся в текстовый файл <имя схемы>.ANO. Просмотр его содержания выполняется в меню АС, как иллюстрируется рис. нажатием на пиктограмму i 3.11. В начале файла результатов моделирования, как и в режиме Transient Analysis, помещаются значения режима по постоянному току (узловые потенциалы, токи через индуктивности и логические состояния цифровых узлов). Далее приведены параметры линей- 150 Node J. 4 Induct о i LI It' VI' REQ -AP Power Terms ED PS С 1 -3 , 5 . ltag. Nod» 5. 00 5. 00 5 DC i Xiri. »nt 6. 95in Dl 33E-01B ,88E-020 2.&:'M 164.50p .11E-Q30 0. 00 Ai_ Analysis of AMPLDET Temperature = 27 C2.Value = l.be-OC] DC Operating Point Value; DC Operating Point Voltages \ iltage Node '-ltag= C.44 3 1.75 -3.88E-020 6 Q.0'1 e rating Point Inductor Current Diodes Pin Currents: Id 1.33Е-Г16 Condition: |State OFF Рис. 3.11. Просмотр текстовых результатов расчетов в режиме АС ных моделей диодов и транзисторов, рассчитанных в режиме по постоянному току и состояния р—л-переходов. В заключение — таблица дискретных отсчетов выходных переменных, графики которых изображены в окне Analysis Plot. Количество строк в этой таблице равно значению параметра Number of Points в меню АС Analysis Limits, если выбран постоянный шаг по частоте или определяется в соответствии с заданной точностью расчетов. Примечание. Отметим, что при включении опции Auto Scale Ranges и выборе Frequency Step=Auto масштаб графиков выбирается автоматически совершенно правильно, но при перестроении графиков после определения масштаба шаг по частоте выбирается слишком крупным и графики строятся грубо. Поэтому в этом случае приходится проводить моделирование дважды: сначала при включенной опции Auto Scale Ranges автоматически определить масштаб, а затем выключить эту опцию и повторить моделирование — графики будут построены с обеспечением заданной точности. 3.2.5. Расчет уровня внутреннего шума В математических моделях компонентов, принятых в программе МС7, так же как и в программе PSpice, учитываются тепловые, дробовые и низкочастотные фликкер-шумы (см. подробности в [8]). 151
Спектральные плотности шума от отдельных источников суммируются. В качестве спектральной плотности выходного шума (размерность В2/Гц) рассчитывается спектральная плотность напряжения между узлами схемы, указанных в спецификации Noise Output. Если в качестве источника входного сигнала включается источник напряжения, то на вход пересчитывается спектральная плотность напряжения, а если источник тока, то спектральная плотность тока. В результате расчету уровня шума на графиках и в таблицах выводятся значения квадратного корня из спектральной плотности напряжения шума (размерность ВЛ/Гц) или спектральной плотности тока шума (размерность АЛ/Гц). 3.3. Расчет передаточных функций по постоянному току (DC Analysis) В режиме DC рассчитываются передаточные характеристики по постоянному току. Ко входам цепи подключаются один или два независимых источников постоянного напряжения или тока. В качестве выходного сигнала может рассматриваться разность узловых потенциалов или ток через ветвь, в которую включен резистор. При расчете режима DC программа закорачивает индуктивности, исключает конденсаторы и затем рассчитывает режим по постоянному току при нескольких значениях входных сигналов. Например, при подключении одного источника постоянного напряжения может рассчитываться передаточная функция усилителя, а при подключении двух источников — семейство статических выходных характеристик транзистора. После перехода в режим DC программа МС7 проверяет правильность схемы. При отсутствии ошибок программа составляет топологическое описание схемы, выполняет подготовку к численному расчету нелинейных уравнений итерационным методом Нью- тона-Рафсона и открывает окно задания параметров моделирования DC Analysis Limits. 3.3.1. Задание параметров моделирования DC Analysis Limits В окне задания параметров расчета передаточных характеристик по постоянному току, показанном на рис. 3.12, имеются следующие разделы. Команды: Run — начало моделирование. Щелчок на пиктограмме Lt- в строке инструментов или нажатие F2 также начинает моделирование. Моделирование может быть остановлено в любой момент 152 |DC Analysis Limits Run I Add □ Stepping. Properties... Help- Sweep Method Name Range Variable 1 j Linear JJ Variable 2 |ы 3 Temperature Method Range V1 V2 SIN SIM10K TF 2J | Ю.О. 5 | Linear jj (27 Run Options |Normal ^j V Auto Scale Ranges P X Expression ~3\ Number of Points Y Expression Maximum Change.. X Range YRange ' (Auto ic i a 1 DCINPUT1 v(VT1_BASE) ЕЕИЯГГ Н5ИИГГ Specifies the name of the primary source Рис. 3.12. Задание параметров расчета в режиме DC времени нажатием на пиктограмму II или клавишу Esc. Последо- прерывают и затем про- вательные нажатия на пиктограмму должают моделирование; Add— добавление еще одной строки спецификации вывода результатов после строки, отмеченной курсором. На этой строке устанавливается способ отображения результатов и аналитические выражения для построения графиков. При наличии большого количества строк, не умещающихся на экране, появляется линейка прокрутки; Delete — удаление строки спецификации вывода результатов, отмеченной курсором; Expand — открытие дополнительного окна для ввода текста большого размера при расположении курсора в одной из граф, содержащих выражения, например Y Expression; Stepping — открытие диалогового окна задания вариации параметров; Properties — открытие диалогового окна задания параметров (см. разд. 3.1.1); Help— вызов раздела DC Analysis системы помощи. Числовые параметры: Variable 1 — задание первой варьируемой переменной. В графе Method выбирается метод варьирования переменной (Auto — выбираемый автоматически; Linear — линейный, задаваемый в гра- 153
фе Range по формату Fina{,lnitia[,Step]], если опустить параметр Step (шаг), то шаг будет принят равным (Final— lnitial)/5Q, если опустить параметр Initial, то начальное значение будет положено равным нулю, если изменяется только один источник, то можно оставить строку пустой; Log — логарифмический; List — в виде списка значений, разделяемых запятыми). В графе Name из списка, открываемого нажатием на кнопку LzJ, выбирается имя варьируемой переменой — величины источника постоянного напряжения или тока, температуры или имени одного из компонентов, имеющих математические модели; при выборе в графе Name имени такого компонента в расположенном справа окне выбирается варьируемый параметр его математической модели. Variable 2— задание второй варьируемой переменной. Если она отсутствует, то в графе Method выбирается None. Number of Points — количество точек, выводимых в таблицы, т. е. количество строк в таблице вывода результатов, минимальное значение равно 5. При выводе в таблицы применяется линейная интерполяция. Temperature — диапазон изменения температуры в градусах Цельсия; при выборе параметра Linear имеет формат High[,Low[,Step]]; если параметр Step (шаг) опущен, то выполняется анализ при двух значениях температуры Low (минимальной) и High (максимальной), если опущены оба параметра Low и Step, то расчет проводится при единственной температуре, равной High; при выборе параметра List указывается список температур, разделяемых запятыми. При изменении температуры изменяются параметры компонентов, имеющие ненулевые температурные коэффициенты ТС, а также ряд параметров полупроводниковых приборов. Значение установленной здесь температуры может использоваться в различных выражениях, она обозначается как переменная TEMP. Maximum change, % — максимально допустимое приращение графика первой функции на одном шаге (в процентах от полной шкалы). Если график функции изменяется быстрее, то шаг приращения первой переменной автоматически уменьшается. Опции: Run Options — управление выдачей результатов расчетов: Normal — результаты расчетов не сохраняются, Save — сохранение результатов расчетов в бинарном дисковом файле <имя cxe/wb/>.DSA, Retrieve — считывание последних результатов расчета из дискового файла<и/ия схемы>.DSA, созданного ранее. При этом производится построение графиков и таблиц, как после обычного расчета. 154 Auto Scale Ranges — присвоение признака автоматического масштабирования "Auto" по осям X, У для каждого нового варианта « расчетов. Если эта опция выключена, то принимаются во внимание масштабы, указанные в графах X Range, Y Range. Вывод результатов моделирования: Ниже раздела "Числовые параметры" и слева от раздела "Выражения" расположена группа пиктограмм. Нажатие каждой пиктограммы определяет характер вывода данных, задаваемых в той же строке. Имеются следующие возможности: ш X Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси X. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным; И Y Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси У. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным; Color— вызов меню для выбора одного из 16 цветов для окрашивания графиков. Кнопка окрашивается в выбранный цвет; ШУ Numeric Output— при нажатии этой кнопки в текстовый выходной файл заносится таблица отсчетов функции, заданной в графе Y Expression. Запись производится в файл "<имя схе- мы>.АЫО". Таблица просматривается в окне Numeric Output (открывается нажатием клавиши F5). Количество отсчетов функции (число строк в таблице) задается параметром Number of Points в разделе Числовые параметры; Plot Group — в графе Р числом от 1 до 9 указывается номер графического окна, в котором должна быть построена данная функция. Все функции, помеченные одним и тем же номером, выводятся в одном окне. Если это поле пусто, график функции не строится. Выражения: X Expression — математическое выражение переменной, откладываемой по оси X. Y Expression — математическое выражение переменной, откладываемой по оси Y. X Range — максимальное и минимальное значение переменной X на графике по формату High[,Low]. Если минимальное значение Low равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. В этом случае сначала выполняется моделирование, в процессе которого 155
графики строятся в стандартном масштабе и затем автоматически перестраиваются; У Range— максимальное и минимальное значение переменной У на графике; если минимальное значение равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. 3.3.2. Использование клавиши Р После выполнения команды Run начинается расчет передаточных функций, и в процессе получения результатов на экран выводятся их графики. После нажатия клавиши Р в нижней части графического окна справа от обозначения каждой переменной выводятся их текущие численные значения. Этот способ удобен для контроля за длительными расчетами передаточных функций, диапазон изменения которых заранее не известен (так что текущие результаты могут быть не видны на экране). Однако моделирование при этом значительно замедляется, поэтому после просмотра наиболее интересного фрагмента данных следует выключить этот режим повторным нажатием клавиши Р. 3.3.3. Меню режимов расчета передаточных функций DC После перехода в режим расчета передаточных функций меняется состав меню команд (см. рис. 1.15). Появляется новый режим DC, имеющий следующие команды. Run (F2) — выполнение моделирования; Limits (F9) — задание пределов моделирования и построе- ния графиков (см. разд. 3.2.1); I Stepping (F11) — вариация параметров (см. разд. 3.4); Analysis Window (F4) — открытие графического окна результатов моделирования; Watch (Ctrl+W) — одновременный просмотр схемы, результатов моделирования и текстовых выражений в трех окнах; Breackpoint (Alt+F9) — остановка моделирования в заданной точке; 3D Windows (трехмерная графика): Add 3D Window — добавление окна трехмерной графики (см. рис. 1.13), l^l Delete 3D Window — удаление окна трехмерной графики; Performance Windows (параметрическая зависимость [8]): 156 J=J Add Performance Window ской зависимости, ■ добавление окна параметриче- ~\£ Delete Performance Window — удаление окна параметрической зависимости; Thumb Nail Plot Щ размещение графика текущего окна ма- лого размера в верхнем правом углу экрана; ШШ Numeric Output (F5) — вывод на экран численных результатов^ табличной форме (см. разд. 3.1.5); State Variables Editor (F12) — вызов редактора значений переменных состояния (см. разд. 3.1.4). В окне этого редактора DC Analysis of CURVES.CIR Temperature» 27 Case= 1 Vce(Ql) (V) 000 500 000 500 000 500 000 500 000 ,500 ,000 Ic(Ql) (mA) -0.482 27.411 27.717 28.024 28.330 28.636 28.942 29.248 29.554 29.861 30.167 Temperature» 27 Case= 1 Vce(Ql) (V) 0.000 0.500 1.000 1.500 2.000 Ic(Ql) (mA) -0.970 51.829 52.388 52.950 53.543 Рис. 3.13. Просмотр файла результатов расчетов в режиме DC 157
можно только просмотреть значения переменных состояния, полученных при предыдущем анализе режима по постоянному току. Кнопки Clear, Read и Write в данном режиме недоступны; Reduce Data Points — открытие диалогового окна сокращения объема информации, выводимой на графиках и сохраненной в файлах, рис. 3.6; Exit Analysis (F3) — завершение режима анализа и возвращение в окно схем. 3.2.4. Вывод численных данных Результаты расчета передаточных функций по постоянному току, отмеченных нажатием кнопок в соответствующих строках окна DC Analysis Limits, заносятся в текстовый файл <имя схе- Mb/>.DNO. Просмотр его содержания выполняется нажатием на пиктограмму НИ в меню DC, как иллюстрируется рис. 3.13. В файле выходных данных помещены таблицы передаточных функций по постоянному току. Каждая таблица построена для одного значения второго источника. В заключение — таблица дискретных отсчетов выходных переменных, графики которых изображены в окне Analysis Plot. Количество строк в каждой таблице равно значению параметра Number of Points в меню DC Analysis Limits. 3.4. Многовариантный анализ В меню трех видов анализа Transient, АС и DC имеется диалого- ЛЛг вое окно Stepping (вызывается также нажатием пиктограммы с помощью которого производится вариация от одного до 20 параметров, показанное на рис. 3.14. В нем содержатся следующие строки. • Step What — на верхней строке указывается имя компонента и имя его варьируемого. Содержание этой строки зависит от выбранного ниже типа параметра: Component или Model. ParameterType — тип вариации параметров. Если выбран тип Component, то нажатие на кнопку в первой строке открывает список имен компонентов, содержащихся в схеме, например, С1, С2, СЗ, D1, L1, R1, V2, V3. Если в этом списке выбрать простой компонент, имеющий единственный параметр, например конденсатор, то справа на первой строке появится стандартное имя Value (обозначающее значение параметра). Если же выбранный компонент имеет модель или макромодель (описываемую по директивам .MODEL или .SUBCKT), то справа на первой строке нужно выбрать имя ее параметра. 158 1:С1 .Value 2:D KD2Q& StepWhat |D KD203A i.RS 13: | 4: ] 5: ] & ] 7: ] 8: | 9. dlRS ]m I": <l> ZJ Rom |E( To |15 Step Value J2 Step It С Yes № No Method Paiameter Type С Linear <~ Log <~ List <~ Component С Model r Change <~ Step all variables simultaneously <• Step variables in nested loops ДК I Cancel Help,.. Рис. 3.14 Варьирование параметров компонентов и их моделей Причем если компонент входит в состав макромодели, то используется составное имя: сначала указывается имя макромодели, затем после десятичной точки имя входящего в нее компонента, например X1.D13, X2.DIN555. Причем если, в окне Global Settings включены опции PRIVATEANALOG/PRIVATEDIGITAL, то варьироваться будут только параметры индивидуально выбранного компонента; параметры других компонентов, имеющих те же модели, варьироваться не будут. При выключении этих опций варьироваться будут параметры всех компонентов, имеющих ту же модель. При вариации параметров моделей все подобные модели, содержащие параметр АКО, варьироваться не будут и вариация температур TJVIEASURED, T_ABS, T_REL_GLOBAL, T_REL_LOCAL недоступна; Если выбран тип Model, то нажатие на кнопку LJ в первой строке открывает список имен моделей, и справа на первой строке нужно выбрать имя варьируемого параметра выбранной модели. Например, в первой строке слева можно указать тип модели D KD220A (диод), а справа — имя одного из его параметров, например RS (объемное сопротивление). По этому способу варьируются параметры всех компонентов, имеющих выбранную модель. Так в данном примере варьируются параметры RS всех диодов, имеющих модель KD220A. При этом будут варьироваться параметры всех компонентов, имеющих указанную модель. При вариации параметров моделей все подобные модели, содержащие параметр АКО, будут варьироваться и вариация температур T_MEASURED, T_ABS, T_REL_GLOBAL, T_REL_LOCAL будет доступна. Если выбран тип Symbolic, то становится доступен список параметров, определенных по директиве .define. 159
• From — начальное значение параметра. При выборе логарифмической шкалы оно должно быть больше нуля. • То — конечное значение параметра. При выборе логарифмической шкалы оно должно быть больше нуля. • Step Value — величина шага параметра. При линейной шкале оно прибавляется к начальному значению, а при логарифмической шкале умножается на текущее значение параметра. • Step It — включение режима вариации параметров (Yes) или его выключение (Л/о). • Method — характер параметра: Linear—линейная шкала; Log—логарифмическая шкала; ■ List— список значений. • Change — метод изменения нескольких параметров: Step all variables simultaneously — одновременное изменение всех варьируемых параметров, Step variables in nested loops — поочередное (вложенное) изменение варьируемых параметров. Перед выполнением вариации параметров рекомендуется убедиться, что моделирование выполняется без ошибок при номинальном значении параметров. Одновременная вариация параметров в режиме Stepping и статистический анализ по методу Монте-Карло невозможен. Пример многовариантного расчета частотных характеристик при вариации емкости конденсатора приведен на рис. 1.12. Приведем список ограничений вариации параметров: Нельзя одновременно варьировать параметры компонентов типа Transformer, User source, Laplace source, Function source, зависимых источников SPICE (типа E, F, G и Н). Нельзя варьировать некоторые отдельные параметры моделей компонентов, если в описании моделей им присвоены нулевые значения; в моделях операционных усилителей нельзя варьировать параметры Level и Туре (параметр Level для всех полупроводниковых приборов может варьироваться). При вариации параметров резисторов, конденсаторов или ин- дуктивностей, описываемых математическими выражениями, эти выражения не принимаются во внимание, и параметры принимают значения, назначаемые в режиме Stepping. 3.5. Параметрическая оптимизация Параметрическая оптимизация выполняется в программе МС7 методом Пауэлла (Powell) в любом из видов анализа: анализ переходных процессов, малосигнальный АС-анализ и расчет DC характе- 160 ристик. Проиллюстрируем последовательность действий при оптимизации на примере схемы Opt4.cir, изображенной на рис. 3.15. (IV- IN LI .ЛЛЛП- i4=m R1 Л100 Рис. 3.15. Пример схемы Opt4.cir Сначала в меню Analysis выберем режим АС и нажмем на клавишу Run (F2) и затем на клавишу F8, чтобы вывести маркеры для считывания с графиков численных значений (рис. 3.16). Заметим, что на частотах 2 и 10 МГц переменная db(V(Out)) равна 1,398 и - 9,583 дБ соответственно. I (Ctrl+F11) открывают диа- После этого нажатием на кнопку лотовое окно, показанное на рис. 3.17. В этом диалоговом окне вводиться следующая информация. Find: Parameter — выбор оптимизируемых параметров; Low — минимальное значение оптимизируемого параметра; High — максимальное значение оптимизируемого параметра; Step — шаг изменения оптимизируемого параметра; Current — текущее значение оптимизируемого параметра; Optimized — поиск наиболее подходящего значения оптимизируемого параметра; That — выбор критерия оптимитзации. Method — выбор метода оптимизации: Standard Powell— стандартный метод оптимизации Пауэлла, Stepping Powell — согласно этому методу параметры изменяются от значения Low до значения High с шагом Step. На каждом шаге изменения параметров применяется стандартный метод Пауэлла. Total Error — корень квадратный из суммарной ошибки (разности между целевой функцией и ее фактической величиной). Constraints — ограничения типа неравенств и равенств, записываемые на четырех строках, например, PD(R1)<=100m V(Out)>=1.2 VCE(Q1)*IC(Q1)<=200m 6—1361 161
£ Micro-Cap 7 О Э - [AC Analysis] £j £ile Edit V/indows fiptiom AC £cope Monte Carlo ШПП1 P G я: Help -|Д|Х| it I IB % E >k Т" J£i "T DB(V(OUT)) to oo -10.00 -30 00 -50 00 -70.00 -90.00 100K DEiV(OUT)) F iCursor Mode ► В J -t-/!\ ' ^"v ' Ягзг OPT4.CIR 1 •5 "1 ;~,2 >-'4io -2 4 i/-10 -.5 ■2 F Left VSWR Left Z Left 1 OOOK3.258E-07+O OOOj 1.O00+O.000J F Right VSWR Right |2 0оом,1.здвТз^: iooooM.-g.5B3 ш Left I 393 2.000M 10M Right -9 507 9.966M Delta 10 905 7 966M Double-click in the window for more options. 1 Right 100.000M -51.906+0 OOOj -0.962+0 OOOj 100M Slope -1 369E-06 1.000EOO Рис. 3.16. Результаты АС-анализа, выполненного перед оптимизацией Optimize Find Parameter Get|JR1 Ge)||ci Get||U ill I That Low High 50 5N 2M hoou Performance Function Expression |Equates ^J - | + | Get||Y_Level[DBMDUT)).1.1.2e+C06] |Equates J^J - | + | Get||Y_Level[DBMOUT]].1.1.4e+006] [Equates ^J - | <■ | Get||Y_LevellDBMOUT)),1,1,6e+C06) |Equates zJ -| + | Get| |Y_Level[DBiy(OUT)),1.1.8e+006) Method: - t* Standard Powell <~ Stepping Powell Constraints Step Current Optimized To Current Optimized Error 2188 10.449 1.696 -9103 d Total Error 0 Optimize 'I Format Close Help. 'Constraint'sDecified as a Boolean exoression such as V(VCC)*lfVCCl<=100mW_ щ Рис. 3.17. Диалоговое окно составления задания на оптимизацию
Optimize — начало оптимизации. Stop — остановка оптимизации. Apply— изменение на схеме значения параметров в соответствии с результатами оптимизации. Format — выбор формы представления чисел. Close — завершение режима оптимизации. После заполнения диалогового окна нажатием на панель Optimize выполняют оптимизацию и затем нажатием на панель Apply переносят найденные оптимальные значения параметров на схему. 3.6. Статистический анализ по методу Монте-Карло При выборе режимов моделирования Transient, AC или DC становится доступен подрежим Monte Carlo для расчета характеристик цепей при случайном разбросе параметров: Options — установка параметров метода Монте-Карло (активизируется также нажатием пиктограммы ЦПУ , см. рис. 3.18); Add Histogram — добавление окна гистограмм (доступно после проведения моделирования); 103.bmp Delete Histogram — удаление окна гистограмм (доступно после проведения моделирования); Statistics — статистическая обработка результатов (доступно после проведения моделирования). В диалоговом окне Monte Carlo Options (рис. 3.18,а), открываемом по команде Monte CarloOptions, указывается количество статистических испытаний Number of Runs (не более 30 000) и характер закона распределения случайных параметров: Uniform равномерное распределение, Gauss — гауссово, Worst Case — наихудший случай. Напомним, что в окне Global Settings задается отношение разброса случайных параметров к среднеквадратическо- му отклонению SD. На строке Report When указывается условие, при выполнении которого выводится предупреждающее сообщение в тестовый файл результатов моделирования, имеющий расширение имени *.OUT. Имя указываемой на этой строке функции может быть выбрано в списке доступных функций (рис. 3.18,6), открываемом нажатием на клавишу Function. Перед выполнением расчетов по методу Монте-Карло следует поставить переключатель Status в положение On. Выделение параметров, имеющих случайный разброс, выполняется с помощью ключевых слов LOT и/или DEV, как показано на изображенной на рис. 3.19 схеме. 164 Monte Carlo I prions Distribution to Use: <~ Uniform (• Gauss T Worst Case Number of Runs |l00 Report When |Rise_Time(V(1 )Л -1Л 2) QK | Cancel | H Их( ■ Status: i С On i Г Off | -Ip... 1 i Get a) Get Perfomance Function Performance 1 Function Expression Boolean N Period "ЗШ 3F1 Г" Default Parameters 6) Рис. 3.18. Диалоговое окно Monte Carlo Options (а) и окно задания функций (б) Для расчета разброса значений параметров, имеющих разброс LOT и DEV, используются различные датчики случайных чисел. В свою очередь параметры, имеющие признак DEV, получают независимые случайные значения, а имеющие признак LOT — коррелированные случайные значения в пределах параметров одного элемента. Ключевые слова LOT и DEV помещаются после номинального значения параметра и имеют формат: [LOT=<pa36poo[%]][DEV=<pa36poc>[%]] Указывается либо абсолютное, либо относительное значение разброса в процентах (в последнем случае надо ввести знак %). Разброс параметров компонентов указывается в директиве .MODEL с помощью ключевых слов LOT и DEV (рис. 3.19), например: .model VIN SIN (F=10kHz A=10mV LOT=10% DC=0 PH=0 RS=1) .model KT316B NPN (IS=2.8f LOT=5% BF=75 LOT=5% DEV=20%) 165
yvi "Г L1 1U IND1 = C1 1N \ CAP2 ]R1 '50 ^OELPUnEF ;PELIND1IND(L MODEL CAP ~ai ZEF ■NE- F4=510NP5=mnnN 0LJT=1L ) Рис. 3.19. Включение в директиву .MODEL значений разброса параметров DEV и LOT В первом примере параметр BF имеет некоррелированный разброс DEV=20% и, кроме того, разброс LOT = 5%, коррелированный с изменением параметра IS. Допустим, что в режимах Transient, AC или DC анализируется некоторая функция цепи у = Цх), где х— независимая переменная (время, частота, входное постоянное напряжение или ток), у— зависимая переменная (узловой потенциал, входное сопротивление и т. п.). Для каждой реализации процесса у(х) рассчитывается глобальная характеристика F (the collection function), например максимальное значение реализации F = тах{у(х)}. Название характеристики F вводится, на строке Report When или выбирается из окна Functions (рис. 3.18, б), открываемого нажатием на клавишу Functions (см. рис. 3.18, а). После установки параметров начинают моделирование выбором пункта Run в меню моделирования выбранного типа или нажатием F2. Реализации характеристик цепи у(х) выводятся на экран дисплея в виде семейства графиков, как в качестве примера показано на рис. 3.20 при расчете частотных характеристик. Статистическая обработка результатов моделирования производится по команде Monte Carlo>Histograms/Add Histograms, дублируемой нажатием на пиктограмму ШМ Ее результаты представляются в виде гистограммы, примерный вид которой показан на рис. 3.21. Двойной щелчок курсором мыши, расположенном в окне гистограмм, открывается диалоговое окно задания параметров Properties (закладка Plot), рис. 3.22, оно же открывается в начале выполнения команды Add Histograms. В нем в строке Function указывается имя анализируемой функции F, а в строке Expression — имя характеристики цепи у. На графике гистограммы по горизонтальной оси откладываются значения характеристики F, по вертикали — вероятности в процентах. 166 CARLO CIR Temperature = 27 Case= 100 2.00 1М dbfttH) Рис. 3.20. Семейство реализаций переходного процесса при случайном разбросе индуктивности 24 S I К Rise_Time(db(v(1)).1,1,1,2) ■р f Percent displayed 100 00 Low ?25 305K Mean 778 661 К. High 654 376K Sigma 26.567K v* J- J- & /9? jf & ^ & „ ^ jf J" .f J* Ж Intervals [TT Low High 725.305K 854.376K 753.Э06К 816 25BK 752.882K 800.201 К 746.681 К 7Э6.90ЭК 824.281 К 746.525K 766.247K 771 001К 742 243К 615.033К 772.374К 767 306К 733.656К 767.506К 748.536К 626 97ЭК 759.ВЭ5К 770.032К 761.016К 81804К Рис. 3.21. Окно построения гистограмм 167
Pr°Perties Monte Carlo Plot ) Color ] Font (ToolBar),—aptions- Title - - |Rise_Time(db(v(1)),1,1.1.2) What To Plot Function Expression Boolean JRise.Time ^ |db(w(1J) 3 P Format Г 13 field Histogram... Delete Histograms.. * Rise_Time(Vn).1.1.1.2) OK Auto Low High Cancel Apply Help Рис. 3.22. Окно конфигурирования гистограмм Monte Carlo AC Analysis of CARLO 100 Runs Summary RiseJTime(db(v(l)),1,1,1,2) Low=721.733R Mean=777.931R High=859.501K Standard Deviation=29.322R Individual run statistics 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 749 785 752 778 769 736 745 799 796 7 68 742 771 783 7 97 734 772 7 95. 752. 778. .894K .551K .566K 191K 751K 294R 375K 033K 853K 698K 82 6K 34R 58 9K 23K 68 6K 49ZK 661K 938K 605K Рис. 3.23. Вывод результатов статистической обработки в текстовом виде 168 Значения характеристики F во всех реализациях выведены в окне в правой части экрана. Ниже него приведено окно, в котором можно задать количество интервалов разбиения области определения анализируемой характеристики F (Intervals) и значения ее границ (Low, High). В нижней части экрана слева помещается следующая статистическая информация: Low — минимальное значение характеристики, Mean — ее среднее значение, High — максимальное значение, Sigma — среднеквадратическое отклонение случайной величины F. Результаты статистической обработки заносятся также в текстовый файл после выбора подкоманды Monte CarloHistograms /Statistics. Текстовая информация размещается в файлах, имеющих то же имя, что и имя схемы, и расширения имени .АМС, .DMC, ТМС в зависимости от вида анализа. В них помещаются результаты статистической обработки, как показано на рис. 3.23. 3.7. Расчет режима по постоянному току (Dynamic DC) По команде Analysis>Dynamic DC производится расчет режима по постоянному току и его отображение на чертеже семы. Причем если на закладке Common команды Options>Preferences включен параметр Options Show Slider, то на схеме у изображений батарей и резисторов размещаются движковые регуляторы — при их перемещении движением курсора изменяются номинальные значения этих компонентов, и на схеме отображаются новые значения режима по постоянному току, как показано на рис. 3.24. Минимальные и максимальные значения номинальных значений определяются с помощью атрибутов SLIDER_MIN, SLIDER_MAX каждого компонента, см. рис. 2.2. U |rLiEmtUE=1^7 731m| ш< QV2 ^^жвЩ^и4 ,V2 SIG 1 |С4 I? 7:'6m| Рис. 3.24. Отображение результатов расчета режима по постоянному току 169
Объем выводимой на схему информации определяется нажатием пиктограмм: [pv Щг — номера узлов; — напряжения аналоговых узлов или логические состояния цифровых узлов; — токи ветвей; —мощности, рассеиваемые в ветвях; ом — состояния р—л-переходов: LIN — линейный режим, ON — переход открыт, OFF— переход закрыт, SAT — находится в режиме насыщения. При выполнении команды Analysis>Dynamic DC по умолчанию нажата пиктограмма l_f_J, остальные пользователи включают по мере надобности. Отметим, что при использовании этих пиктограмм при анализе переходных процессов на схеме отображаются не значения режима по постоянному току, а значения переходных процессов в последний момент времени, если не выбрана опция Operation Point Only. После завершения расчетов по командам Analysis>AC, DC на схеме отображаются значения режима по постоянному току, рассчитанного последним. 3.8. Расчет малосигнальных передаточных (Transfer Function) По команде Analysis>Transfer Function выполняется расчет малосигнальных передаточных функций в режиме по постоянному току, которые рассчитываются после линеаризации схемы в окрестности рабочей точки. Задание на расчет составляется в диалоговом окне, показанном на рис. 3.25, а. На строке Output Expression указывается выражение для выходной переменной, на строке Input Source Name — имя входной переменной. В качестве выходной переменной может использоваться любая переменная или функция, имеющие смысл при анализе режима по постоянному току, например, напряжение V(A,B) или ток l(R1). В качестве входной переменной может быть использовано напряжение или ток источника напряжения или тока, например VIN. Расчет производится после нажатия на панель Calculate. Результаты расчета передаточной функции, например dV(A,B)/dVIN, указывается на строке Transfer Function. Кроме того, на строках Input(Output) Impedance указываются значения входного (выходного) сопротивлений. При выборе опции Place Text результаты расчета помещаются в виде текста непосредственно на схему (рис. 3.25, 6). 170 Transfer Function Output Expression Input Source Name Transfer Function Input Impedance |V[1) |V1 |1 J50 Output Impedance ]0 I Calculate j - Close □ __-^J |7 Place Text Help... | a) Output Expression =V(1) Input Source Name=V1 Transfer Function = 1 Input Impedance =50 6) Рис. 3.25. Диалоговое окно Transfer Function (а) и текстовая информация о результатах расчетов (б) 3.9. Расчет чувствительностей по постоянному току (Sensitivity) Чувствительность режима по постоянному току рассчитывается по команде Analysis>Sensitivity. Чувствительность рассчитывается после линеаризации схемы в окрестности рабочей точки. При этом рассчитывается чувствительность одной или нескольких выходных переменных к изменению выбранных параметров схемы. Диалоговое окно команды показано на рис. 3.26. В графе Output указывается одно или несколько выражений для выходных переменных, каждое выражение на отдельной строке, например l(R1). В окне Input Variable выбирается один входной параметр, например R1 (несколько параметров здесь выбирать не разрешается). Результаты расчета чувствительности, в приведенном выше примере это с//(Я1)/Я1, после нажатия на панель Calculate помещаются в графу Sensitivity. В графе Sensitivity %/% помещается значение приращения выходной переменной в процентах, разделенное на изменение входного параметра в процентах. Тип входных переменных выбирается с по- 171
мощью кнопок Component, Model и Symbolic (см. разд. 3.4). При выборе переменной типа Model можно в качестве входных выбрать несколько параметров математической модели указанного компонента (все параметры выбираются нажатием на кнопку АН On, при этом результаты расчета чувствительностей заносятся в текстовый файл с расширением имени *.sen, как показано на рис. 3.27). Ц nsitivity Anal sis Sensitivity Output Sensitivity V(6] KR1] Sensitivity [%/%) -1.46362e-007 Input Variable — R2 R3 R4 RC V1 V2 VT1 i (* Component *~ Model С One <* Multiple All On I Default inf 0 515142 d Calculate Close С Symbolic All Off Help... Рис. 3.26. Диалоговое окно Sensitivity 3.10. Просмотр и обработка результатов моделирования По завершении моделирования в графическом окне (см. рис. 1.6) выводятся графики характеристик схемы. Дальнейшая обработка графиков может выполняться в нескольких режимах. 172 Value 1.434е-014 210. 6 1 125 0.2999 1.573е-013 1.553 1 1 3.163 1.536е-014 1.022 0.5 1 15 15 3.743 0.75 0.33 0.75 Рис. 3.27. Вывод результатов расчета чувствительностей в текстовом виде 3.10.1. Окно отображения результатов моделирования В этом разделе расскажем о средствах отображения, просмотра, обработки сигналов и нанесения надписей на их графики непосредственно после завершения моделирования. Во-первых, двойной щелчок курсором мыши в поле графиков открывает диалоговое окно Properties (см. рис. 3.22). Во-вторых, нажатие на пиктограммы в меню инструментов включает один из следующих режимов: I Scale (F7) — вывод на весь экран части графика, заключен- Name VT1. VT1. VT1. VT1. VTl. VTl. VTl. VTl. VTl. VTl. VTl. VTl. VTl, VTl, VTl VTl VTl VTl VTl VTl IS BF NP VAF IKF ISE WE BR MR IKR , ISC , NC , NK . NS . RB . REM . RC . VJE .MJE . VJC ногов рамку. тж\ Cursor (F8) — режим электронного курсора для считывания координат одной или двух точек на графике, имя переменной которой подчеркнуто. Расположение точек на графике изменяется их буксировкой правой и левой кнопками мыши. Point Tag— нанесение на график значений координат X, Y -£ 173
выбранной точки. Формат представления чисел задается парамет- ром Analysis Plot Tags на закладке Format окна Preferences. L"" .1 Horizontal Tag — нанесение расстояния по горизонтали между двумя выбранными точками графика. Формат представления чисел задается параметром Analysis Plot Tags на закладке Format окна Preferences. ILiLJ Vertical Tag — нанесение расстояния по вертикали между двумя выбранными точками графика. Формат представления чисел задается параметром Analysis Plot Tags на закладке Format окна Preferences. i — i Text Mode — ввод текста (в абсолютных и относительных координатах) Properties (F10) — просмотр и редактирование свойств объектов. 3.10.2. Панорамирование окна результатов моделирования Панорамированием называется перемещение окна без изменения масштаба изображения. Оно выполняется с помощью клавиатуры или мыши. Клавиатура. Одновременное нажатие клавиш Ctrl-к/слэеиша стрелок> перемещает графики активного окна в направлении стрелки. Например, нажатие Ctrl+—> перемещает все графики вправо. Активным является окно графиков, в котором щелчком курсора выбрано имя одного из графиков (оно помечается подчеркиванием). Мышь. Щелчок и буксировка правой кнопки мыши перемещает график движением мыши (курсор при этом принимает форму руки s '). Однако панорамирование графиков в режиме электронного курсора Cursor Mode с помощью мыши невозможно. 3.10.3. Масштабирование окна результатов моделирования Масштабирование графиков выполняется с помощью команд меню Scope, дублируемых следующими пиктограммами или функциональными клавишами: Auto Scale, F6 — автоматическое масштабирование графиков выбранного окна так, чтобы они заняли все окно. Restore Limit Scales, Ctrl+Home — перечерчивание всех графиков в масштабе, указанном в окне Analysis Limits. 174 3.10.4. Режим электронной лупы Scope Характер оформления графиков, представления на них информации и команды управления электронным курсором определяется в меню режима Scope, команды которого приведены в табл. 3.1. Таблица 3.1 Команды режима Scope Команда Delete All Objects Auto Scale (F6) Restore Limit Scales (Ctrl+Home) View /' 111 Назначение Удаление всех значений координат, текста и всех графических объектов, нанесенных ранее (для удаления индивидуального объекта он выбирается щелчком курсора и затем удаляется нажатием клавиши Delete или Ctrl+X) Автоматическое масштабирование графиков выбранного окна Перечерчивание графиков всех окон в масштабе, указанном на закладке Scale диалогового окна Properties Характер отображения информации: Data Points Tokens Ruler Plus Mark Horizontal Axis Grids Отображение на графиках расчетных точек Нанесение на графики специальных значков для облегчения их распознавания Нанесение разметки координатных осей вместо изображения сетки Замена изображения сетки знаками "+" Нанесение сетки по горизонтальной оси координат 175
Команда Назначение В Vertical Axis Grids Minor Log Grids Baseline Horizontal Cursor Нанесение сетки по вертикальной оси координат Нанесение более мелкой логарифмической сетки на всех осях координат, размеченных в логарифмическом масштабе Нанесение нулевой линии на выбранный график Проведение горизонтальной линии от текущего положения курсора Trackers _# Управление изображением координат графиков: Cursor (Ctrl+Shift+C) Intercept (Ctrl+I) Mouse (Ctrl+M) Нанесение на графики координат точек, на которые указывает курсор Указание координат маркера, перемещаемого по выбранному графику движением курсора (координаты X и Y помещаются в прямоугольных ярлыках ) 4.016 Указание координат маркера, перемещаемого по окну графиков движением курсора (координаты X, Y помещаются в прямоугольном ярлыке справа от 1.490т,5.359 курсора) 176 Команда Cursor Functions 111 ш W А и зФ Назначение Перемещение курсора к характерным точкам выбранного графика (команды доступны в режиме Cursor Mode, активизируемым нажатием пиктограммы ,= = ): Next Simulation Data Point Next Interpolation Data Point Peak Valley High Low Inflection Top (Alt+Home) Перемещение курсора к следующей точке данных Перемещение курсора к следующей точке интерполированных данных Перемещение курсора к следующему пику, расположенному слева или справа от текущего положения курсора нажатием клавиш ->, <- соответственно Перемещение курсора к следующей впадине, расположенной слева или справа от текущего положения курсора нажатием клавиш ->, <- соответственно Перемещение курсора нажатием клавиш —>, <- к наиболее высокой точке Перемещение курсора нажатием клавиш ->, <- к наиболее низкой точке Перемещение курсора к следующей точке перегиба (точке, в которой производная графика изменяет знак). Активизация графика, расположенного сверху 177
Команда & HI ^ Lebel Brabches Lebel Frequency Point s Animate Options... Normalize at Cursor (Ctrl+N) f > -# Go To X... (Shift+Ctrl+X) Go To Y... (Shift+Ctrl+Y) Go to Performance... Назначение Bottom (Alt+End) Global High Global Low Активизация графика, расположенного снизу Перемещение курсора нажатием клавиш ->, <- к наиболее высокой точке семейства графиков (наиболее эффективно при многовариантном анализе или статистическом анализе по методу Монте- Карло) Перемещение курсора нажатием клавиш ->, <- к наиболее низкой точке семейства графиков (наиболее эффективно при многовариантном анализе или статистическом анализе по методу Монте-Карло) Простановка параметров графиков при многовариантном анализе Перемещение маркера в точку с заданной частотой Открытие диалогового окна Animate Options для задания параметров анимации Нормализация выбранного графика (деление всех его ординат Y на значение ординаты Y точки графика, отмеченной курсором) Перемещение левого или правого курсора в точку с заданной координатой по оси X Перемещение левого или правого курсора в точку с заданной координатой по оси Y Перемещение левого или правого курсора в точку с заданной координатой по оси Y 178 Команда ■*= Go to Branch Tag Left Cursor (Ctrl+L) Tag Right Cursor (Ctrl+R) Tag Horizontal (Shift+Ctrl+H) Tag Vertical (Shift+Ctrl+V) Align Cursors Keep Cursors on Same Branch Same Y Scales и Trumb Nail Plot Назначение Размещение текущих графиков в отдельном окне в мелком масштабе Нанесение на график значений координат левого курсора Нанесение на график значений координат правого курсора Нанесение на график размерных линий между точками графика, отмеченными левым и правым курсором и простановка расстояния между ними по горизонтали Нанесение на график размерных линий между точками графика, отмеченными левым и правым курсором и простановка расстояния между ними по вертикали. Пример нанесения размеров на графики см. на рис. 1.12 Синхронное перемещение курсора и считывание координат всех графиков, расположенных во всех графических окнах Поддержание перемещения левого и правого курсоров по одному и тому же графику результатов многовариантного анализа Перестроение всех графиков так, чтобы они имели общую ось Y Изображение текущих графиков в отдельном окне в мелком масштабе При включении режима Cursor mode в начале координат появляются изображения двух перекрестий, помещаемых в определенные точки графиков нажатием левой и правой кнопок мыши для проведения различных измерений. Курсоры привязываются к графикам, имена которых также выбираются кнопками мыши — выбранные имена подчеркиваются (см. рис. 1.7). Перемещение курсоров по выбранным графикам осуществляется с помощью кнопок мыши или клавиатуры (что обеспечивает более точную настройку): первый курсор перемещается влево или вправо нажатием клавиш <- или —>, второй — одновременным нажатием клавиш Shift+<-, ShiftH—>. Снизу от каждого окна графиков располагается таблица, число строк которой равно числу построенных графиков плюс одна 179
строка, в которой размещаются значения независимой переменной, откладываемой по оси X (время, частота и т. д.). В колонках таблицы располагается информация: Имя переменной, выведенной на график, Left — значение переменной, помеченной левым курсором, Right — значение переменной, помеченной правым курсором, Delta — разность значений координат курсора, Slope — тангенс угла наклона прямой, соединяющей два курсора. Перемещение левого курсора между несколькими графиками результатов многовариантного анализа выполняется нажатием клавиш 1, Т, перемещение правого курсора производится при дополнительном нажатии клавиши Shift. 3.11. Вывод графиков характеристик в режиме Probe Характерная особенность программы Micro-Cap, отличающая ее от других программ типа PSpice — построение графиков не после окончания всех расчетов, а в процессе моделирования (хотя в последних версиях PSpice эта возможность уже реализована [8]). Во- первых, наблюдение на экране процесса построения графиков скрашивает томительные минуты ожидания. Во-вторых, позволяет прервать моделирование при обнаружении явно ошибочных результатов. Однако такой метод имеет и недостаток, связанный с необходимостью до начала моделирования перечислять имена переменных, выводимых на график, и их масштабы. Для построения графиков других переменных необходимо повторить моделирование. Поэтому в программе МС7 предусмотрен специальный режим Probe для создания файла данных, в который заносятся потенциалы всех узлов схемы, что позволяет после завершения моделирования построить график любой переменной. Просмотр графиков в режиме Probe производится в следующем порядке. В меню команды Analysis выбирается один из видов анализа и заполняются все графы окна Analysis Limits, обращая особое внимание на задание пределов изменения независимой переменной (времени, частоты и т. п.). Далее в меню команды Analysis выбирается режим Probe с тем же видом анализа: Probe Transient, Probe AC, Probe DC. В этом режиме экран делится на две части. Справа размещается окно с изображением схемы, а слева окно построения графиков характеристик. При этом содержание строки команд изменяется. В меню команды Probe выбирается строка New run для выполнения моделирование, все результаты которого (узловые потенциалы аналоговых узлов и токи ветвей с индуктив- ностями, логические состояния цифровых узлов) заносятся в дисковый файл, что позволяет вывести на экран график любой харак- 180 теристики. При этом если в режиме статистического анализа Monte Carlo указано количество реализаций л>1, то все равно будет доступна только первая реализация при номинальных значениях параметров. Далее курсором на схеме указывается узел схемы, вывод компонента или сам компонент (указывать промежуточные точки цепей нельзя) — в левой части экрана немедленно вычерчивается его характеристика, как показано на рис. 3.28. Тип переменных, откладываемых по осям графиков, предварительно выбирается в пунктах меню Vertical, Horizontal. Если при этом в окне не видна нужная часть схемы, то окно схемы можно открыть полностью. После выбора нужного узла окно схемы минимизируется и вновь появляется окно графиков с нанесенной новой характеристикой. Перед работой в режиме Probe рекомендуется пометить номера узлов схе- мы, выбрав щелчком на пиктограмме П_1 режим Node number, что бы легко идентифицировать графики результатов. Описание всех команд режима Probe приведено в табл. 3.2. Таблица 3.2 Описание команд режима Probe Команда Назначение Меню Probe New Run (F2) Delete Plots... Delete All (Ctrl+F9) Separate Analog and Digital One Trace Many Traces Save All Save V and lOnly Выполнение нового моделирования. Удаление графиков переменных, имена которых указываются дополнительно Удаление графиков всех переменных Размещение графиков аналоговых и цифровых переменных в разных окнах Построение только одного графика Построение нескольких графиков Сохранение всех переменных. Используется при построении графиков заряда, магнитного потока, емкости, индуктивности, магнитной индукции и напряженности магнитного поля Сохранение значений отсчетов времени, логических состояний цифровых узлов, напряжений и токов 181
Команда Graph Group (1-9) Exit Probe (F3) Назначение Фиксирование группы графиков для выбора следующего графика при нанесении надписей Завершение режима Probe и возвращение в окно схем Меню Vertical и Horizontal Анализ переходных процессов — Transient Analysis Voltage Current Resistance Charge Capacitance Flux Inductance В Field H Field Time Linear Log Построение узлового потенциала или логического состояния выбранного узла или напряжения на 2- полюсном компоненте при указании курсором на этот компонент. Если курсор размещен между двух выводов многополюсного компонента, выводится график разности напряжений Ток двухполюсного компонента или ток, втекающий в вывод 3- или 4-полюсного активного компонента Сопротивление указанного резистора Заряд указанного конденсатора или внутренняя емкость между выводами полупроводникового прибора Емкость, ассоциированная с зарядом указанного компонента Магнитный поток через индуктивность Индуктивность, ассоциированная с магнитным потоком Магнитная индукция Напряженность магнитного поля Текущее время Линейная шкала Логарифмическая шкала Анализ частотных характеристик — AC Analysis Voltage Комплексная амплитуда потенциала узла при указании курсором узла или напряжения на 2- полюсном компоненте при указании курсором на этот компонент. Если курсор размещен между двух выводов многополюсного компонента, рассчитывается комплексная амплитуда разности напряжений 182 Команда Current Inoise Onoise Frequency Magnitude (dB) Phase Group Delay Real Part Imag Part Linear Log Назначение Комплексная амплитуда тока двухполюсного компонента или тока, втекающего в вывод 3- или 4- полюсного активного компонента Корень квадратный из спектральной плотности шума, приведенного ко входу схемы, указанному в строке Noise Input окна Analysis Limits (независимо от точки расположения курсора) Корень квадратный из спектральной плотности выходного шума, указанного в строке Noise Output окна Analysis Limits (независимо от точки расположения курсора) Отсчеты частоты в заданных пределах Построение модуля выбранной переменной Построение фазы выбранной переменной Построение группового времени запаздывания выбранной переменной Построение действительной части выбранной переменной Построение мнимой части выбранной переменной Линейная шкала Логарифмическая шкала Анализ передаточных функций — DC Analysis Voltage Current Linear Log Потенциал или логическое состояние выбранного узла. Напряжение на 2-полюсном компоненте при указании курсором на этот компонент. Если курсор размещен между двух выводов многополюсного компонента, выводится график разности напряжений Логическое состояние выбранного цифрового узла. Ток двухполюсного компонента или ток, втекающий в вывод 3- или 4-полюсного активного компонента Линейная шкала Логарифмическая шкала 183
Dl<l D ■ ^ •- (Z D ts r" О 5 < < О и ZT < I к Q. (0 - Q. ■s О TO £ £ £ £ £ TTTTTT ■££££££ II r*^ 9 со ft rrr W TV, Ci ,— rj f f С i, ДЗ, £0, pD, pll pil, PD, Щ рД, CN CO ■s -s 1Л CD ■s -s О О CO О) ■s -s О О Hi cn crp *t m ■s -s О О ^®-Ч' a *- cn пч' tn'tfl тштшшшшш о о о о cf a cf о ~_ ^^ ш Ш Ш LLJ LLJ Ш LU 184 Из недостатков режима Probe отметим недоступность многих команд электронной обработки графиков Scope и невозможность изображения логических состояний шин в цифровых устройствах. 3.12. Анимация и трехмерные графики Режим анимации есть один из способов просмотра результатов моделирования. После нажатия в режиме Analysis на кнопку \2И (Animation) выводится диалоговое окно (рис. 3.29), в котором выбирается способ построения графиков: Don't wait — выключение режима анимации (построение графиков без дополнительной задержки), обеспечивается максимальная скорость построения графиков; Animate Options Wait Г Don't Wait С Wait for Key Press (* Wait for Time Delay Time Delay |CL5 X ДК | Cancel f Help... j Рис. 3.29. Выбор режима анимации Wait for Key Press — задержка построения очередной точки графиков до каждого нажатия клавиш; Wait for time Delay — включение режима анимации, при котором очередная точка графиков строится с указанным запаздыванием (по умолчанию установлено запаздывание 0,5 с). Для построения примера трехмерного графика загрузим пример 3D1.cir и в режиме Transient выполним моделирование переходных процессов. После этого выполним команду Transient>3D Window/Add 3D Plot и в открывшемся диалоговом окне (рис. 3.30) выберем переменные, откладываемые по осям X, Уи Z Затем нажатием клавиши ОК построим трехмерный график — в рассматриваемом примере (рис. 3.31) это зависимость выходной функции Drop от времени Т и сопротивлении R резистора R1. Выходная переменная указывается в виде выражения, указываемого на двумерном графике в графе Y Expression (рис. 3.9 и аналогичные). 185
Properties Plot J Options ] Scales and Formats j Color | Font ] Tool Ваг | OK Отмена Axis Variables — ZAxis JR1.Value jj XAxis JT Zl \ _ll Y Axis Type <"* <•" lExpression What To Plot I J Expression (Drop _^J - I ' Справка Рис 3.30. Диалоговое окно настройки построения трехмерного графика Drop vs TVS R1 623 550m 455 020m 286 490m c 117960m ^ -50 570m ■213100m </ У ^ ^ J? ^ Рис 3.31. Трехмерный график примера 3d1.cir 186 Глава 4 МОДЕЛИ АНАЛОГОВЫХ КОМПОНЕНТОВ 4.1. Общие сведения о моделях компонентов Все компоненты (аналоговые и цифровые), из которых составляется электрическая принципиальная схема, имеют математические модели двух типов: • встроенные математические модели стандартных компонентов, таких как резисторы, конденсаторы, диоды, транзисторы, независимые и зависимые источники сигналов, вентили и др., которые не могут быть изменены пользователями; можно только изменять значения их параметров; • макромодели произвольных компонентов, составляемые пользователями по своему усмотрению из стандартных компонентов. В свою очередь встроенные модели подразделяются на две категории: • простые модели, характеризуемые малым количеством параметров, которые можно указать непосредственно на схеме в виде атрибутов (например, модель резистора описывается одним — тремя параметрами, причем часть из них можно сделать на схеме невидимыми, чтобы не загромождать чертеж); • сложные модели, характеризуемые большим количеством параметров, которые заносятся в библиотеки моделей (например, модель биполярного транзистора характеризуется 52 параметрами). В программе МС7 используется двоякое описание моделируемого устройства: в виде чертежа его принципиальной электрической или функциональной схемы или в виде текстового описания в формате SPICE. Кроме того, при составлении принципиальной схемы часть параметров моделей компонентов задаются в виде их атрибутов и указываются непосредственно на схеме — такие модели будем называть моделями в формате схем. Остальные модели задаются в текстовом окне с помощью директив .MODEL и SUBCKT по правилам SPICE — их так и будем называть моделями в формате SPICE. В программе МС7 модели всех полупроводниковых приборов, операционных усилителей, магнитных сердечников, линий передачи и компонентов цифровых устройств имеют 187
формат SPICE. Их подробное описание приведено в монографии [12] и недавно вышедшей книге [8]. Поэтому ниже приведем лишь перечни их параметров, а модели компонентов в формате схем МС7 опишем более подробно. Описание моделей аналоговых компонентов приведем в том порядке, в котором они перечислены в разделе Analog Primitives меню Component (см. их перечень и изображения символов в Приложении 1). 4.2. Пассивные компоненты (Passive components) В меню компонентов в раздел пассивные компоненты (Passive components) включены резисторы, конденсаторы, индуктивности, линии передачи, высокочастотные трансформаторы, взаимные индуктивности, диоды с р—л-переходом и стабилитроны. Обратим внимание, что значения сопротивлений, емкостей и индуктивностеи могут быть числом или выражением, зависящим от времени, узловых потенциалов, разности узловых потенциалов или токов ветвей, температуры и других параметров (причем непосредственная зависимость параметров от времени в программе PSpice не предусмотрена, здесь Micro-Cap явно лидирует). Резистор (Resistor) Формат SPICE: Rxxx <+узел> <-узел> [имя модели] <значение> [ТС=<ТС1>[,<ТС2>]] Здесь ххх — произвольная алфавитно-цифровая последовательность общей длиной не более 7 символов, которая пишется слитно с символом R и вместе с ним образует имя компонента. Например: R1 15 О 2К R2 1 2 2.4Е4ТС=.001,1е-5 R3 3 0 2.1к*(1+0.05*Т1МЕ) R4 4 О RTEMP 5K MODEL RTEMP RES (R=3 DEV=5%TC1 =0.01) Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: <значение> \JC=<TC1>[,<TC2>]] Атрибут MODEL: [имя модели] Атрибут FREQ: [<выражение>] — например 10*f*v(10), при этом значение атрибута FREQ заменяет значение атрибута VALUE при расчете режима по постоянному току и проведении АС-анализа 188 (здесь f — частота), при расчете переходных процессов сопротивление резистора равно значению атрибута VALUE; SLIDER_MIN — минимальное относительное значение сопротивления, изменяемого в режиме Dynamic DC с помощью движкового регулятора; SLIDER_MAX — максимальное относительное значение сопротивления, изменяемого в режиме Dynamic DC с помощью движкового регулятора; Сопротивление резистора, определяемое параметром <значе- ние>, может быть числом или выражением, включающее в себя изменяющиеся во времени переменные, например 100+V(10)*2. Эти выражения можно использовать только при анализе переходных процессов. В режиме АС эти выражения вычисляются для значений переменных в режиме по постоянному току. В формате SPICE применение таких выражений недопустимо. Параметры, описывающие модель резистора, приведены в табл. 4.1. Таблица 4.1 Параметры модели резистора Обозначение R ТС1 ТС2 ТСЕ NM T_MEASURED T_ABS T_REL_GLOBAL T_REL_LOCAL Параметр Масштабный множитель сопротивления Линейный температурный коэффициент сопротивления Квадратичный температурный коэффициент сопротивления Экспоненциальный температурный коэффициент сопротивления Масштабный коэффициент спектральной плотности шума Температура измерения Абсолютная температура Относительная температура Разность между температурой устройства и модели-прототипа Размерность — ос-1 ос-2 %/°С — °с °с °с °с Значение по умолчанию 1 0 0 0 1 — — — 189
Если в описании резистора <имя модели> опущено, то его сопротивление равно параметру <сопротивление> в омах. Если <имя мо- дели> указано и в директиве .MODEL отсутствует параметр ТСЕ, то температурный фактор равен TF = 1 + ТС1 (Т - TNOM)+TC2(T - TNOM)2; если параметр ТСЕ указан, то температурный фактор равен ТР=1 л и ТСЕ(Т-TNOM) Здесь Т — текущее значение температуры (указывается по директиве .TEMP); TNOM = 27 °С — номинальная температура (указывается в окне Global Settings). Параметр <значение> может быть как положительным, так и отрицательным, но не равным нулю. Сопротивление резистора определяется выражением <3Ha4eHive>*R*TF*MF, где MF=1 ±<раз6рос в процентах, DEV или /_ОТ>/100. Спектральная плотность теплового тока резистора рассчитывается по формуле Найквиста Sj(f)=4kT/<conpomuMeHue>*NM. Для резисторов с отрицательным сопротивлением в этой формуле берется абсолютное значение сопротивления. Конденсатор (Capacitor) Формат SPICE: Сххх <+узел> <-узел> [имя модели] <значение> [\С=<начальное значение напряжения>] Например: С1 15 0 56PF С2 3 9 0.5PFIC=1.5V СЗ 4 6 CMOD 10U .MODEL CMOD САР(С=2.5 ТС1=0.01 VC1=0.2) Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: <значение> [IC=< начальное значение напряжения^ Атрибут MODEL: [имя модели] Атрибут FREQ: [<выражение>] — например 10*SQRT(f), при этом значение атрибута FREQ заменяет значение атрибута VALUE при проведении АС-анализа (здесь f — частота), при расчете переходных процессов емкость конденсатора равна значению атрибута VALUE. Емкость конденсатора, определяемая параметром <значение>, может быть числом или выражением, включающее в себя изменяю- 190 щиеся во времени переменные, например 100+V(10)*0.002*TIME. Эти выражения можно использовать только при анализе переходных процессов. В режиме АС эти выражения вычисляется для значений переменных в режиме по постоянному току. В формате SPICE применение таких выражений недопустимо. Параметры модели конденсатора приведены в табл. 4.2. Таблица 4.2 Параметры модели конденсатора Обозначение С VC1 VC2 ТС1 ТС2 T.MEASURED T.ABS T_REL_GLOBAL T_REL_LOCAL Параметр Масштабный множитель емкости Линейный коэффициент напряжения Квадратичный коэффициент напряжения Линейный температурный коэффициент емкости Квадратичный температурный коэффициент емкости Температура измерения Абсолютная температура Относительная температура Разность между температурой устройства и модели-прототипа Размерность — В"1 В"2 ос-1 0с-2 °с °С °С °С Значение по умолчанию 1 0 0 0 0 — — — Если в описании конденсатора <имя модели> опущено, то его емкость равна параметру <значение> в фарадах, в противном случае она определяется выражением <значение> С(1 +VC V+VC2 V2)[1 +TC1 (T-TNOM)+TC2(T-TNOM)2]. Здесь V — напряжение на конденсаторе при расчете переходных процессов. При расчете частотных характеристик (режим АС) емкость считается постоянной величиной, определяемой в рабочей точке по постоянному току. После ключевого слова 1С указывается значение напряжения на конденсаторе при расчете режима по постоянному току, которое 191
при расчете переходных процессов служит начальным значением этого напряжения. Индуктивность (Inductor) Формат SPICE: Lxxx <+узел> <-узел> [имя модели] <значение> [\С=<начальный то/о] Например: L1 15 О 20МН L2 1 2 0.2Е-6 L3 4 6 2VH Ю=2 LOAD 5 12 LMOD0.03 .MODEL LMOD IND (L=2 DEV=20% IL1=0.1) Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: <значение> [\С=<начальный тою-] Атрибут MODEL: [имя модели] Атрибут FREQ: [<выражение>] — например 10u*(F/100), при этом значение атрибута FREQ заменяет значение атрибута VALUE при проведении АС-анализа (здесь F — частота), при расчете переходных процессов индуктивность равна значению атрибута VALUE. Индуктивность, определяемая параметром <значение>, может быть числом или выражением, включающее в себя изменяющиеся во времени переменные, например 100+I(L2)*2. Эти выражения можно использовать только при анализе переходных процессов. В режиме АС эти выражения вычисляется для значений переменных в режиме по постоянному току. В формате SPICE применение таких выражений недопустимо. Параметры модели индуктивности приведены в табл. 4.3. Таблица 4.3 Параметры модели индуктивности Обозначение L IC1 Параметр Масштабный множитель индуктивности Линейный коэффициент тока Размерность — А"1 Значение по умолчанию 1 0 192 Обозначение IC2 ТС1 ТС2 T_MEASURED Т_АВС T_REL_GLOBAL T_REL_LOCAL Параметр Квадратичный коэффициент тока Линейный температурный коэффициент индуктивности Квадратичный температурный коэффициент индуктивности Температура измерений Абсолютная температура Относительная темпера гура Разность между температурой устройства и модели-прототипа Размерность А"2 ос-1 0с-2 °с °С °С °С Значение по умолчанию 0 0 0 — — — " Если в описании опущено <имя модели>, то индуктивность равна параметру <значение> в генри, в противном случае она определяется выражением <3HaweHue>-L(1+IL1-l+IL2-l2)[1+TC1(T-TNOM)+TC2(T-TNOM)2]. Здесь I — ток через катушку индуктивности при расчете переходных процессов. При расчете частотных характеристик (режим АС) индуктивность считается постоянной величиной, определяемой в рабочей точке по постоянному току. После ключевого слова 1С указывается значение тока через катушку индуктивностей при расчете режима по постоянному току, которое при расчете переходных процессов служит начальным значением этого тока. Взаимная индуктивность и магнитный сердечник (К) Формат SPICE: Кххх Lyyy Lzzz... коэффициент связи> Кххх Lyyy Lzzz ... коэффициент связи> <имя модели> ^масштабный коэффициент^ Например: К1 L1 L2 L3 0.8 К2 L1 L2 0.99 TH25V 7—1361 193
.MODEL TM25V CORE (LEVEL=2 MS=334E3 ALPHA=2.5E-2 A=4.05E3 K=166 C=0.05 + AREA=0.064 PATH=2.25) Здесь первый пример описывает связанные индуктивности без сердечника К1, второй — ферромагнитный сердечник К2, на котором находятся две катушки индуктивностей L1, L2. Формат схем МС: Атрибут PART: <имя> Атрибут INDUCTORS: <имя индуктивности>* Атрибут COUPLING: коэффициент связи> Атрибут MODEL: [имя модели] Порядок перечисления имен индуктивностей Lyyy, Lzzz ... безразличен, знак взаимной индуктивности определяется порядком перечисления узлов в описании каждой индуктивности. Параметром взаимной индуктивности является <коэффициент связи>. Если в трансформаторе имеется несколько обмоток, то можно либо определить взаимные индуктивности для каждой попарной комбинации обмоток в отдельных предложениях, либо в одном предложении указать список всех индуктивностей, имеющих одинаковый коэффициент связи. Коэффициент связи двух обмоток определяется выражением М,- коэффициент связи = -- , , LjLj где Ц Lj — индуктивности обмоток; Щ — их взаимная индуктивность. Напряжение на катушке U с учетом взаимной индукции определяется выражением На одном сердечнике помещается одна или несколько обмоток с именами Lyyy, Lzzz... Все обмотки имеют одинаковый коэффициент связи>. При описании каждой обмотки Lyyy..., упомянутой в составе сердечника, изменяется смысл параметра <значение> — теперь он определяет не индуктивность, а число витков обмотки сердечника. Параметр кмасштабный коэффициент> изменяет площадь поперечного сечения магнитолровода (по умолчанию равен единице). Площадь поперечного сечения равна произведению этого коэффициента на параметр модели сердечника AREA. Параметры модели магнитного сердечника приведены в табл. 4.4 (в SPICE это модель LEVEL=2). 194 Таблица 4.4 Параметры модели магнитного сердечника Обозначение AREA PATH GAP MS A С К ALPHA Параметр Площадь поперечного сечения магнитопровода Средняя длина магнитной силовой линии Ширина воздушного зазора Намагниченность насы- щэния Параметр формы без- гистерезисной кривой намагничивания Постоянная упругого смещения доменных границ Постоянная подвижности доменов Параметр магнитной связи доменов Размерность см2 см см А/м А/м А/м — Значение по умолчанию 1 1 0 400-103 25 0,001 25 0,001 Пиния передачи (Transmission line) Формат SPICE: Линия передачи без потерь описывается предложением Тххх <+узел порта А> <-узел порта А> <+узел порта В> <-узел порта В> [имя модели] + 20=<значение> [Т0=<значение>] [Р=<значение> [Ы1=<значение>]] Здесь ZO — волновое сопротивление линии (Ом); TD — задержка сигнала в линии (с); NL — электрическая длина линии на частоте F (NL=I/a, где I — геометрическая длина линии, л — длина волны в линии, по умолчанию NL=0,25). При описании линии передачи задается параметр TD либо F и NL. 195
Например, Т1 1 2 3 4 Z0=50 Т2 1 2 3 4 ZO=75 TD=115ns ТЗ 1 2 3 4 ZO=75 F=4.5MEG T4 1 2 3 4 ZO=75 F=4.5MEG NL=0.5 Линия передач с потерями описывается предложением Тххх <+узел порта А> <-узеп порта А> <+узел порта В> <- узел порта В> + [<имя модели> [электрическая длина]] + 1ЕЫ=<значение> Н=<значение> 1=<значение> &=<значение> С=<значение> Здесь LEN - длина линии (м); R, L, G, С — погонные сопротивление линии (Ом/м), индуктивность (Гн/м), проводимость (См/м), емкость (Ф/м), рис. 4.1. В принципе длину линии можно указывать в любых единицах, например в километрах, но тогда нужно соответствующим образом пересчитать значения погонных параметров R, L, G и С. 4 сегмент v Рис. 4.1. Схема замещения линии передачи Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: 10=<значение> [70=<значение>] [Г==<значение> [МЫ<значение>]] - для идеальной линии передач без потерь; Атрибут VALUE: олектрическая длина> 1ЕЫ=<значение> И=<значение> 1=<значение> <3>=<значение> С=<значение>- для линии передач с потерями; Атрибут MODEL: [имя модели] Модель линии передачи характеризуется параметрами, указанными в табл. 4.5. 196 Таблица 4.5 Параметры модели линии передачи Обозначение Параметр Размерность Значение по умолчанию Идеальная линия без потерь ZO TD F NL Волновое сопротивление Время задержки сигнала Частота для расчета NL Электрическая длина на частоте F Ом с Гц — — — 0,25 Линия с потерями R L G С LEN Погонное сопротивление Погонная индуктивность Погонная проводимость Погонная емкость Длина линии Ом/м Гн/м См/м Ф/м м — — — — — Линия передач без потерь при расчете переходных процессов выполняет роль линии задержки, при расчете частотных характеристик она представляет собой безынерционное звено. Для линии передач с потерями аналитически рассчитывается комплексный коэффициент передачи линии. Анализ переходных процессов производится с помощью интеграла свертки с импульсной характеристикой линии, которая вычисляется как преобразование Фурье коэффициента передачи (что требует очень больших затрат времени). Трансформатор (Transformer) Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: киндуктивность первичной обмот- ки>,<индуктивность вторичной обмотки>,<коэффициент связи> В программе МС7 имеется модель идеального двухобмоточного трансформатора без потерь, в качестве параметров которого задаются значения индуктивностей обмоток и коэффициент связи, например: 0.01uH,0.5uH,.98 197
Диод (Diode) и стабилитрон (Zener) Формат SPICE: Dxxx <узел анода> <узел катода> <имя модели> [Area] [OFF] [IC=<Vcfc>] Примеры: D1 1 2 D9B D2 7 8 D220A 1.0 OFF IC-0.001 Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: [Area] [OFF] [IC=< Vcfc>] Атрибут MODEL: [имя модели] Параметр Area задает коэффициент кратности для учета подключения нескольких параллельных диодов (параметры модели диода умножаются или делятся на эту величину). Параметр 1С задает начальное напряжение на диоде Vd при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC или SKIPBP программы PSpice). Включение ключевого слова OFF исключает диод из схемы при проведении первой итерации расчета режима по постоянному току. Модель диода задается директивой .MODEL <имя модели> 0[(параметры модели)] Приведем пример модели диода Д104А: .model D104A D (IS=5.81E-12 RS=8.1 N=1.15 TT=8.28NS CJ0=41.2PFVJ=0.71 M=0.33FC=0.5EG=1.11 XTI=3) Математическая модель диода задается параметрами, перечисленными в табл. 4.6. Таблица 4.6 Параметры модели диода Обозначение Level IS RS Параметр Тип модели: 1 — SPICE2G, 2 — PSpice Ток насыщения при температуре 27°С Объемное сопротивление Значение по умолчанию 1 ю-14 0 Единица измерения А Ом 198 Обозначение N ISR NR IKF ТТ CJO V-I М EG FC BV IBV NBV IBVL NBVL XTI Параметр Коэффициент инжекции Параметр тока рекомбинации Коэффициент эмиссии для тока ISR Предельный ток при высоком уровне инжекции Время переноса заряда Барьерная емкость при нулевом смещении Контактная разность потенциалов Коэффициент лавинного умножения Ширина запрещенной зоны Коэффициент нелинейности барьерной емкости прямосмещенного перехода Обратное напряжение пробоя(положительная величина) Начальный ток пробоя, соответствующий напряжению BV (положительная величина) Коэффициент неидеальности на участке пробоя Начальный ток пробоя низкого уроеня Коэффициент неидеальности на участке пробоя низкого уровня Температурный коэффициент тока насыщения Значение по умолчанию 1 0 2 оо 0 0 1 0,5 1,11 0,5 ОО ю-10 1 0 1 3 Единица измерения — А А с Ф В — эВ В А ~~ А 199
Обозначение TIKF TBV1 TBV2 TRS1 TRS2 KF AF RL T_MEASURD T_ABS T_REL_GLOBAL T_REL_LOCL Параметр Линейный температурный коэффициент IKF Линейный температурный коэффициент BV Квадратичный температурный коэффициент BV Линейный температурный коэффициент RS Квадратичный температурный коэффициент RS Коэффициент фликкер- шума Показатель степени в формуле фликкер-шума Сопротивление утечки перехода Температура измерений Абсолютная температура Относительная температура Разность между температурой диода и модели-прототипа Значение по умолчанию 0 0 0 0 0 0 1 оо — — — Единица измерения 'с-1 'с-1 °с-2 °с-1 с-2 — — Ом °с °с °с °с 4.3. Активные компоненты (Active components) В программе МС7 используются те же математические модели полупроводниковых приборов, что и в программе PSpice [8, 13], которые отличаются лишь малозначительными деталями, поэтому ограничимся лишь описанием формата их задания. Биполярный транзистор (Bipolar transistor) Формат SPICE: Qxxx <узел коллектора> <узел 6азы> <узел эмиттера> [<узел подложки>] + <имя модели> [Area] [OFF] [IC=< Vbe>[, Vce]] Формат схем МС: Атрибут PART: <имя> 200 Атрибут VALUE: [Area] [OFF] [\C=<Vbe>[,Vce]] Атрибут MODEL: [имя модели] Модели биполярных транзисторов задаются в виде .MODEL <имя модели> NPN [{параметры модели)] .MODEL <имя модели> PNP [{параметры модели)] Параметр Area задает коэффициент кратности для учета подключения нескольких параллельных транзисторов (параметры модели транзистора умножаются или делятся на эту величину). Параметр 1С задает начальное напряжение база-эмиттер Vbe и коллектор-эмиттер Vce при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC программы PSpice). Включение ключевого слова OFF исключает транзистор из схемы при проведении первой итерации расчета режима по постоянному току. В программе МС7 используется схема замещения биполярного транзистора в виде модели Гуммеля-Пуна, которая автоматически упрощается до более простой модели Эберса-Молла, если опустить некоторые параметры. Список параметров полной математической модели биполярного транзистора приведем в табл. 4.7. Таблица 4.7 Параметры модели биполярного транзистора Имя параметра IS BF BR NF NR Параметр Ток насыщения при температуре 27°С Максимальный коэффициент усиления тока в нормальном режиме в схеме с ОЭ (без учета токов утечки) Максимальный коэффициент усиления тока в инверсном режиме в схеме с ОЭ Коэффициент неидеальности в нормальном режиме Коэффициент неидеальности в инверсном режиме Значение по умолчанию ю-16 100 1 1 1 Единица измерения А __ ~— 201
Имя параметра ISE* ISC* IKF* IKR* NE* NC* VAP VAR* RC RE RB RBM* IRB* Параметр Ток насыщения утечки перехода база-эмиттер Ток насыщения утечки перехода база- коллектор Ток начала спада зависимости BF от тока коллектора в нормальном режиме Ток начала спада зависимости BR от тока эмиттера в инверсном режиме Коэффициент неидеальности перехода база-эмиттер Коэффициент неидеальности коллекторного перехода Напряжение Эрли в нормальном режиме Напряжение Эрли в инверсном режиме Объемное сопротивление коллектора Объемное сопротивление эмиттера Объемное сопротивление базы (максимальное) при нулевом смещении перехода база- эмиттер Минимальное сопротивление базы при больших токах Ток базы, при котором сопротивление базы уменьшается на 50% полного перепада между RB и RBM Значение по умолчанию 0 0 СО со 1,5 1,5 СО со 0 0 0 RB со Единица измерения А А А А " "-— В В Ом Ом Ом Ом А 202 Имя параметра TF TR XTF VTF ITF PTF CJE VJE (РЕ) MJE (ME) CJC VJC (PC) MJC(MC) Параметр Время переноса заряда через базу в нормальном режиме Время переноса заряда через базу в инверсном режиме Коэффициент, определяющий зависимость TF от смещения база- коллектор Напряжение, характеризующее зависимость TF от сме! иения база- коллектор Ток, характеризующий зависимость TF от тока коллектора при больших токах Дополнительный фазовый сдвиг на граничной частоте транзистора /|р=1/(2л77=) Емкость эмиттерного перехода при нулевом смещении Контактная разность потенциалов перехода база-эмиттер Коэффициент, учитывающий плавность эмиттерного перехода Емкость коллекторного перехода при нулевом смещении Контактная разность потенциалов перехода база-коллектор Коэффициент, учитывающий плавность коллекторного перехода Значение по умолчанию 0 0 0 со 0 0 0 0,75 0,33 0 0,75 0,33 Единица измерения с с В А град. пФ В ~~ Ф В " 203
Имя параметра CJS(CCS) VJS(PS) MJS(MS) XCJC FC EG XTB XTI(PT) TRE1 TRE2 TRB1 TRB2 TRM1 TRM2 TRC1 TRC2 Параметр Емкость коллектор- подложка при нулевом смещении Контактная разность потенциалов перехода коллектор-подложка Коэффициент, учитывающий плавность перехода коллектор-подложка Коэффициент расщепления емкости база- коллектор Коэффициент нелинейности барьерных емкостей прямосмещенных переходов Ширина запрещенной зоны Температурный коэффициент BF и BR Температурный коэффициент IS Линейный температурный коэффициент RE Квадратичный температурный коэффициент RE Линейный температурный коэффициент RB Квадратичный температурный коэффициент RB Линейный температурный коэффициент RBM Квадратичный температурный коэффициент RBM Линейный температурный коэффициент RC Квадратичный температурный коэффициент RC Значение по умолчанию 0 0,75 0 1 0,5 1,11 0 3 0 0 0 0 0 0 0 0 Единица измерения Ф В _— эВ — — "с-1 °с-2 "с-1 "с-2 'с-1 Jc-2 с-1 °с-2 204 Имя параметра KF AF T_MEASURED T_ABS T_RB._GLOBAL T_REL_LOCAL Параметр Коэффициент, определяющий спектральную плотность фликкер-шума Показатель степени, определяющий зависимость спектральной плотности фликкер- шума от тока через переход Температура измерений Абсолютная температура Относительная температура Разность между температурой транзистора и модели-прототипа Значение по умолчанию 0 1 — — — Единица измерения °С °С °с °с * Для модели Гуммеля-Пуна. Арсенид-галлиевый полевой транзистор (GaAsFET) Формат SPICE: Вххх <узел стока> <узел затвора> <узел истока> <имя модели> [Area] [OFF] [IC=<Vcte>[, Vgs]] Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: [Area] [OFF] [\C=<Vds>[, Vgs]] Атрибут MODEL: [имя модели] Параметр Area задает коэффициент кратности для учета подключения нескольких параллельных транзисторов (параметры модели транзистора умножаются или делятся на эту величину). Параметр 1С задает начальное напряжение сток-.исток Vds и затвор- сток Vgs при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC программы PSpice). Включение ключевого слова OFF исключает транзистор из схемы при проведении первой итерации расчета режима по постоянному току. Модель арсенид-галлиевого полевого транзистора задается в виде 205
.MODEL <имя Modenu>GASFET [(параметры модели)] Арсенид-галлиевые полевые транзисторы (GaAsFET) являются приборами с каналом п-типа и имеют три модели, предложенные Куртисом (Curtice), Рэйтеоном (Raytheon) и TriQuint модель. Модель Куртиса дает удовлетворительные результаты лишь при расчете статического режима. Остальные модели отражают и динамические характеристики арсенид-галлиевого транзистора. Параметры трех математических моделей приведены в табл. 4.8. Таблица 4.8 Параметры модели арсенид-галлиевого транзистора Обозначение LEVEL VT0 VBI ALPHA В BETA LAMBDA GAMMA DELTA Q RG Параметр Тип модели: 1 - модель Куртиса, 2 - модель Рэйтеона, 3 - модель TriQuit Барьерный потенциал перехода Шотки Контактная разность потенциалов Константа, определяющая ток /drain (Level=1-3) Параметр легирования (Level=2) Коэффициент пропорциональности в выражении для тока стока Параметр модуляции длины канала Параметр статической обратной связи (для Level=3) Параметр выходной обратной связи (для Level=3, 4) Показатель степени (для Level=3, 4) Объемное сопротивление области затвора Значение по умолчанию 1 -2,5 1,0 2,0 0,3 0,1 0 0 0 2 0 Единица измерения В В 1/В 1/В А/В2 1/В — (А-В)-1 — Ом 206 Обозначение RD RS CGD CGS CDS IS TAU М N FC VBI EG XTI VDELTA VMAX Параметр Объемное сопротивление области стока Объемное сопротивление области истока Емкость затвор-сток при нулевом смещении Емкость затвор-исток при нулевом смещении Емкость сток-исток при нулевом смещении Ток насыщения р-п- перехода затвор-канал Время переноса носителей заряда (Level=1-3) Коэффициент лавинного умножения перехода затвора (Level=1-3) Коэффициент неидеальности Коэффициент нелинейности барьерной емкости прямосмещенного перехода затвора Контактная разность потенциалов р-п- перехода затвора Ширина запрещенной зоны Температурный коэффициент тока IS Напряжение, входящее в выражения для емкостей переходов (для Level=2 и 3) Максимальное напряжение, входящее в выражения для емкостей переходов (для Level=2 и 3) Значение по умолчанию 0 0 0 0 0 10"14 0 0,5 1 0,5 1 1,11 0 0,2 0,5 Единица измерения Ом Ом Ф Ф Ф А с — В эВ В В 207
Обозначение VTOTC ВЕТАТСЕ TRG1 TRD1 TRS1 KF AF T_MEASURED T_ABS T_REL_GLOBAL T_REL_LOCAL Параметр Температурный коэффициент VTO Температурный коэффициент BETA Линейный температурный коэффициент RG Линейный температурный коэффициент RD Линейный температурный коэффициент RS Коэффициент, определяющий спектральную плотность фликкер-шума Показатель степени, определяющий зависимость спектральной плотности фликкер- шума от тока через переход Температура измерения Абсолютная температура Относительная температура Разность между температурой транзистора и модели-прототипа Значение по умолчанию 0 0 0 0 0 0 1 — — — _ Единица измерения в/°с %/°с 1/°С 1/°С 1/°С °с °с °с °с МОП-транзистор (MOSFET) Формат SPICE: Мххх <узел стока> <узел затвора> <узел истока> <узел подложки> <имя модели> + [1=<значение>] [4\1=<значение>] [А0=<значение>] [А5=<значение>] + [Р0=<значение>] [Р3=<значение>] [ЫИ0=<значение>] [ЫИ5=<значение>] + [NRG=<3Ha4eHue>] [ЫР\В=<значение>] + [OFF] [IC=< Vds>[, Vgs[, Vbs]]] Формат схем МС: 208 Атрибут PART: <имя> Атрибут VALUE: [Ы<значение>] [ЧЯ=<значение>] [А0=<значение>] [А3=<значение>] + [Р0=<значение>] [Р5=<значение>] [ЫИО=<значение>] [NRS=<3Hawewue>] + [NRG=<3Hawewue>] [ЫНВ=<значение>] + [OFF] [IC=<Vds>[, Vgs[, Vbs]]] Атрибут MODEL: [имя модели] Параметр 1С задает начальное напряжение сток-исток Vds, затвор-сток Vgs и затвор-подложка Vbs при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC программы PSpice). Включение ключевого слова OFF исключает транзистор из схемы при проведении первой итерации расчета режима по постоянному току. Необязательные параметры приведены в табл. 4.9. Параметры L и W могут быть заданы при описании модели МОП-транзистора по директиве .MODEL; кроме того, параметры L, W, AD и AS по умолчанию принимают значения, присваиваемые в диалоговом окне Global Settings (см. п. 2.1.5). Таблица 4.9 Физические параметры МОП-транзистора Обозначение L W AD AS PD PS NRD NRS Параметр Длина канала Ширина канала Площадь диффузионной области стока Площадь диффузионной области истока Периметр диффузионной области стока Периметр диффузионной области истока Удельное относительное сопротивление стока Удельное относительное сопротивление истока Значение по умолчанию DEFL DEFW DEFAD DEFAS 0 0 1 1 Размерность м м м м м м — — 209
Обозначение NRG NRB Параметр Удельное относительное сопротивление затвора Удельное относительное сопротивление подложки Значение по умолчанию 0 0 Размерность — — Модели МОП-транзисторов задаются в виде: .MODEL <имя модели> NMOS[(napa/wempb/ модели)] .MODEL <имя модели >РМОБ[(параметры модели)] В программе МС7 МОП-транзисторы описываются тремя разными системами уравнений, выбор которых определяется параметром LEVEL, принимающим значения 1, 2 и 3. Модель первого уровня (LEVEL=1) используется в тех случаях, когда не предъявляются высокие требования к точности моделирования вольт- амперных характеристик транзистора, в частности, при моделировании МОП-транзисторов с коротким или узким каналом. Модели второго (LEVEL=2) и третьего (LEVEL=3) уровней учитывают более тонкие физические эффекты. Параметры трех математических моделей приведены в табл. 4.10. Таблица 4.10 Параметры модели МОП-транзистора Обозначение LEVEL L W LD WD VTO КР Уровень модели LEVEL 1-3 1-3 1-3 1-3 1-3 1-3 Параметр Индекс модели Длина канала Ширина канала Длина области боковой диффузии Ширина области боковой диффузии Пороговое напряжение при нулевом смещении подложки Ум=0 Параметр удельной крутизны Значение по умолчанию 1 DEFL DEFW 0 0 1 2-10"5 Единица измерения — м м м м В А/В 210 Обозначение GAMMA РН! LAMBDA RD RS RG RB RDS RSH IS JS JSSW РВ Уровень модели LEVEL 1-3 1-3 1,2 1-3 1-3 1-3 1-3 1-3 1-3 1-3 1-3 1-3 1-3 Параметр Коэффициент влияния потенциала подложки на пороговое напряжение Поверхностный потенциал сильной инверсии Параметр модуляции длины канала Объемное сопротивление стока Объемное сопротивление истока Объемное сопротивление затвора Объемное сопротивление подложки Сопротивление утечки сток-исток Удельное сопротивление диффузионных областей истока истока Ток насыщения р-п- перехода сток- подложка (исток- подложка) Плотность тока насыщения перехода сток (исток)- подложка Удельная плотность тока насыщения (на длину периметра) Напряжение инверсии приповерхностного слоя подложки Значение по умолчанию Вычисляется 0,6 0 0 0 0 0 оо 0 ю-14 0 0 0,8 Единица измерения В В 1/В Ом Ом Ом Ом Ом Ом/кв. А/м2 А/м2 А/м В 211
Обозначение PBSW N СВО CBS CJ CJSW MJ MJSW Уровень модели LEVEL 1-3 1-3 1-3 1-3 1-3 1-3 1-3 1-3 Параметр Напряжение инверсии боковой поверхности р-л-перехода Коэффициент неидеальности перехода подложка-сток Емкость донной части перехода сток-подложка при нулевом смещении Емкость донной части перехода исток-подложка при нулевом смещении Удельная емкость донной части р-п- перехода сток (ис- ток)-подложка при нулевом смещении (на площадь перехода) Удельная емкость боковой поверхности перехода сток (исток)-подложка при нулевом смещении (на длину периметра) Коэффициент, учитывающий плавность перехода подложка-сток (исток) Коэффициент наклона боковой поверхности перехода подложка-сток (исток) Значение по умолчанию РВ 1 0 0 0 0 0,5 0,3 Единица измерения В Ф Ф Ф/м2 Ф/м 212 Обозначение FC CGSO CGDO CGBO ТТ NSUB NSS NFS ТОХ TPG Уровень модели LEVEL 1-3 1-3 1-3 1-3 1-3 1-3 2,3 2,3 1-3 2,3 Параметр Коэффициент нелинейности барьерной емкости пря- мосмещенного перехода подложки Удельная емкость перекрытия затвор- исток (за счет боковой диффузии) Удельная емкость перекрытия затвор- сток на длину канала (за счет боковой диффузии) Удельная емкость перекрытия затвор- подложка (за счет выхода затвора за пределы канала) Время переноса заряда через р-п- переход Уровень легирования подложки Плотность медленных поверхностных состояний на границе кремний - подза- творный оксид Плотность быстрых поверхностных состояний на границе кремний - подза- творный оксид Толщина оксида Легирование затвора (+1 — примесью того же типа, как и для подложки; -1 — примесью противоположного типа; 0 — металл) Значение по умолчанию 0,5 0 0 0 0 Нет Нет 0 Вычисляется 1 Единица измерения Ф/м Ф/м Ф/м с 1/см3 1/см2 м 213
Обозначение XJ UO UCRIT UEXP VMAX NEFF XQC DELTA THETA ETA Уровень модели LEVEL 2,3 1-3 2 2 2,3 2 2,3 2,3 3 3 Параметр Глубина металлургического перехода областей стока и истока Подвижность носителей тока в инверсном слое канала Критическая напряженность вертикального поля, при которой подвижность носителей уменьшается в два раза Эмпирическая константа, определяющая подвижность носителей Максимальная скорость дрейфа носителей Эмпирический коэффициент коррекции концентрации примесей в канале Доля заряда канала, ассоциированного со стоком Коэффициент влияния ширины канала на пороговое напряжение Коэффициент модуляции подвижности носителей под влиянием вертикального поля Параметр влияния напряжения сток- исток на пороговое напряжение (статическая обратная связь) Значение по умолчанию 0 600 ю4 0 оо 1 0 0 0 0 Единица измерения м см2/В/с В/см м/с ~™ 1/В 214 Обозначение КАРРА KF AF T_MEASURED T_ABS T_REL_GLOBAL T_REL_LOCAL Уровень модели LEVEL 3 1-3 1-3 1-3 1-3 1-3 1-3 Параметр Параметр модуляции длины канала напряжением сток- исток Коэффициент, определяющий спектральную плотность фликкер- шума Показатель степени, определяющий зависимость спектральной плотности фликкер-шума от тока через переход Температура измерения Абсолютная температура Относительная температура Разность между температурой транзистора и модели- прототипа Значение по умолчанию 0,2 0 1 — — — Единица измерения °С °С °с °с Полевой транзистор (JFET) Формат SPICE: Jxxx <узел стока> <узел затвора> <узел истока> <имя модели> [Area] [OFF] [IC=< Ws>[, Vgs]] Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: [Area] [OFF] [\C=<Vds>[,Vgs]] Атрибут MODEL: [имя модели] Параметр Area задает коэффициент кратности для учета подключения нескольких параллельных транзисторов (параметры модели транзистора умножаются или делятся на эту величину). Па- 215
раметр 1С задает начальное напряжение сток-исток Vds и затвор- сток Vgs при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC программы PSpice). Включение ключевого слова OFF исключает транзистор из схемы при проведении первой итерации расчета режима по постоянному току. Модель полевого транзистора задается в виде .MODEL <имя модели> NJF [(параметры модели)] .MODEL <имя модели> PJF [(параметры модели)] Полевые транзисторы с управляющим р-п-переходом описываются моделью Шихмана-Ходжеса, параметры которой приведены в табл. 4.11. Таблица 4.11 Параметры модели полевого транзистора Обозначение VT0 BETA LAMBDA IS RD RS CGD CGS FC PB Параметр Пороговое напряжение Коэффициент пропорциональности Параметр модуляции длины канала Ток насыщения р-л- перехода затвор-канал Объемное сопротивление области стока Объемное сопротивление области истока Емкость перехода затвор-сток при нулевом смещении Емкость перехода затвор-исток при нулевом смещении Коэффициент нелинейности емкостей переходов при прямом смещении Контактная разность потенциалов р-л- перехода затвора Значение по умолчанию -2 ю-4 0 ю-14 0 0 0 0 0,5 1 Единица измерения В А/В 1/В А Ом Ом Ф Ф — В 216 Обозначение VTOTC ВЕТАТСЕ XTI KF AF Т MEASURD T_ABS T_REL_GLOBAL T_REL_LOCAL Параметр Температурный коэффициент VTO Температурный коэффициент BETA Температурный коэффициент тока IS Коэффициент, определяющий спектральную плотность фликкер-шума Показатель степени, определяющий зависимость спектральной плотности фликкер- шума от тока через переход Температура измерения Абсолютная температура Относительная температура Разность между температурой транзистора и модели-прототипа Значение по умолчанию 0 0 3 0 1 — — — Единица измерения В/°С %/°с °с °с °с °с Операционный усилитель (ОРАМР) Формат схем МС: Атрибут PART: <имя> Атрибут MODEL: [имя модели] В программе МС7 имеются модели операционных усилителей трех типов: LEVEL 1 — простейшая линейная модель, представляющая собой источник тока, управляемый напряжением. ОУ имеет конечное выходное и бесконечное входное сопротивление (тем не менее выводы питания ОУ нужно подключить к схеме, так как в модели они подсоединены к "земле" через сопротивления 1 Ом), рис. 4.2,а; LEVEL 2 — более сложная линейная модель, состоящая из трех каскадов и имитирующая два полюса передаточной функции ОУ, ограничение скорости нарастания выходного напряжения, конечный коэффициент усиления и конечное выходное сопротивление, рис. 4.2, б; LEVEL 3 — нелинейная модель, аналогичная той, что применя- 217
ется в программе PSpice. В ней учитываются ограничения на скорость нарастания выходного напряжения, значения выходного сопротивления на постоянном и переменном токе, ток и напряжение смещения, запас по фазе на частоте единичного усиления, площадь усиления, коэффициент подавления синфазного сигнала, реальные значения диапазона выходного напряжения и тока, рис. 4.2, е. Возможен выбор типа входного дифференциального каскада. Все они имеют одинаковую графику символов (рис. 4.2,а). Вых Вх + ВхГ а) (+)-. Вх G2 <+> - GM Вх R, ROUT ROUT = ROUTAC + ROUTDC GM = A/ROUT 6) Вых г) Рис. 4.2. УГО операционного усилителя (а) и его модели первого (б), второго (в) и третьего (г) уровней LEVEL 218 В отличие от программы PSpice, в которой модель ОУ описывается только как макромодель, в программе МС7 также используются и встроенные модели ОУ (LEVEL =1,2, 3), что упрощает работу с ними и повышает скорость моделирования. Модель ОУ задается по директиве: .MODEL <имя модели> ОРА ([список параметров]) Перечень параметров модели ОУ приведен в табл. 4.12. Таблица 4.12 Параметры моделей операционных усилителей Обозначение LEVEL TYPE С А ROUTAC ROUTDC VOFF IOFF SRP SRN Уровень модели LEVEL 1 —3 3 3 1 —3 1 —3 1 —3 3 3 2,3 2,3 Параметр Уровень модели (1,2, 3) Тип входного транзистора: 1 — NPN, 2 —PNP, 3 —JFET Емкость коррекции Коэффициент усиления на постоянном токе Выходное сопротивление по переменному току Выходное сопротивление по постоянному току Напряжение смещения нуля Разность входных токов смещения Максимальная скорость нарастания выходного напряжения Максимальная скорость спада выходного напряжения мерность — Ф Ом Ом В А В/с В/с Значение по умолчанию 1 1 30-10"12 2-105 75 125 0,001 10"9 5-105 5-105 219
Обозначение (BIAS vcc VEE VPS VNS CMRR GBW PM PD IOSC T_MEASURED T_ABC T_REL_GLOBAL T_REL_LOCAL Уровень модели LEVEL 3 3 3 3 3 3 2,3 2,3 3 3 3 3 3 3 Параметр Входной ток смещения Напряжение положительного питания Напряжение отрицательного питания Максимальное выходное положительное напряжение Максимальное выходное отрицательное напряжение Коэффициент подавления синфазного сигнала Площадь усиления (равна произведению коэффициента усиления А на частоту первого полюса) Запас по фазе на частоте единичного усиления Потребляемая мощность Выходной ток короткого замыкания Температура измерений Абсолютная температура Относительная температура Разность между температурой устройства и модели-прототипа мерность А В В В В — град. Вт А °С "С °С °С Значение по умолчанию ю-7 15 -15 13 -13 105 106 60 0,025 0,02 — — — 220 4.4. Источники сигналов (Waveform sources) Источники постоянного напряжения (Battery) Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: <значение> Источники постоянного тока (Isource) Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: <значение> Источник импульсного напряжения (Pulse source) Формат схем МС: Атрибут PART: <имя> Атрибут MODEL: <имя модели> Параметры модели этого источника задаются по директиве .MODEL <имя модели> PUL ([список параметров]) Параметры модели источника импульсного напряжения приведены в табл. 4.13, а его форма на рис. 4.3, а. Таблица 4.13 Параметры модели источника импульсного сигнала в формате МС Обозначение VZERO VONE Р1 Р2 РЗ Р4 Р5 Параметр Начальное значение Максимальное значение Начало переднего фронта Начало плоской вершины импульса Конец плоской вершины импульса Момент достижения с уровня VZERO Период повторения Размерность В В с с с с с Значение по умолчанию 0 5 0 Р1 + 0,1 не 0,5 мке РЗ+ 10 нс 1 МКС Примечание. Амплитуда сигнала в режиме АС принимается равной 1 В. 221
P1P2 P3P4 P5 f a) 6) Рис. 4.3. Описание импульсного сигнала в формате МС (а) и SPICE (б) Источник синусоидального напряжения (Sine source) Формат схем МС: Атрибут PART: <имя> Атрибут MODEL: <имя модели> Параметры модели этого источника задаются по директиве .MODEL <имя модели> SIN ([список параметров]) Параметры модели источника синусоидального напряжения приведены в табл. 4.14, а его форма на рис. 4.4. Таблица 4.14 Параметры модели источника гармонического сигнала Обозначение Параметр Частота Размерность ГЦ Значение по умолчанию 10^ 222 Обозначение А DC РН RS RP TAU Параметр Амплитуда Постоянная составляющая Начальная фаза Внутреннее сопротивление Период повторения затухающего сигнала Постоянная времени изменения амплитуды сигнала по экспоненциальному закону Размерность В В град. Ом с с Значение по умолчанию 1 0 0 0,001 0 0 Примечание. Амплитуда сигнала в режиме АС принимается равной 1 В. Рис. 4.4 Синусоидальный сигнал Источник напряжения, задаваемый пользователем (User source) Формат схем МС: Атрибут PART: <имя> Атрибут FILE: <имя файла> Атрибут EXPRESSION: [<текс/7ювое выражение>] Пользователь имеет возможность задать источник напряжения произвольной формы. Отсчеты сигнала записываются в текстовый файл, который имеет стандартное расширение .USR. В этом файле записывается общее количество отсчетов N и пары значений, определяющие выражения для отсчетов моментов времени и значения напряжений. Этот файл может быть создан с помо- 223
щью любого текстового редактора или образован путем сохранения одного или нескольких сигналов, полученных в результате расчета переходных процессов. Независимые источники напряжения и тока (V и I) Независимые источники напряжения (V) и тока (I) позволяют создавать входные воздействия разнообразной формы: импульсные, синусоидальные, экспоненциальные, кусочно-линейные, с частотной модуляцией. Для всех этих сигналов указывается значение постоянной составляющей (DC) — необязательный параметр. Кроме того, при расчете частотных характеристик (режим АС) можно задавать амплитуду и начальную фазу этих сигналов. Формат SPICE: Чххх <+узел> <-узел> [[DC] <значение>] [АС <модуль> [<фа- за>]] + [PULSE v1 v2 [td [tr [tf[pw [per]]]]]] — импульсный сигнал напряжения или [SIN vO va [Ю [td [df[ph]]]]] — синусоидальный сигнал напряжения или [EXP v1 v2 [td1 [td [td2 [tc2]]]]] — сигнал напряжения экспоненциальной формы или [PWL t1 v1 t2 v2 ... [tn vn]] — кусочно-линейный сигнал напряжения или [SFFM vO va Ю [mi [fm]]] — гармонический сигнал напряжения с синусоидальной частотной модуляцией \ххх <+узел> <-узел> [[DC] <значение>] [АС <модуль> [<фаза>]] + [PULSE И i2[td[tr[tf[pw [per]]]]]] — импульсный сигнал тока или [SIN Ю ia [fO [td[df[ph]]]]] — синусоидальный сигнал тока или [ЕХР И i2 [td1 [td [td2 [tc2]]]]] — сигнал тока экспоненциальной формы или [PWL 11 it t2 \2... [tn vn]] — кусочно-линейный сигнал тока или [SFFM Ю ia Ю [mi [fm]]] — гармонический сигнал тока с синусоидальной частотной модуляцией Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: <список параметров> В <список параметров> вносится та же информация, что по формату SPICE, за исключением имени компонента и номеров узлов его подключения. Импульсный сигнал (PULSE) задается параметрами, приведенными в табл. 4.15 (рис. 4.3, б). 224 Таблица 415 Параметры импульсного сигнала в формате SPICE Обозначение У» Уг td tr tf pw per Параметр Начальное значение Максимальное значение Начало переднего фронта Длительность переднего фронта Длительность заднего фронта Длительность плоской части импульса Период повторения Размерность В или А В или А с с с с с Значение по умолчанию — — 0 TSTEP* TSTEP* TSTOP** TSTOP** * TSTEP - шаг вывода на печать. ** TSTOP - конечное время анализа переходного процесса (параметры | TSTEP и TSTOP задаются в директиве TRAN). Синусоидальный сигнал (SIN) описывается выражением (см. рис. 4.4): y(t)-- уО+уа-s\n(2nph/360) при 0<t<td; у0+ уа- ехр[-(? - td)df] ■ sin[2n f(t - td) + 2nph/360] при t > td. Ее параметры описаны в табл. 4.16. Таблица 4.16 Параметры гармонического сигнала в формате SPICE Обозначение уО уа f td df ph Параметр Постоянная составляющая Амплитуда Частота Задержка Коэффициент затухания Фаза Размерность Вили А В или А ГЦ с 1/с град. Значение по умолчанию — — 1A"STOP 0 0 0 Экспоненциальная функция (ЕХР) описывается выражением (рис. 4.5): 8—1361 225
Рис. 4.5. Экспоненциальная функция у\ при 0<t<td1; y\ + (y2-y\){\-exp[-(t-td\)/tc\]} при td1<t<td2; У(' ~' уЛ + (у2 - у1Я1 - exp[-(t - ten) / tc\] - -1 + exp/'-ff - td2)/ tc2]}'при fc/2 < f < TSTOP. Назначение ее параметров объясняется в табл. 4.17. Таблица 4.17 Параметры экспоненциального сигнала в формате SPICE Обозначение У1 Уг td1 td td2 tc2 Параметр Начальное значение Максимальное значение Начало переднего фронта Постоянная времени переднего фронта Начало заднего фронта Постоянная времени заднего фронта Размерность Вили А В или А С с с с Значение по умолчанию — — 0 TSTEP * td+ TSTEP TSTEP * TSTEP — шаг по времени вывода на печать результатов расчетов переходных процессов (задается в директиве TRAN). Синусоидальная функция с частотной модуляцией (SFFM) описывается выражением: y(t) = уО+уа- s\n[2nf0 -t + mi- s\n(2nfm ■ t)]. Ее параметры приведены в табл. 4.18. 226 Таблица 418 Параметры гармонического сигнала в формате SPICE Обозначение уО уа Ю mi fm Параметр Постоянная составляющая Амплитуда Частота несущей Индекс частотной модуляции Частота модуляции Размерность Вили А В или А Гц — ГЦ Значение по умолчанию — — 1Л"ЭТОР 0 1Л"ЭТОР Рис. 4.6. Кусочно- линейная функция Кусочно-линейный сигнал (PWL) задается координатами точек излома (ti, yi), его форма изображена на рис. 4.6. 4.5. Линейные и нелинейные зависимые источники (Dependent Sources) Линейные зависимые источники (Dependent Sources) Формат схем: Атрибут PART: <имя> Атрибут VALUE: коэффициент передачи> В программе МС7 имеется четыре линейных зависимых источника напряжения и тока: VofV — источник напряжения, управляемый напряжением (ИНУН); lofl — источник тока, управляемый током (ИТУТ); Vofl — источник напряжения, управляемый током (ИНУТ); lofV — источник тока, управляемый напряжением (ИТУН). Все они задаются единственным параметром — коэффициентом передачи. 227
Нелинейные зависимые источники (Dependent Sources) Стандартный формат SPICE: Источник напряжения, управляемый напряжением: Еххх <+узел> <-узел> [POLY(</o)] + п1р... п1т[п2рп2т...пкрпкт]р0[р1...рк] [\С=с1[,с2[,сЗ...[,ск]]]] Источник тока, управляемый током: ?ххх<+узел> <-узел> [POLY(</o)] v1 [v2...vk] + рО [р1...рк] [\C=d[,c2[,c3...lck]]]] Источник напряжения, управляемый током: Gxxx <+узел> <-узел> [POLY(</o)] + п1р... п1т [п2рп2т...пкрпкт] р0[р1...рк] [\С=с1[,с2[,сЗ...[,ск]]]] Источник напряжения, управляемый током: Нххх<+узел> <-узел> [POLY(</o)] v1 [v2...vk] + р0[р1...рк] [\С=с1[,с2[,сЗ...[,ск]]]] Расширенный формат PSpice: Управляемые напряжением источники напряжения и тока: [E\G]xxx<+узел> <-узел> УАШЕ={<выражение>} [E|G]xxx <+узел> <-узел> TABLE {<выражение>}= «аргумент> «рункция»* [E|G]x>or LAPLACE {<выражение>}= + {<передаточная функция в з-о6ласти>) [ЕЩххх <+узел> <-узел> FREQ {<sb/paxewue>}=[KEYWORD] + «частота>,<модуль>,<фаза»* Здесь приняты следующие обозначения: <выражение> — управляющее напряжение вида У(<+узел>, <-узел>); <+узел> <-узел> — положительный и отрицательный узел источника напряжения или тока; п1р, п1т — номера положительного и отрицательного узлов первого управляющего источника; пкр, пкт — номера положительного и отрицательного узлов /с-го управляющего источника; рО— постоянная составляющая полинома; р/с— /с-й коэффициент полинома; v1 — имя источника напряжения, ток через который является первым управляющим сигналом; vk — имя источника напряжения, ток через который является /с-м управляющим сигналом; с1, с2, сЗ, ск— начальные условия. 228 Приведем примеры: Е2 7 4 POLY(2) 10 15 20 25 1.0 2.0 10.0 20.0 G2 7 4 POLY(3) 10 15 20 25 30 35 1.0 2.0 3.0 10.0 20.0 30.0 F2 7 4 POLY(2) V1 V2 1.0 2.0 10.0 20.0 H2 7 4POLY(3)V1 V2 V31.0 2.0 3.0 10.0 20.0 30.0 E1 10 20 FREQ {V(1,2)} = {(0,0,0) (1КД0) (10K,0.001,0)} E2 10 20 LAPLACE (V(5,6)} = {1/(1+0.001*S+1E-8*S*S)} Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: [POLY(</o)] nip п1т [п2р п2т...пкр пкт] рО [р1...рк] [\С=с1[,с2[,сЗ...[,ск]]]] [POLY(</o)] v1 [v2...vk] p0[p1...pk] [IC=c/[,c2[,c3...[,c/c]]]] При наличии ключевого слова POLY управляемый источник У = У{Х1, хг,--, Дописывается полиномиальной функцией У = ро + в*1 + Ргх2 + ■■■ + РПХп + Рп+\А + Рп+2*><2 + ■■■ + PznWn + Р2п+:х2 + Р2п+2Х2Х3 + ■■■ + Р3п-:х2хп + -- + РпУ(2(п-2)\)+2пхп + Рп\/(2(п-2)\)+2п+:х: + Pn\/(2(n-2)l)+2n+2x-\ x2+-- Здесь хь хг, ..., хп— управляющие переменные. Они могут быть токами или разностью потенциалов; одновременное управление током и разностью потенциалов не допускается. Все коэффициенты полинома Р вводить не обязательно, но вводить их необходимо подряд без пропусков. Подробное описание источников, задаваемых с помощью ключевых слов TABLE, LAPLACE и FREQ, см. в разд. 4.6 — 4.7. 4.6. Линейные управляемые источники, задаваемые преобразованиями Лапласа (Laplace Sources) и Z-преобразованиями (Z Transform Sources) Линейные четырехполюсники могут задаваться передаточными функциями с помощью управляемых источников. Имена таких источников начинаются с символа L (Laplace Sources) или Z (Z Transform Sources). Передаточные функции задаются с помощью формул — тогда после символа L указывается F — или табличной зависимостью — добавляется символ Т (для Laplace Sources). Например, LFVofV означает задаваемый формулой передаточной функции источник напряжения, управляемый- напряжением. Эти источники используются не только при расчете частотных характеристик, но и проведении всех остальных видов анализа. 229
Laplace Sources В формате SPICE описываются два источника EVofV и GlofV [9]. Формат схем: Атрибут PART: <имя> Атрибут LAPLACE для источников LFIofl, LFIofV, LFVofV, LFVofl: <выражение> Атрибут FREQ для источников LTIofl, LTIofV, LTVofV, LTVofl: «частота>, <модуль>,<фаза>>* Атрибут KEYWORD: [[DB | MAG] [DEG | RAD]] | [R_l] Входящие в эти определения ключевые слова означают следующее: DB — модуль передаточной функции задается в децибелах (по умолчанию); MAG — модуль передаточной функции задается в абсолютных единицах; DEG — фаза передаточной функции в градусах (по умолчанию); RAD — фаза передаточной функции в радианах; R_l — таблица содержит действительные и мнимые части передаточной функции. Для источников типа LFIofV, LFIofl, LFVofV и LFVofl в качестве параметра задается формула передаточной функции комплексной переменной S. Например, 1/(1 +.001 *S+1 E-8*S*S) При расчете частотных характеристик (режим АС) переменная S заменяется на 2*PI*j*F. При расчете режима по постоянному току (режим DC) полагается S=0. При расчете переходных процессов (режим Transient analysis) отыскивается импульсная переходная характеристика четырехполюсника путем обратного преобразования Фурье его передаточной функции, затем путем свертки импульсной характеристики с входным сигналом отыскивается выходное колебание. Для источников типа LTIofV, LTIofl, LTVofV и LTVofl задается таблица передаточной функции. Частота задается в герцах, модуль передаточной функции в децибелах или абсолютных единицах, фаза в градусах или радианах. При указании ключевого слова R_l вместо модуля и фазы передаточной функции задаются значения ее действительной и мнимой части. Значения передаточной функции указываются в порядке возрастания частоты. Для расчета передаточной функции между опорными точками применяется линейная интерполяция в логарифмическом масштабе. Значения пе- 230 редаточной функции вне заданного диапазона частот полагаются равными их значениям в крайних точках. Z Transform Sources В формате SPICE такие источники отсутствуют. Формат схем МС: Атрибут PART: <имя> Атрибут ZEXP: <выражение для г-преобразования> Атрибут CLOCK FREQUENCY: <частота дискретизации для г-прео6разования> Например, цифровой фильтр с передаточной функцией H(z) = 0.10285(z + 1)(z2-0.070621z + 1) (z-0.55889Hz2 -1.1579Z + 0.76494)' задается с помощью управляемого источника Е1. При схемном вводе атрибуту ZEXP присваивается значение, которое определим с помощью переменной ZFILTER, в свою очередь определяемой с помощью директивы .DEFINE: .DEFINE ZFILTER .10285*(Z+1)*(Z* Z-.070621*Z+1)/((Z-.55889)*(Z* Z-1.1579V+.76494)) Частота дискретизации 24 кГц задается с помощью атрибута CLOCK FREQUENCY=24k. В предыдущей версии МС5 источники Z Transform Sources отсутствуют, поэтому передаточные функции цифровых фильтров задавались гораздо сложнее [8]. 4.7. Функциональные источники сигналов (Function Sources) Управляемые источники Function sources, имена которых начинаются с N, задаются функциональными зависимостями во временной области. Формат схем МС: Атрибут PART: <имя> Атрибут VALUE для источников NFV и NFI: <формула> Атрибут TABLE для источников NTIofl, NTIofV, NTVofV, NTVofl: (<x1>,<y1>) (<x2>,<y2>) ... (<xk>,<yk>) Нелинейные зависимые источники напряжения NFV и тока NFI описываются произвольной функциональной зависимостью от напряжений и токов схемы, например: 10*Sin(2*PI*1E6*T)*V(3)*l(L1)*EXP(-V(IN)/100ns) K*POW((V(Plate)-V(Cathode)+Mu*(V(Grid)-V(Cathode))),1.5) 231
Зависимые источники NTVofl, NTIofl, NTIofV и NTVofV задаются таблицей зависимостей значений выходного сигнала у/с от значений входного сигнала хк. Значения отсчетов выходного сигнала у указываются в порядке возрастания аргумента х. Для расчета выходного сигнала между опорными точками применяется линейная интерполяция. Значения сигнала у вне заданного диапазона изменения аргумента полагаются равными их значениям в крайних точках. Приведем пример: (-.01,-10) (.01,10) Для источника NTVofl выходной сигнал — ЭДС источника, аргумент — ток входной ветви. Для источника NTIofl выходной сигнал — ток источника, аргумент — ток входной ветви. Для источника NTIofV выходной сигнал — ток источника, аргумент — напряжения на входных зажимах. Для источника NTVofV выходной сигнал — ЭДС источника, аргумент — напряжение на входных зажимах. 4.8. Смесь (Miscellaneous) В раздел Miscellaneous (Смесь) помещены ключи, стрелки и контакты. Ключ (Switch) Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: <[V | T 11] <n1,n2>[,Ron>[,<Roff>]] При расчете переходных процессов используются ключи, управляемые разностью потенциалов, током (через индуктивность) и коммутируемые в определенные моменты времени. Это наиболее старый вид ключей, применяемых в ранних версиях программы МС. В последней версии используются также ключи типа S и W (см. ниже), имеющие более плавный переход между состояниями "включено" и "выключено". Здесь приняты обозначения: V — управление разностью потенциалов; I — управление током; Т — переключение в определенные моменты времени; п1, п2 — значения управляющей величины, при которых происходят переключения; Ron, Roff — сопротивления ключа в замкнутом и разомкнутом состояниях Если л1<л2, то ключ замкнут (находится в состоянии ON) при управляющем сигнале л1<Х<п2 и разомкнут (находится в состоянии OFF), когда Х<л1 или Х>п2. Если же л1>л2, то ключ замкнут (ON) при управляющем сигнале 232 л1>Х>л2 и разомкнут (OFF), когда Х>л1 или Х<л2. Для ключей типа V управляющий сигнал X представляет собой разность потенциалов между управляющими выводами ключа. Для ключей типа I управляющий сигнал X представляет собой ток через индуктивность, включенную между управляющими выводами ключа. Для ключей типа Т управляющий сигнал X представляет время, при этом управляющие выводы ключа должны быть заземлены. При выполнении расчетов частотных характеристик или режима по постоянному току ключ заменяется постоянным сопротивлением. Приведем примеры спецификации ключей: V,2,3 l,2ma, 3ma, 0.01, 1MEGT, 5us, 6us Ключ, управляемый напряжением (S) Формат SPICE: Sxxx <+узел> <-узел> <+управляющий узел> <-управляющий узел> <имя модели> Здесь <+узел> и <-узел> — номера узлов, к которым подсоединен ключ; <+управляющий узел>, <-управляющий узел> — номера узлов, разность потенциалов которых управляет ключом. Формат схем МС: Атрибут PART: <имя> Атрибут MODEL: <имя модели> Параметры ключа задаются по директиве .MODEL имя модели> VSWITCH {\/ОЫ=<значение> ЧОР?=<значение> + НОН=<значение> Р<ОРР=<значение>) Параметры модели ключа, управляемого напряжением, приведены в табл. 4.19. Таблица 4.19 Параметры модели ключа Обозначение VON VOFF Параметр Напряжение замыкания ключа Напряжение размыкания ключа Размерность В В Значение по умолчанию 1 0 233 Обозначение RON ROFF Параметр Сопротивление замкнутого ключа Сопротивление разомкнутого ключа Размерность Ом Ом Значение по умолчанию 1 106 Если VON>VOFF, то ключ замкнут при управляющем напряжении l/ynp>VON и разомкнут при l/ynp<VOFF. На интервале VOFF<l/ynp <VON сопротивление ключа плавно изменяется от значения ROFF до RON. Если VON<VOFF, то ключ замкнут при 1/упр <VON и разомкнут при Vynp>VOFF. Ключ, управляемый током (W) Формат SPICE: Wxxx <+узел> <-узел> <имя управляющей ветви> <имя моде- ли> Здесь <имя управляющей ветви> — имя источника напряжения (его ЭДС может быть равна нулю), ток через который управляет ключом. Формат схем МС: Атрибут PART: <имя> Атрибут REF: <имя источника тока, управляющего ключом> Атрибут MODEL: <имя модели> Параметры ключа задаются по директиве .MODEL <имя модели> ISWITCH (\ОН=<значение> ЮРР=<значение> + НОН=<значение> ROFF=<значение>) Смысл этих параметров такой же, как для ключа, управляемого напряжением, только параметр ION по умолчанию равен 1 мА. Стрелки (Arrow) и контакты (Bubble) Если в графе Definition редактора компонентов Component Editor (разд. 2.3) выбран тип Blank (пустой), то компонент такого типа не имеет электрических свойств, не участвует в моделировании и предназначен лишь для нанесения на схему дополнительной информации. К таким компонентам относится Arrow — стрелка, указывающая, в частности, направление тока, и Bubble — контакт, помеченный текстовой меткой. 234 4.9. Макромодели, заданные схемами замещения (Macros) Формат схем МС: Атрибут PART: <имя> Атрибут VALUE: <имя макромодели>(список параметров) Например, тиристор 2N5168 имеет атрибуты: PART = 2N5168 VALUE = SCR(50m,40m,1u,1,50,50MEG,30u, 105,1) Для создания макромодели типа Macro необходимо выполнить следующее (см. п. 2.3.2): 1. Создать схему макромодели. По команде ОрИопз>Мос1еЯех1 (вызывается также нажатием L±J) присвоить имена узлам схемы, которые должны быть выводами макромодели. Если необходимо передавать в макромодель значения параметров, имена этих параметров декларируются в директиве .PARAMETERS. Записать схему в файл, имя которого должно совпадать с именем макромодели (расширение имени .CIR). 2. С помощью Component Editor занести макромодель в библиотеку компонентов. Для этого в поле Name ввести имя макромодели, в поле Shape указать имя подходящего символа, в поле Definition ввести Macro. Затем буксировкой курсора разместить выводы макромодели в нужных местах. Имена выводов совпадают с именами узлов, присвоенными на схеме замещения. 3. Добавить в один из библиотечных файлов *.LIB не обязательную директиву .MACRO для замены длинного списка численных значений параметров, указываемых в атрибуте VALUE, коротким именем. При размещении символа макромодели на схеме выполняются следующие операции. Сначала в библиотеке компонентов выбирается имя макромодели. Щелчком курсора символ макромодели размещается на схеме. В открывшемся окне заполняется значение атрибута VALUE одним из следующих способов: 1. В списке параметров указываются их численные значения, причем они перечисляются в том же порядке, в котором приведены в директиве .PARAMETERS на схеме замещения макромодели, например SCR(50m,40m, 1u,1,50,50MEG,30u, 105,1). 2. В качестве значения атрибута VALUE указывается имя псевдонима, указанное в директиве .MACRO. Эта директива имеет формат: .MACRO <имя псевдонима> <имя макромодели>(список параметров) 235
Например, тиристору можно присвоить псевдоним 2N5168, внеся в одну из библиотек *.LIB директиву .MACRO 2N5168 SCR(50m,40m,1u,1,50,50MEG,30u,105,1). Тогда атрибуту VALUE символа макромодели можно присвоить значение 2N5168. Если в списке параметров вместо численных значений указаны идентификаторы переменных, то все они должны быть определены на схеме с помощью директив .DEFINE. 4.10. Макромодели, заданные текстовым описанием (Subckts) Формат SPICE: Хххх <список узлов> <имя макромодели> [PARAMS:«uma параметрам оначение»*] + [ТЕХТ:«имя текста>=<текст»*] Примеры: Х1 4 0 15 16 8K140UD6A Х2 100 200 DIFF PARAMS GAIN=10 Формат схем МС: Атрибут PART: <имя> Атрибут NAME: <имя макромодели> Атрибут FILE: <имя файла> Атрибут PARAMS: [«имя параметра>=<значение параметра»*] Атрибут TEXT: [«имя текста>=<текст»*] Здесь приняты следующие обозначения: <список узлов> — номера или имена узлов выводов макромодели, перечисленные в том же порядке, в котором они указаны в директиве текстового описания макромодели .SUBCKT; <имя макромодели> — имя макромодели, совпадающее с именем, указанным в директиве .SUBCKT, и с именем, под которым ее символ занесен в библиотеку компонентов; <имя файла> — имя текстового файла, в который может быть помещена директива .SUBCKT. Программа МС7 ищет директиву .SUBCKT в следующем порядке: • если цепь описывается схемой, то сначала просматривается область текста, затем файл, указанный в атрибуте FILE, после этого в одном или нескольких файлах, указанных в директивах .LIB, и, наконец, в файлах, перечисленных в файле NOM.LIB; • если цепь имеет текстовое описание в формате SPICE, то сначала просматривается содержание этого файла, затем файлы, указанные в директивах .LIB, и, наконец, в файлах, перечисленных 236 в файле NOM.LIB. Ключевое слово PARAMS применяется для передачи в макромодели числовые значения переменных. Для создания макромодели типа Subckt необходимо выполнить следующее (см. п. 2.3.2): 1. Создать текстовый файл с описанием макромодели по директиве .SUBCKT; 2. Занести макромодель в библиотеку компонентов. Для этого в поле Name ввести имя макромодели, в поле Shape указать имя подходящего символа, в поле Definition ввести Subckt. Включить опцию Model=Component Name. Затем буксировкой курсора разместить выводы макромодели в нужных местах и присвоить им те же имена, что указаны директиве .SUBCKT. Символы макромоделей размещаются на схеме, как обычные компоненты. 4.11. Соединители (Connectors) В разделе Connectors (Соединители) размещены четыре группы компонентов: Ground ("земля"), Short (перемычки с электрическим соединением в точке пересечения с проводником), Jumper (перемычки без электрического соединения в точке пересечения с проводником), Tie (соединители). Ground Элемент Ground ("земля") обязательно должен содержаться на каждой схеме перед выполнением моделирования. Этой цепи программа МС7 присваивает номер 0, ее потенциал считается равным нулю. Short Элемент Short выполняет электрическое соединение цепей. Имеются несколько таких перемычек разной длины, которые удобно использовать для разделения выводов соединяемых компонентов. Обычно же проводники вводятся в режиме проведения линий, выбирая кнопку Line на панели инструментов. Jumper и Tie В ранних версиях МС пересекающиеся на схеме проводники считались электрически соединенными. Поэтому для пересечения проводников без электрического соединения использовались элементы типа Jumper и Tie. Элемент Jumper (джампер) представляет собой дугообразную перемычку, в точке пересечения которой с другим проводником нет электрического соединения. Для соединения 237
двух или нескольких далеко разнесенных на схеме точек используются элементы Tie, которые помечаются текстовыми метками — все элементы Tie с одинаковыми метками считаются электрически соединенными. В программе МС7 принято соглашение, что пересекающиеся проводники, не имеющие символа точки при их пересечении, считаются электрически не соединенными (см. рис. 2.5). Поэтому наличие компонента типа Jumper можно считать атавизмом — он более не нужен. Элементы типа Tie могут по-прежнему находить применения для электрического соединения значительно удаленных друг от друга цепей. 238 Глава 5 ПРОГРАММА РАСЧЕТА ПАРАМЕТРОВ МОДЕЛЕЙ АНАЛОГОВЫХ КОМПОНЕНТОВ MODEL 5.1. Общие сведения о программе MODEL Программа расчета параметров математических моделей аналоговых компонентов MODEL аналогична программе Parts из пакета Design Center/DesignLab [8]. Она работает в интерактивном режиме и выполняет расчет и оптимизацию параметров математических моделей по их паспортным данным, введенных в табличной или графической форме. Оптимизация выполняется с помощью прямого метода Пауэлла. При вводе графиков должно быть заданы координаты характерных точек: от двух до пяти — чем больше данных, тем точнее оцениваются параметры моделей. Ряд данных записываются в виде отдельных чисел, если данные отсутствуют, то принимаются их значения по умолчанию. Считается, что все экспериментальные данные о параметрах компонентов измерены при комнатной температуре. Программа MODEL вызывается выбором команды Model Program меню Windows программы МС7 или из непосредственно из среды Windows щелчком на пиктограмме ШУ. Ее можно вызвать также из командной строки, указав имя загружаемой библиотеки: MODEL <имя файла библиотеки>[.МОЦ Программа MODEL сохраняет введенные пользователем паспортные данные компонентов и рассчитанные параметры математических моделей в бинарных файлах с расширением имени .MDL. Эти файлы можно загружать в программу MODEL для уточнения параметров моделей, не повторяя ввода паспортных данных, что очень удобно в процессе отладки библиотек моделей (в программу моделирования МС7 их передавать нельзя). После завершения отработки библиотеки целесообразно удалить из этого файла входные данные и преобразовать его с помощью команды File>Create Model Library в компактную форму. Эти бинарные файлы имеют расширение имени .LBR и они предназначены для передачи в программу моделирования МС7 (кроме того, с помощью программы МС7 их можно просматривать и редактировать). При 239
этом исходные MDL-файлы целесообразно сохранить для возможных изменений в будущем. Для обмена данными с программой PSpice достаточно воспользоваться командой File>Create SPICE file для создания текстового файла с расширением имени .LIB. Библиотечные файлы с расширением имени .LBR и .LIB подключаются к программе МС7 с помощью файла NOM.LIB, в котором перечисляются директивы .LIB с указанием имен файлов библиотек (файл NOM.LIB помещается в каталоге \DATA). Приведем в качестве примера фрагмент файла NOM.LIB: .lib "diode.lbr" .lib "mpbjt.lbr" .lib "europe.lbr" .lib "jfet.lbr" .lib "varactor.lbr" ■lib "linear.lib" .lib "burrbn.lib" .lib "analog.lib" .lib "digio.lib" .Iib"dig150.lib" .lib "digpld.lib" .lib rus.lib 5.2. Интерфейс программы MODEL После загрузки программы MODEL на экране появляется ее меню, показанное на рис. 5.1. Помимо стандартных кнопок управления окнами, в нем располагаются следующие поля: Меню команд — содержит названия ниспадающих меню; Текстовые поля — содержит четыре строки Т1, Т2, ТЗ и Т4, содержание которых переносится в библиотеку моделей. Строка Т1 содержит имя компонента (Part name), оно используется для сортировки в каталоге библиотеки, остальные — комментарии с дополнительной информацией; Таблица данных — таблица с двумя или тремя колонками для ввода значений координат характеристик компонента, опубликованных в справочниках или снятых экспериментально. Количество колонок зависит от типа компонента и характера данных; Окно графиков характеристик — отображение графиков характеристик модели. На них также отмечаются точки данных, если они вводились пользователем. Близость этих точек к построенным графикам свидетельствует о точности модели. Численно значение среднеквадратического отклонения в процентах указывается сверху от графиков на строке Error; 240 Параметры модели — таблица параметров модели, полученных в результате обработки введенных данных. Условия измерений — значения дополнительных параметров, при которых приведены экспериментальные данные. Ъь. Model 6Л.8 - [E:\MC-7\DATA\SMALLMDL] ., В1* УЛ Windows Options View £un Part 3 Tl S#P .=JffJ.xJ NPN 2N2222A T2 T3 Tl Motorola Smell-signal transistors NPN General purpose transistor 05/11/91 Betqvs lcErrgr=Q85% 300 100 500u 1m 10m 100m Ic Beta 0.0005 Hi 80 0 003 ||200 015 11200 - Model Parameters NE ISE BF IKF Measurement Cond Vce * - 1.30449 1.28229e-014 301 483 0.886403 itions 10 | Рис. 5.1. Окно программы MODEL Опорные точки на этом графике можно перемещать курсором. Приведем далее описание меню, доступных при работе с программой MODEL. 5.2.1. Меню File Меню File содержит команды для работы с файлами библиотек математических моделей. Список команд этого меню приведен в табл. 5.1. Таблица 5.1. Команды меню File Команда New... (Ctrl+N) Назначение Создание нового файла данных, содержащего один компонент 241
Команда Open... (Ctrl+O) Save (Ctrl+S) Save As... Create SPICE file... Create Model Library... Revert Close (Ctrl+F4) Merge Sort Файл 1, ..., Файл 8 Exit (AK+F4) Назначение Открытие существующего файла данных (расширение имени .MDL) Сохранение текущего файла данных Сохранение текущего файла данных под новым именем Создание текстового файла параметров моделей, содержащихся в текущем файле данных. Этот файл получает расширение имени .LIB и может быть загружен в программу моделирования МС7, но не в программу MODEL Запись параметров моделей компонентов текущей библиотеки в бинарный файл с расширением имени .LBR, который может быть загружен в программу МС7 для просмотра и редактирования. Его применение при моделировании обеспечивается более быстрый, чем в текстовых файлах .LIB, доступ к параметрам отдельных компонентов Восстановление содержания текущего файла с диска Закрытие текущего файла данных Слияние содержания текущей библиотеки с файлом, находящимся на диске Сортировка компонентов текущей библиотеки по именам, указанным в текстовом поле Т1 Список последних восьми загруженных файлов Завершение работы с программой MODEL 5.2.2. Меню Edit Меню Edit содержит команды редактирования, их список приведен в табл. 5.2. Таблица 5.2 Команды меню Edit Команда Undo (Ctrl+Z) Cut (Ctrl+X) Назначение Отмена последней команды редактирования текстовых полей Удаление выбранного текста и размещение его в буфер обмена Windows 242 Команда Copy (Ctrl+C) Paste (Ctrl+V) Clear (Dei) Select All (Ctri+A) Copy Front Window to Clipboard Change Polarity Add Part Delete Data... Назначение Копирование выбранного текста в буфер обмена Копирование содержания буфера обмена в позицию, указанную курсором Удаление выбранного текста без копирования в буфер обмена Выбор всего текста в текущем текстовом поле Копирование содержания текущего окна в буфер обмена Изменение полярности (типа проводимости) текущего транзистора. Например, транзистор NPN заменяется на PNP Добавление нового компонента в текущий файл данных. Тип компонента выбирается иг предлагаемого списка: DIODE, NPN, PNP, NMOS.PMOS, NJFET, PJFET, OPAMP, CORE Удаление указанной курсором строки из таблицы данных 5.2.3. Меню Windows Меню Windows содержит команды работы с окнами. Список его команд приведен в табл. 5.3. Таблица 5.3 Команды меню Windows Команда Cascade (Shift+F5) Title Vertical (Shift+F4) Title Horizontal Arrange Icons Debug 1<заголовок окна> Назначение Каскадное расположение открытых окон Последовательное расположение открытых окон по вертикали Последовательное расположение открытых окон по горизонтали Упорядочивание размещения иконок свернутых окон в нижней части экрана Внесение исправлений Активизация и размещение на переднем плане файла библиотеки, выбирая его из списка открытых файлов 243
5.2.4. Меню Options Меню Options содержит команды задания различных параметров программы MODEL, список которых приведен в табл. 5.4. Таблица 5.4 Команды меню Options Команда Help Bar Preferences Global Settings Model Default Color Preferences Назначение Размещение/удаление строки помощи в нижней части экрана Задание параметров: File Warning Sound Quit Warning Time Stamp Date Stamp Предупреждение о необходимости сохранения файлов при их изменении Включение звукового сигнала при выдаче предупреждающего сообщения Предупреждение о необходимости сохранения измененных файлов при выходе из программы Простановка времени Простановка даты Процесс оптимизации прекращается, если текущие значения ошибок аппроксимации меньше заданных: Maximum Relative Per-iteration Error Maximum Percentage Per-iteration Error Maximum Percentage Error Относительная разность среднеквадратических значений ошибки аппроксимации на соседних шагах оптимизации Разность среднеквадратических значений ошибки аппроксимации на соседних шагах оптимизации в процентах Среднеквадратическое значение ошибки аппроксимации Установка параметров модели по умолчанию Выбор цвета графиков, точек данных, линий сетки, фона и оцифровки осей 244 Команда Auto Scale (F6) Manual Scale (F9) Step Model Parameters Назначение Автоматическое масштабирование графиков Задание масштаба по осям координат графиков вручную Вариация параметров модели. Имя варьируемой переменной и шаг изменения задаются в окне типа рис. 3.12,а. Изменение значения параметра на один шаг выполняется нажатием любой клавиши - результаты сразу отображаются на графиках 5.2.5. Меню View Меню View содержит команды просмотра содержания окон характеристик текущего компонента библиотеки и выбор другого компонента из библиотеки. Перечень команд приведен в табл. 5.5. Таблица 5.5 Команды меню View Команда Part List (Ctrl+L) Find Part (Ctrl+F) Previous Part (Ctrl+T) Next Part (Ctrl+1) First Part (Ctrl+Home) Last Part (Ctrl+End) Previous Graph (Ctrl+<-) Next Graph (Ctrl+->) First Graph (Ctrl+Shift+<-) Назначение Вывод списка компонентов текущей библиотеки. Двойной щелчок на имени компонента открывает список его параметров. С помощью этого меню модели компонентов можно удалять, копировать и вставлять в другое место текущей или другой библиотеки через буфер обмена. Для этого в списке мышью выбирается один или более компонентов и затем используются стандартные команды Windows Ctrl+C, Ctrl+X, Ctrl+V и Delete Поиск компонента по имени Переход к предыдущему компоненту в списке Переход к следующему компоненту Переход к первому компоненту в списке Переход к последнему компоненту в списке Переход к предыдущему графику текущего компонента Переход к следующему графику текущего компонента Переход к первому графику текущего компонента 245
Команда Last Graph (Ctrl+Shift+->) All Graphs One Graph at a Time Назначение Переход к последнему графику текущего компонента Одновременное изображение всех графиков Изображение только одного графика текущего сеанса 5.2.6. Меню Run Меню Run содержит команды инициализации и оптимизации параметров моделей компонентов, список которых приведен в табл. 5.6. Таблица 5.6 Команды меню Run Команда Initialize (Ctrl+I) Optimize (Ctrl+T) Initialize and Optimize All Optimize All Назначение Присвоение параметрам модели текущего окна значений по умолчанию. Обычно выполняется перед оптимизацией параметров Оптимизация параметров модели, оцениваемых в текущем окне Присвоение всем параметрам модели компонента значений по умолчанию и выполнение их оптимизации Оптимизация всех параметров модели компонента (прерывается клавишей Esc) 5.3. Работа с программой MODEL Проиллюстрируем работу с программой MODEL на примере биполярного транзистора КТ316А, справочные данные на который взяты из справочника. Начнем с открытия нового файла библиотеки моделей по команде File>New, присвоив ему уникальное имя или открытия одного из существующих файлов по команде File>Open. Далее по команде EdibAdd Part добавляем в библиотеку новый компонент, выбрав его тип NPN (биполярный транзистор п—р—л-типа). После этого открывается изображенное на рис. 5.2 окно, в котором курсор первоначально находится в первом текстовом поле Т1. Вводим в этом поле имя транзистора КТ316А (только латинскими символа- 246 ми) и в следующих полях произвольные комментарии (вот здесь можно использовать и символы кириллицы). На первом экране в таблицу данных заносят значения тока коллектора lc и напряжения база-эмиттер Vbe в режиме насыщения. Далее нажатием клавиш Ctrl+I присваивают параметрам модели начальные значения (процесс инициализации) — их значения отображаются в окне Model Parameters (Параметры модели). Далее нажатием Ctrl+T выполняют оптимизацию параметров на основании введенных данных. В результате рассчитываются параметры модели RE, NF и IS так, чтобы график зависимости Vbe(lc) был наиболее близок к заданным значениям, которые отмечены на рис. 5.2 прямоугольными значками. Параметры EG и XTI не оцениваются, им присваиваются стандартные значения. В окно Model Parameters (Параметры модели) выведены все параметры, которые определены на основании введенной порции справочных данных и назначены по умолчанию. Ошибка аппроксимации составляет 1,4%. ^ Model 6.0.8 - [E:\MC_7\DATA\SMALUMDL] ^3_ File Edit Windows S>bons iiew Flun HDD Help -|g|x| Part 1 T1 T2 T3 T4 NPN KT316A n-p-n транзистор высокочастотный 24 06.2000 VhR vs lc Error=3 3% 1.10 1 00 0 90 0 80 0.70. 0.60 1000u 10m 40m lc 0 001 0.005 0.01 0.02 RE NF IS Vbe 0.7 0.8 0.85 09 2 1.16043 fl -I - 9.78033e-015 Рис. 5.2. Биполярный транзистор КТ316А. Аппроксимация зависимости напряжения база-эмиттер от тока коллектора Vbe(lc) в режиме насыщения 247
Нажатие клавиш Ctrl+—> открывает окно построения зависимости коэффициента передачи по току Beta от тока коллектора 1с После ввода ряда значений lc, Beta и задания напряжения коллектор- эмиттер Vce=1 В (данные приведены для комнатной температуры 25 °С), при которых проводились измерения, снова выполняют команды инициализации и оптимизации Ctrl+I, Ctrl+T, В результате будет построен график зависимости Beta(lc) и рассчитаны параметры модели NE, ISE, BF, IKF, как показано на рис. 5.3. • Model 6.0.8 - [E:\MC_7\DAT A\SMALL.MDL] 'и 0е Wit Windows Options View Rur Part 1 T1 T2 ТЗ T4 KT31GA n-p-n транзистор высокочастотный 24.06.2000 Beta vs lc Error=0.9S% 30 NPN НПО Ие!р -Ifllxl 10m 40m lc 0 005 0.01 0.015 0.02 0.04 -Model Parameters NE ISE BF IKF Measurement Cone Vce Beta 38.4 L- 40 |J 40.8 | 40.8 36.8 „| 1 68313 8.61682e-013 205.121 0 0351984 itions 5 Рис. 5.З. Зависимость статического коэффициента передачи тока Beta от тока коллектора lc Перейдем нажатием клавиш Ctrl+—» к окну построения зависимости барьерной емкости перехода база-колектор Cob от напряжения смещения Vcb. В справочника указано только одно значение емкости Cob = 3 пФ при напряжении Vcb = 10 В. После выполнения команды Ctrl+T программа MODEL вычисляет значения параметров CJC, MJC, VJC, FC и строит график зависимости Cob(Vcb), как показано на рис. 5.4. Model Б.0.8 - [E:\MC.7\DATA\SMALL.MDL] fl Fie £q* Windows Options Vjew £un Part 1 Tl T2 T3 Tl KT316A NPN n-p-n транзистор высокочастотный 24 06 2000 30p Юр 100m Cob vs Vcb Erroi=0% 1000m ModE CJC ■ MJC VJC FC Step Parame Step What Step From V Step To: > Ste 1 p Value OK I Pari ers |mjc |01 |0 5 |"1| 1 Vcb 10 BD Help -|8 Cob Зе-012 meters Cance □ '1 1.133S5e-011 |i 0 499358 1 0 749991 [ 0.5 Method Г Log <•" Line* | Help.. □ Рис. 5.4. Изучение зависимости графика Cob(Vce) от параметра MJC На этом же рисунке иллюстрируется вариация параметров, выполняемая по команде Options>Step Model Parameters. В окне Step Parameters указывается имя варьируемого параметра, тип перестройки (линейный или логарифмический) и пределы его изменения. При выборе линейной шкалы Linear параметр Step Value означает шаг приращения варьируемого параметра, а при выборе логарифмической шкалы Log - величину, на который умножается текущее значение параметра для получения его следующего значения (см. также разд. 3.4). Изменение параметра выполняется после нажатия на любую клавишу - на экране сразу перестраивается график зависимости Cob(Vcb), и можно вручную быстро подобрать его наиболее приемлемое значение. Расчет остальных параметров транзистора выполняется аналогично (см. подробности в следующем разделе). Построение модели завершается командой Save меню File для ее занесения в файл открытой библиотеки (см. также комментарии в конце разд. 5.1). 5.4. Параметры моделей аналоговых компонентов Приведем перечни справочных данных для всех типов компонентов, включенных в программу MODEL, обозначение их параметров объясняется в разд. 4.2—4.3. 248 249
Диоды Таблица 5.7 Диоды Прямая ветвь вольт-амперной характеристики Входные данные Оцениваемые параметры Уравнения Комментарии Таблица значений If, Vf IS, N, RS Vf = VT-ln(lf/IS) + If-RS Данные для малых токов диода If определяют значения параметров IS, N, больших токов — сопротивление RS Барьерная емкость перехода Входные данные Оцениваемые параметры Уравнения Комментарии Таблица значений Cj, Vr CJO, M, VJ, FC Cj = CJO/(1 + Vr/VJ)M Напряжение обратного смещения Vr всегда положительно. Параметры EG, XTI назначаются по умолчанию Обратная ветвь вольт-амперной характеристики Входные данные Оцениваемые параметры Уравнения Комментарии Таблица значений Irev, Vrev RL Irev = Vrev/RL Сопротивление RL моделирует утечку закрытого диода, напряжение пробоя BV устанавливается по умолчанию (оно редактируется пользователем) и участок пробоя на графиках не отображается Рассасывание носителей заряда Входные данные Оцениваемые параметры Уравнения Комментарии Таблица значений Trr, lr/lf ТТ Trr = TT-log(1 +lf/lr) Среднее время пролета ТТ оценивается на основе зависимости времени рассасывания Trr от отношения обратного и прямого тока lr/lf. при котором оно измерялось 250 Биполярные транзисторы Таблица 5 8 Биполярные транзисторы Напряжение на переходе база-эмиттер в режиме насыщения Входные данные Оцениваемые параметры Уравнения Комментарии Таблица значений Vbe, lc IS, NF, RE Vbe=VT-NFIn(lc/IS)+lcRE Рассчитывается зависимость напряжения насыщения Vbe от тока коллектора lc. Параметры EG, XTI назначаются по умолчанию Выходная проводимость Входные данные Условия Оцениваемые параметры Уравнения Таблица зависимости выходной проводимости Ное от тока коллектора lc Напряжение смещения Vce VAF Ное = IC/(VAF + Vce - 0,7) Статический коэффициент передачи по току Входные данные Условия Оцениваемые параметры Уравнения Таблица зависимости статического коэффициента усиления тока в схеме ОЭ Beta от тока коллектора lc Напряжение смещения Vce NE, ISE, BF, IKF Табличная зависимость Beta = f(lc) Напряжение насыщения коллектор-эмиттер Входные данные Условия Оцениваемые параметры Уравнения Таблица зависимости напряжения насыщения коллектор-эмиттер Vce от тока коллектора lc Отношение lc/lb NC, ISC, BR, IKR, RC Vce = f(lc) + lc(RC + RE) Барьерная емкость перехода коллектор-база Входные данные Оцениваемые параметры Таблица значений Cob, Vcb CJC, MJC, VJC, FC 251
Уравнения Комментарии Cob = CJC/(1 + Vcb/VJ)MJC Напряжение обратного смещения Vcb всегда положительно Барьерная емкость перехода эмиттер-база Входные данные Оцениваемые параметры Уравнения Комментарии Таблица значений Cib, Veb CJE, MJE, VJE Cib = CJE/(1 + Veb/VJ) MJE Напряжение обратного смещения Veb всегда положительно. Время рассасывания заряда Входные данные Условия Оцениваемые параметры Уравнения Комментарии Таблица зависимости времени рассасывания Ts от тока коллектора lc Отношение токов lc/lb TR ar = br/(1 + br), af = bf/(1 + bf), k1 = (1-af-ar)/ar, k2 = (af/ar)TF, Ts = ((TR + k2)/k1)-ln(2/((lc/lb)/bf + 1)) Среднее время пролета TR оценивается на основе зависимости времени рассасывания Ts от тока коллектора lc Площадь усиления Входные данные Условия Оцениваемые параметры Уравнения Зависимость граничной частоты коэффициента передачи тока Ft в схеме с ОЭ от тока коллектора lc Напряжение Vce TF.ITF.XTF, VTF Vbe = VT-Nln(lc/ISS), Vbc = Vbe - Vce, atf = 1+XTF-(lc/(lc + ITF)2e(Vbc/(l'44l/^, tf = TF(atf + 2(alf - 1 )ITF/(lc + ITF) + VT-N(atf-1)/(1,44-VTF), fa =(1 - Vbc/VAF)(1 - Vbc/VAF), Ft = 1/(2-PI-(tf/fa + VTN(Cje + Cjc(1 + +lc-RC/(VT-N)))/lc)) 252 Полевые транзисторы Таблица 5.9. Полевые транзисторы Проходная характеристика Входные данные Оцениваемые параметры Уравнения Таблица зависимости тока истока Id от напряжения затвор-исток Vgs BETA, VTO, RS Vgs = RS-Id - VTO - ШВЕТА Выходная проводимость Входные данные Условия Оцениваемые параметры Уравнения Таблица зависимости статической проводимости сток-исток Gos от тока истока Id Напряжение смещения Vce LAMBDA Gos = Id-LAMBDA Проходная емкость Входные данные Условия Оцениваемые параметры Уравнения Таблица зависимости проходной емкости Crss от напряжения затвор-исток Vgs Напряжение сток-исток Vds CGD, PB, FC Crss=CGS/V(Vds - Vgs)/PB при Vds- Vgs<FC-PB, Crss=CGS/(1 -FC) '-5 (1 -FC-1,5+0,5(Vds- Vgs)/PB) при Vds-Vgs>FC-PB Входная емкость Входные данные Условия Оцениваемые параметры Уравнения Таблица зависимости входной емкости Ciss от напряжения затвор-исток Vgs Напряжение сток-исток Vds CGS Crss=Ciss+CDS/>/l- Vgs/PB при Vgs<FCPB, Crss = Ciss + CDS/(1 - FC)'5 x x (1 - FC-1,5 + 0,5Vgs/PB) при Vgs>FCPB 253
Фликкер-шум Входные данные Оцениваемые параметры Уравнения Таблица зависимости корня квадратного из спектральной плотности выходного напряжения Еп от частоты f KF, AF Vgs = VTO + Id-RS Wld/BETA , Gm = 2BETA(Vgs - VTO), En= 8k-T-Gm/3 + (KF-ldAF)/f /Gm МОП-транзисторы Таблица 5.10. МОП-транзисторы Передаточная проводимость Входные данные Оцениваемые параметры Уравнения Комментарии Таблица зависимости проводимости прямой передачи Gfs от тока стока Id КР, RS, W, VTO, L Beta = KP-W/L, t1 = - 2"- Id ■ beta , Gfs = t1/(1 + RS-t1) Наличие данных для больших токов Id повышает точность оценки сопротивления RS Сопротивление канала в режиме "включено" Входные данные Условия Оцениваемые параметры Уравнения Таблица зависимости статического сопротивления сток-исток Ron от тока стока Id Напряжение затвор-исток Vgs RD Beta = KP-W/L, Vgst = Vgs-VT-Id-RS, Vds = vgst - N vgst2 - 2 ■ Id/beta , Ron = RD + RS + 1/(beta(vgst - Vds)) Выходная характеристика Входные данные Таблицы трех значений Id, Vds и Vgs 254 Оцениваемые параметры Уравнения Комментарии W, VTO, RD, RS, LAMBDA Id = 0 при Vgs < VTO, Id = KP-W/L(Vgs - VTO - 0,5Vds)Vds(1 + +LAMBDA-Vds) при Vgs-VTO > Vds, Id = 0,5KP-W/L(Vgs - VTO)2(1+LAMBDA-Vds) при Vqs - VTO<Vds Уточняются найденные ранее значения параметров W, VTO, RD, RS, LAMBDA. Параметры КР и L участвуют в расчетах, но не оптимизируются. Поэтому если эти параметры были найдены ранее, то команду инициализации нельзя использовать, переходите сразу к оптимизации Сопротивление утечки канала при нулевом смещении на затворе Входные данные Условия Оцениваемые параметры Уравнения Таблица зависимости тока стока Id от напряжения сток-исток Vds Напряжение Vgs = 0 RDS RDS=Vds/ld Выходная емкость Входные данные Оцениваемые параметры Уравнения Таблица значений Ciss, Coss, Crss CBD, PB, MJ, FC Cds = CBD/(1-Vds/PB)MJ Объемный заряд в состоянии "включено" Входные данные Условия Оцениваемые параметры Уравнения Комментарии Таблица значений Q1, Q2 Напряжение сток-исток Vds (или напряжение питания Vdd) и ток стока Id CGSO, CGDO Выполняется моделирование схемы для измерений величин Vgs и Qgs Зависимость заряда области затвор-исток Qgs от напряжения затвор-исток Vgs имеет две точки излома, соответствующие переключению канала. Значение заряда в первой точка излома обозначается как01, во второй точке - Q2 255
Время переключения Входные данные Условия Оцениваемые параметры Уравнения Значения времени переключения Tf от уровня 90% до уровня 10% Напряжение питания Vdd и ток стока Id RG Выполняется моделирование схемы в режиме переключения, измеряется время переключения и подбирается значение RG для получения заданного значения Tf Операционные усилители Программа не строит каких-либо графиков характеристик операционных усилителей (ОУ). Пользователь на трех экранах вводит паспортные данные ОУ, на основании которых рассчитываются параметры его макромодели. Таблица 5.11 Операционные усилители Экран 1 Входные данные Вводятся значения параметров: LEVEL - тип модели, всегда назначается тип 3; TYPE - тип входных транзисторов: 1 -NPN, 2-PNP, 3-NJFET; С - емкость коррекции (30 пФ); А - коэффициент усиления на постоянном токе; ROUTAC — выходное сопротивление переменному току (75 Ом); ROUTDC — выходное сопротивление постоянному току (125 Ом); VOFF — напряжение смещения нуля Экран 2 Входные данные Вводятся значения параметров: IOFF — разность входных токов смещения (10 нА); SRP — максимальная скорость нарастания выходного напряжения (5 -105 В/с); SRN — максимальная скорость спада выходного напряжения (5 -105 В/с); IBIAS — входной ток смещения (0,1 мА); VCC — напряжение положительного питания (15 В); VEE — напряжение отрицательного питания (-15 В); VPS — максимальное выходное положительное напряжение (13 В) 256 Экран 3 Входные данные Вводятся значения параметров: VNS — максимальное выходное отрицательное напряжение (-13 В); CMRR — коэффициент подавления синфазного сигнала (105 дБ); GBW — площадь усиления (равна произведению коэффициента усиления А на частоту первого полюса) (106 Гц); РМ — запас по фазе на частоте единичного усиления, град. (60); PD — потребляемая мощность (25 мВт); IOSC — выходной ток короткого замыкания (20 мА) В скобках указаны значения по умолчанию. Ферромагнитные сердечники Таблица 5.12 Ферромагнитные сердечники Петля гистерезиса и кривые намагничивания Входные данные Оцениваемые параметры Уравнения Комментарии Таблица значений Н, В с указанием области петли гистерезиса Region MS, ALPHA, А, С, К Уравнения Джилса-Атертона Напряженность магнитного поля Н указывается в эрстедах, магнитная индукция В в гауссах. Параметр Region принимает значения 1, 2 и 3. Значение 1 соответствует начальной кривой намагничивания при изменении Н от 0 до Нтах; значение 2 — верхнему участку петли гистерезиса при изменении Н от Нтах до 0; значение 3 — нижнему участку петли гистерезиса при изменении Н от 0 до Нтах. Для повышения точности результатов на всех участках задается одинаковое число точек. Параметры геометрии сердечника AREA, PATH и GAP указываются пользователем 9—1361 257
Глава 6 МОДЕЛИРОВАНИЕ ЦИФРОВЫХ УСТРОЙСТВ 6.1. Основные понятия Первоначально программа Micro-Cap была предназначена для моделирования чисто аналоговых устройств, программа Micro-Logic — моделирования чисто цифровых устройств [5]. В версии Micro- Cap V появилась принципиально новая возможность моделирования смешанных аналого-цифровых цепей с обратными связями, которые, в частности, могут состоять только из цифровых устройств и не содержать аналоговых блоков. Обычно смешанные цепи моделируются в режиме расчета переходных процессов, однако другие режимы также доступны. В режиме DC задержки сигналов в цифровых блоках игнорируются и рассчитываются логические уровни выходов цифровых устройств в стационарном режиме. В режиме АС цифровые компоненты не участвуют в анализе малосигнальных частотных характеристик, лишь для аналоговых частей аналого-цифровых и цифроаналоговых интерфейсов составляются линеаризированные схемы замещения их входных и выходных комплексных сопротивлений. Как проводить моделирование в программе МС7, описано в гл. 3, здесь же обсудим специфику моделирования цифроаналоговых устройств. Реальные цифровые ИС в программе МС7 представлены в виде примитивов Uxxx, отражающих их функционирование на логическом уровне, и аналого-цифровых и цифроаналоговых интерфейсов А/Ц и Ц/А, отображающих их входные и выходные каскады (рис. 6.1). В задании на моделирование указываются только примитивы цифровых устройств Uxxx (как при текстовом описании схемы, так и при ее графическом вводе). Если при этом цифровые ИС соединяются непосредственно друг с другом, то блоки интерфейсов во внимание не принимаются. Если же ко входу или к выходу ИС подключен аналоговый компонент, то автоматически включается соответствующий интерфейс. Таким образом смешанные аналого-цифровые цепи состоят из компонентов трех типов: 1) аналоговых компонентов; 2) устройств сопряжения аналоговых и цифровых компонентов (устройства интерфейса); 3) цифровых компонентов (примитивов). 258 Аналоговый узел Узлы интерфейса Аналоговый узел Рис. 6.1. Модель цифровой ИС Соответственно различают три типа узлов: 1) аналоговые узлы, к которым подключены только аналоговые устройства; 2) цифровые узлы, к которым подключены только цифровые устройства; 3) узлы интерфейса, к которым подключена комбинация аналоговых и цифровых устройств. Программа МС7 автоматически расщепляет каждый узел интерфейса на два узла — чисто аналоговый и чисто цифровой — и включает между ними макромодель аналого-цифрового или цифроа- налогового интерфейса. Кроме того, к моделям интерфейсов автоматически подключается источник питания цифровых схем (случай наличия нескольких источников питания описан в разд. 6.2.4). Логические уровни цифровых узлов принимают одно из пяти значений: 1 — высокий уровень; О — низкий уровень; R — положительный фронт (Raise, переход из состояния "О" в "1"); F — отрицательный фронт (Fall, переход из состояния "1" в "О"); X — неопределенное состояние (может принимать значение "О", "1", промежуточное или нестабильное состояние). При вычислении логических уровней узлов, к которым подключено несколько цифровых компонентов, принимаются во внимание выходные сопротивления источников сигналов. Приведем далее описания устройств интерфейса и цифровых компонентов. 6.2. Устройства интерфейса Устройства интерфейса включаются между аналоговыми и цифровыми компонентами и выполняют две функции. Во-первых, с их помощью при моделировании электрических процессов в аналоговой части цепи задаются схемы замещения входных и выходных каскадов цифровых компонентов, соединенных непосредственно с аналоговыми компонентами. Во-вторых, они обеспечивают преобразование электрического напряжения в логический уровень и на- 259
оборот, чтобы обеспечить обмен данными между подпрограммами моделирования электрических процессов в аналоговой части цепи и логического моделирования цифровой части. Они подразделяются на устройства передачи данных от аналоговых на вход цифровых компонентов, называемые интерфейс А/Ц (Digital Output), и на устройства передачи данных от цифровых на вход аналоговых компонентов, называемые интерфейс Ц/А (Digital Input). Если аналоговые и цифровые компоненты взаимодействуют в процессе моделирования, устройства интерфейса включаются в схему замещения цепи автоматически, когда они соединяются друг с другом. Для обеспечения такого режима предварительно в библиотеки цифровых компонентов включаются ассоциируемые с каждым компонентом модели устройств интерфейса, оформленные в виде макромоделей. При расщеплении узла интерфейса для автоматического включения устройства интерфейса программа МС7 создает новый цифровой узел. Заметим, что узел интерфейса характеризуется электрическим напряжением, а дополнительный цифровой узел — логическим состоянием. Рассмотрим в качестве примера смешанную цепь на рис. 6.2, а. Она состоит из двух цифровых компонентов — генератора цифрового сигнала U1 и логического вентиля 2И-НЕ, представленного в виде макромодели Х1. На один вход вентиля Х1 подключается генератор аналогового сигнала VSIN, на другой — генератор цифрового сигнала U1, а к выходу — аналоговая ЯС-цепь. Таким образом здесь имеются два узла интерфейса 1 и 2. К узлу 1 подключены аналоговый компонент VSIN и вход цифрового компонента Х1, поэтому между этими компонентами программа включит в схему замещения интерфейс А/Ц и создаст дополнительный цифровой узел 1$AtoD. Аналогично между выходом цифрового компонента Х1 и аналоговой ЯС-цепью будет включен интерфейс Ц/А и создан дополнительный цифровой узел 3$DtoA, как показано на рис. 6.2, б. Имена дополнительных цифровых узлов составляются по следующему правилу: в начале их имени повторяется имя узла интерфейса, к нему добавляется символ $ и затем суффикс AtoD или DtoA в зависимости от типа интерфейса (имя узла на выходе А/Ц приобретает суффикс AtoD, на входе Ц/А - DtoA). Если к одному узлу интерфейса подключается не один, а несколько однотипных компонентов, то образуются дополнительные цифровые узлы, в конце имен которых добавляются цифры 2, 3 ... Кроме того, в схеме замещения на рис. 6.2, б к интерфейсу Ц/А автоматически подключается источник питания через глобальный узел $G_POS. Отметим, что схема замещения на рис. 6.2, б содержит аналоговые узлы 1, 3, $G_POS и цифровые узлы 1$AtoD, 2, 3$DtoA. 260 ® Х1 О © VSIN ® & 133ЛАЗ С» RL Цифровой генератор U1 а) (UAtoD ) ( 3$DtoA ) Х1 CL J- Рис. 6.2. Пример смешанной аналого-цифровой цепи (а) и ее схемы замещения (б) Итак, каждому реальному цифровому компоненту в программе МС7 ставятся в соответствие: 1) два устройства интерфейса для сопряжения с аналоговыми устройствами, которые могут подключаться к его входу и выходу, названные нами для краткости интерфейсами Ц/А и А/Ц; они осуществляют обмен данными между подпрограммами моделирования аналоговых и цифровых устройств программы МС7; 2) модели вход/выход, отображающие его входные и выходные комплексные сопротивления; 3) модели динамики, учитывающие запаздывания сигналов. Модели цифровых компонентов и ассоциируемые с ними сопутствующие модели помещаются в специальные библиотеки. 6.2.1. Аналого-цифровой интерфейс Аналого-цифровые интерфейсы предназначены для преобразования аналогового напряжения в логический уровень. Они имитируют входные каскады цифровых ИС. Их схема замещения показана на рис. 6.3,а. 261
< + Узел интерфейса > о— \4, icloadT RLOAD <- Узел интерфейса) а) < Узел источника питания > о CHI + # CLO RHI ^<Узел __ + Я интерфейса) t * RLO < Узел "Земля" > б) Рис. 6.3. Аналого-цифровой (а) и цифроаналоговый (б) интерфейсы Формат SPICE: Оххх <+узел интерфейса> <-узел интерфейса> <имя модели А/Ц> + DGTLNET=<uMfl цифрового узла> <имя модели вход/выход> Приведем пример: 012 ANALOG_NODE DIGITAL_GND DOUT_133 DGTLNET = = DIG_NODE IO_STD Формат схем МС: Атрибут MODEL: <имя модели А/Ц> 262 Атрибут I/O MODEL: <имя модели вход/выход > Модель аналого-цифрового интерфейса описывается предложением .MODEL <имя модели А/Ц> DOUTPUT [<параметры модели>] Параметры модели аналого-цифрового интерфейса приведены в табл. 6.1. Таблица 6.1. Параметры аналого-цифрового интерфейса Идентификатор RLOAD CLOAD SONAME S0VLO SOVHI S1NAME S1VL0 S1VHI . S19NAME S19VL0 S19VHI SXNAME Параметр Сопротивление нагрузки Емкость нагрузки Имя логического состояния "0" Нижний уровень напряжения логического "0" Верхний уровень напряжения логического "0" Имя логического состояния "1" Нижний уровень напряжения логической "1" Верхний уровень напряжения логической "1" Имя логического состояния "19" Нижний уровень напряжения логического состояния "19" Верхний уровень напряжения логического состояния "19" Имя логического состояния, когда напряжение на узле интерфейса находится вне заданных границ Значение по умолчанию 1/GMIN 0 Единица измерения Ом Ф — В В — в в — в в Каждому Аму логическому состоянию соответствует определенный диапазон напряжений SiVL0...SiVHI. До тех пор пока входное напряжение интерфейса А/Ц \4„ не выходит за его границы, логическое состояние на выходе интерфейса А/Ц не изменяется. В противном 263
случае входное напряжение будет сравниваться с пороговыми уровнями, начиная с S0VLO, пока оно не попадет в какой-нибудь интервал. Если оно не попадает ни в один интервал напряжений, логическому состоянию интерфейса А/Ц по умолчанию присваивается символ "?" (его можно изменить с помощью параметра SXNAME). При взаимодействии с модулем логического моделирования программы МС7 имена логических состояний должны быть "О", "1", "X", "R", "F" или "Z" (однако состояние "Z" обычно не используется, так как состояние высокого импеданса не определяет уровень напряжения). Моделирование входной цепи реального цифрового компонента с помощью линейной ЯС-цепи, как показано на рис. 6.3, а, не всегда обеспечивает достаточную точность расчетов. Поэтому пользователь должен иметь в своем распоряжении несколько моделей входных цепей логических компонентов, оформляя их в виде макромоделей. В качестве примера на рис. 6.4 представлена нелинейная модель входной цепи ТТЛ — логики, к которой подключено стандартное устройство сопряжения типа Оххх. R1 Вход о t ft V1 D1 21 1\D2 i 11 R2 U2 o1 Стандартный интерфейс А/Ц Выход ——о Рис. 6.4. Нелинейная модель входной цепи цифрового компонента 6.2.2. Цифро-аналоговый интерфейс Цифроаналоговый интерфейс предназначен для преобразования логического уровня выходных сигналов цифровых компонентов ("1", "О", "X", "R", "F" или "Z") в аналоговое напряжение, как показано на рис. 6.3, б. Эти устройства включают на входе аналоговых компонентов. Аналоговое напряжение образуется с помощью источника опорного напряжения и делителя на резисторах, сопротивления которых изменяются программно в соответствии с логическим уровнем цифрового сигнала. 264 Формат SPICE: Nxxx <узел интерфейса> <~узел источника опорного напря- жения> + <+узел источника опорного напряжения> <имя модели Ц/А> + DGTLNET=<u/w? цифрового узла> + <имя модели вход/еыход> + [\3=<начальное состояние>] Формат схем МС: Атрибут MODEL: <имя модели АЩ> Атрибут I/O MODEL: <имя модели вход/выход > Атрибут IS: <начальное состояние> Модель цифроаналогового интерфейса описывается предложением .MODEL <имя модели Ц/А> DINPUT [<параметры модели>] Параметры модели цифроаналогового интерфейса приведены в табл. 6.2. Таблица 6.2 Параметры модели цифроаналогового интерфейса Идентификатор CLO CHI SONAME SOTSW SORLO SORHI S1NAME S1TSW Параметр Емкость между выходным узлом и "землей" Емкость между выходным узлом и источником питания Имя состояния логический "0" Время переключения в состояние "0" Сопротивление между выходным узлом и "землей" в состоянии "0" Сопротивление между выходным узлом и источником питания в состоянии "0" Имя состояния логическая "1" Время переключения в состояние"!" Значение по умолчанию 0 0 Единица измерения Ф Ф — с Ом Ом — с 265 Идентификатор S1RLO S1RHI . S19NAME S19TSW S19RL0 S19RHI Параметр Сопротивление между выходным узлом и "землей" в состоянии "1" Сопротивление между выходным узлом и источником питания в состоянии "1" Имя логического состояния "19" Время переключения в состояние "19" Сопротивление между выходным узлом и "землей" в состоянии "19" Сопротивление между выходным узлом и источником питания в состоянии "19" Значение по умолчанию Единица измерения Ом Ом — с Ом Ом Опция DGTLNET=<im«7 цифрового узла> <имя модели вход/выход> указывает имя цифрового узла, к которому подсоединен интерфейс Ц/А, и имя модели входного/выходного сопротивления соответствующего цифрового компонента (см. ниже). Начальное логическое состояние управляющего цифрового узла в момент времени f=0 определяется режимом схемы по постоянному току. Изменение этого состояния при необходимости производится с помощью необязательной опции 1Э=<начальное состояние> На рис. 6.3, б изображена схема замещения выходного каскада цифровых ИС. Сопротивления резисторов изменяются в соответствии с логическим уровнем управляющего цифрового узла. При его изменении сопротивления резисторов плавно изменяются по экспоненциальному закону с постоянной времени, которая определяется длительностью переключения, указанной в модели DINPUT. Эти сопротивления рассчитываются по формулам Я1.0 = ЯвыхЕп/(Еп-1/вых); RHI = Явых Еп/ Цзых. где Явых и L/Bblx — выходное сопротивление и уровень выходного напряжения в данном логическом состоянии, Еп — напряжение источника питания. 266 К управляющему цифровому узлу не должны подключаться какие-либо аналоговые компоненты, так как в противном случае между ними автоматически будет включен аналого-цифровой интерфейс и цифровой узел отсоединится. В процессе моделирования логические состояния управляющего цифрового узла получают имена "О", "1", "X", "R", "F" и "Z". Моделирование прерывается, если хотя бы одно из этих состояний не указано в перечне спецификаций SnNAME, SnTSW, SnRLO и SnRHI. 6.2.3. Модель вход/выход Модели вход/выход, ассоциируемые с каждым цифровым компонентом, имеют тип UIO и задаются по формату .MODEL <имя модели вход/выход> UIO [<параметры модели>] Параметры модели вход/выход приведены в табл. 6.3. Таблица 6.3 Параметры модели вход/выход Идентификатор параметра INLD OUTLD DRVH DRVL DRVZ INR TSTOREMN AtoD1 DtoA1 Параметр Входная емкость Выходная емкость Выходное сопротивление высокого уровня Выходное сопротивление низкого уровня Выходное сопротивление утечки цепи, моделируемой как цепь хранения заряда Входное сопротивление утечки цепи, моделируемой как цепь хранения заряда Минимальное время сохранения заряда цепи, моделируемой как цепь хранения заряда Имя макромодели интерфейса А/Ц первого уровня Имя макромодели интерфейса Ц/А первого уровня Значение по умолчанию 0 0 50 50 250 10"3 ЗОЮ"3 1 AtoDDefault DtoADefault Единица измерения Ф Ф Ом Ом Ом Ом с — — 267 Идентификатор параметра AtoD2 DtoA2 AtoD3 DtoA3 AtoD4 DtoA4 TSWLH1 TSWLH2 TSWLH3 TSWLH4 TSWHL1 TSWHL2 TSWHL3 TSWHL4 TPWRT DIGPOWER Параметр Имя макромодели интерфейса А/Ц второго уровня Имя макромодели интерфейса Ц/А второго уровня Имя макромодели интерфейса А/Ц третьего уровня Имя макромодели интерфейса Ц/А третьего уровня Имя макромодели интерфейса А/Ц четвертого уровня Имя макромодели интерфейса Ц/А четвертого уровня Время переключения 0-И для DtoA1 Время переключения 0—И для DtoA2 Время переключения 0—И для DtoA3 Время переключения 0—И для DtoA4 Время переключения 1—>0 для DtoA1 Время переключения 1—>0 для DtoA2 Время переключения 1->0 для DtoA3 Время переключения 1->0 для DtoA4 Пороговое значение длительности импульса Имя макромодели источника питания Значение по умолчанию AtoDDefault DtoADefault AtoDDefault DtoADefault AtoDDefault DtoADefault 0 0 0 0 0 0 0 0 Равно минимальной задержке DIGIFPWR Единица измерения — — — — — — с с с с с с с с с — Входная и выходная емкости INLD, OUTLD принимаются во внимание при расчете времен задержки. Выходные сопротивления цифровых устройств задаются параметрами DRVH, DRVL модели 268 вход/выход UIO (рис. 6.3,а). Выходное сопротивление компонента, находящегося в состоянии "1", обозначается как DRVH, в состоянии "0" — DRVL. В программе МС7 выходные сопротивления компонентов принимают значения в диапазоне от DIGDRVF (Forcing strength) до DIGDRVZ (Z strength), который в логарифмическом масштабе разбивается на 64 уровня (максимальному сопротивлению DIGDRVZ присваивают код 0, а минимальному DIGDRVF — код 63). По умолчанию DIGDRVF =■ 2 Ом, DIGDRVZ = 20 кОм; их значения переназначаются по директиве .OPTIONS. В конфликтных ситуациях, когда к одному узлу подключаются вентили с разными выходными сопротивлениями, логический уровень узла устанавливается вентилем с минимальным выходным сопротивлением, код которого больше кодов остальных сопротивлений в заданное число раз. Это отношение кодов сопротивлений задается параметром DIGOVRDRV в диалоговом окне Global Settings, который пи умолчанию равен 3. Когда имеется несколько вентилей с близкими выходными сопротивлениями и разными логическими уровнями, узлу присваивается неопределенное состояние X. Времена переключения выходных каскадов цифровых ИС задаются параметрами TSWLHn, TSWHLn (трудности их определения по справочным данным заключаются в том, что обычно приводятся значения общего времени переключения всей ИС). Макромодели интерфейсов составляются пользователями и включаются в библиотечный файл. Эти модели отражают характер входных/выходных сопротивлений цифровых компонентов с разной степенью подробности. Модели, имеющиеся в стандартной библиотеке интерфейсов программы МС7, приведены в табл. 6.4. Таблица 6.4 Модели интерфейсов IO_LEVEL 0 1 2 3 4 Определение Текущее значение параметра DIGIOLVL окна Global Settings (по умолчанию равно 1) Основная (простейшая) модель, имеющая логические состояния 0, 1, X, R и F (AtoD1/DtoA1) Основная (простейшая) модель без промежуточного состояния X (AtoD2/DtoA2) Сложная модель с промежуточным состоянием X (AtoD3/DtoA3) Сложная модель без промежуточных состояний X, R и F (AtoD4/DtoA4) 269
Сложные модели точнее имитируют нелинейности входных сопротивлений цифровых ИС, однако требуют больших вычислительных затрат. Имена макромоделей интерфейсов указываются с помощью параметров AtoD1, DtoA1 AtoD4, DtoA4. Выбор уровня модели интерфейса для каждого конкретного цифрового устройства производится с помощью параметра ICLLEVEL Схемы замещения простейших интерфейсов первого уровня показаны на рис. 6.3. В текстовом виде они записываются следующим образом. Макромодель стандартного ТТЛ-интерфейса А/Ц первого уровня имеет вид: .subckt AtoD_STD A D DPWR DGND params: CAPACITANCE=0 ОО A DGND D074 DGTLNET=D IO_STD C1 A DGND {CAPACITANCE+0.1pF} .ends * .model D074 doutput ( + sOname="X" s0vlo=0.8 s0vhi=2.0 + s1name="0" s1vlo=-1.5 s1vhi=0.8 + s2name="R" s2vlo=0.8 s2vhi=1.4 + s3name="R" s3vlo=1.3 s3vhi=2.0 + s4name="X" s4vlo=0.8 s4vhi=2.0 + s5name="1" s5vlo=2.0 s5vhi=7.0 + s6name="F" s6vlo=1.3 s6vhi=2.0 + s7name="F" s7vlo=0.8 s7vhi=1.4) * .model IO_STD uio (drvh=96.4 drvl=104 + AtoD1="AtoD_STD" AtoD2="AtoD_STD_NX" + AtoD3="AtoD_STD_E" AtoD4="AtoD_STD_NX_E" + DtoA1="DtoA_STD" DtoA2="DtoA_STD_NX" + DtoA3="DtoA_STD_E" DtoA4="DtoA_STD_NX_E" + tswhl 1=1.373ns tswlh1=3.382ns + tswhl2=1.346ns tswlh2=3.424ns + tswhl3=1.511ns tswlh3=3.517ns + tswhl4=1.487ns tswlh4=3.564ns + DIGROWER = "DIGIFPWR" Макромодель стандартного ТТЛ-интерфейса Ц/А первого уровня имеет вид: .subckt DtoA_STD D A DPWR DGND + params: DRVL=0 DRVH=0 CAPACITANCE=0 270 N1 A DGND DPWR D1N74 DGTLNET=D IO_STD C1 A DGND {CAPACITANCE+0.1pF} .ends .model D1N74 dinput ( + s0name="0" s0tsw=3.5ns s0rlo=7.13 s0rhi=389 ; 7ohm, 0.09v + s1name="1" s1tsw=5.5ns s1rlo=467 s1rhi=200; 140ohm, 3.5v + s2name="X" s2tsw=3.5ns s2rlo=42.9 s2rhi=116; 31.3ohm, 1.35v + s3name="R" s3tsw=3.5ns s3rlo=42.9 s3rhi=116; 31.3ohm, 1.35v + s4name="F" s4tsw=3.5ns s4rlo=42.9 s4rhi=116; 31.3ohm, 1.35v + s5name="Z" s5tsw=3.5ns s5rlo=200K s5rhi=200K) Более сложная модель интерфейса А/Ц первого уровня имеет схему замещения, показанную на рис. 6.4. Ее текстовое описание имеет вид: .subckt AtoD_STD_E A D DPWR DGND params: CVPACITANCE-0 O0 A DGND D074 DGTLNET=D IO_STD C1 A DGND {CAPACITANCE+0.1pF} DO DGND a D74CLMP D1 1 2 D74 D2 2 DGND D74 R1 DPWR 3 4k Q1 1 3 A 0 Q74; подложку соединить с DGND .ends .model D74 D(IS=1e-16 RS=25 CJO=2pf) .model D74CLMP D(IS=1e-15 RS=2 CJO=2pf) .model Q74 NPN (ISE=1e-16 ISC=4e-16 BF=49 + BR=.03 CJE=1pf CJC=.5pf CJS=3pf VJE=0.9v VJC=0.8v + VJS=0.7v MJE=0.5 MJC=0.33 MJS=0.33 F=0.2ns + TR=10ns RB=50 RC=20) Модели интерфейсов записаны в файл DIGIO.LIB, анализируя который можно получить полное представления об их адекватности решаемым задачам и при необходимости внести в него коррективы. 6.2.4. Устройства питания В программе МС7 принято, что макромодель источника питания имеет имя DIGIFPWR и на нее автоматически делается ссылка при наличии в схеме макромоделей аналого-цифровых интерфейсов. Конкретное содержание макромодели источника питания определяется пользователем, а ее текст помещается в библиотеку цифровых устройств. Приведем в качестве примера макромодель источника напряжения, принимающего по умолчанию значение 5 В: 271
.subckt DIGIFPWR AGND + optional: DPWR=$G_DPWR DGND=$G_DGND + params: VOLTAGE=5.0v REFERENCE=Ov VDPWR DPWR DGND {VOLTAGE} R1 DPWR AGND 1MEG VDGND DGND AGND {REFERENCE} R2 DGND AGND 1MEG .ends Здесь узлам цифрового питания и цифровой "земли" присвоены глобальные имена $G_DPWR, $G_DGND (при желании их можно изменить здесь и одновременно в моделях всех цифровых устройств). Узел AGND — общий узел источника питания, который при включении его в общую схему соединяется с узлом аналоговой "земли" 0. Для создания макромодели источника другого напряжения необходимо в задании на моделирование включить предложение вызова макромодели источника питания, задав с помощью параметра VOLTAGE нужное значение напряжения питания, и указать после номера узла "земли" 0 имена (номера) узлов его выводов, например: XMYPOWER 0 MY_PWR MY_GND DIGIFPWR params: VOLTAGE=9.0v Здесь вызывается источник напряжения 9 В, выводы которого имеют имена MY_PWR и MY_GND (эти имена указываются в моделях примитивов цифровых устройств, подключаемых к этому источнику, см. ниже). Усложнив макромодель, можно создать источник нескольких напряжений. 6.3. Генераторы цифровых сигналов Генераторы цифровых сигналов можно задать двумя способами. Определение формы цифрового сигнала в задании на моделирование (устройства STIM) Формат SPICE: Uxxx ЗТ1М(<количестео сигналов>,<формат>) + <+узел источника питания> <-узел источника питания> + <список узлов>* <имя модели вход/выход> + [IO_LEVEL=<HO/wep макромодели интерфейса ехо<Э/еь/хо<Э>] + [TIMESTEP=<uva2 по времени>] + <команды описания формы сигнала>* Формат схем МС: Атрибут PART: <имя> Атрибут FORMAT: < формат> 272 Атрибут COMMAND: <команды описания формы сигнала> Атрибут I/O MODEL: <имя модели вход/выход> Атрибут TIMESTEP: <шаг по времени> Атрибут IO_LEVEL: <номер макромодели интерфейса вход/выход> Атрибут POWER NODE: <+узел источника питания> Атрибут GROUND NODE: <-узел источника питания> Переменная <количество сигналов> определяет в файле SPICE количество выходов генератора, равное количеству генерируемых цифровых сигналов. При графическом вводе схем в библиотеке компонентов имеются готовые символы генераторов разного количества сигналов Stiml, Stim2,..., Stim16. Переменная <формат> — это спецификация формата переменной <данные>, в которой представлены логические уровни сигналов генератора. Эта переменная представляет собой последовательность цифр, общее число которых равно значению переменной количество сигналов>. Каждая цифра принимает значения 1, 3 или 4, что означает двоичную, восьмеричную и шестнадцатерич- ную систему счисления. Подключение источника питания задается номерами узлов <+узел источника питания>, <-узел источника питания>. Номера подключения выходов генератора к схеме задаются ксписком узлов>. Имя модели вход/выход задается параметром <имя модели вход/выход>, как для любого цифрового устройства. IO_LEVEL — необязательный параметр для выбора одной из четырех макромоделей интерфейса вход/выход (по умолчанию 0); TIMESTEP — не обязательный параметр для задания периода квантования (или шага). При задании моментов времени номером шага (имеют суффикс "С") он умножается на величину шага. По умолчанию устанавливается TIMESTEP=0. Этот параметр не принимается во внимание, если заданы абсолютные значения моментов времени (имеют суффикс "S"). Параметр кописание формы сигнала> представляет собой произвольную комбинацию одной или нескольких следующих строк: <t>, <логический уровень> LABEL=<iaw метки> <Ь GOTO <имя метки> <n> TIMES <t> GOTO < имя метки > UNTIL GT <данные> <t> GOTO < имя метки > UNTIL GE <данные> <t> GOTO < имя метки > UNTIL LT <данные> <t> GOTO < имя метки > UNTIL LE <данные> <t> INCR BY <данные> <t> DECR BY <данные> 273
Каждая цифра переменной <данные> представляет собой логический уровень соответствующего выходного сигнала, который представлен в системе счисления 2т, где т — соответствующая цифра переменной <формат>. Количество узлов в <списке узлов> должно быть равно значению переменной <количество сигналов>. Переменная <t> определяет моменты времени, в которые задаются логические уровни сигнала. Если перед значением переменной <t> имеется символ "+", то эта переменная задает приращение относительно предыдущего момента времени; в противном случае она определяет абсолютное значение относительно начала отсчета времени t =0. Суффикс "S" указывает размерность времени в секундах (допускается суффикс "nS" — наносекунды и т.п.). Суффикс "С" означает измерение времени в количестве циклов, размер которых определяется параметром TIMESTEP (переменная <шаг по времени>). Переменная <данные> состоит из символов "О", "1", "X", "R", "F" или "Z", интерпретируемых в заданном формате. Переменная <л> задает количество повторяющихся циклов GOTO; значение п = -1 задает бесконечное повторение цикла. Переменная <имя метки> используется при организации цикла с помощью оператора перехода GOTO, который передает управление на строку, следующую за оператором 1_АВЕ1_=<и/ия метки>. Приведем пример: USIGNAL STIM(2,11) $G_DPWR $G_DGND 1 2 IO_STM TIMESTEP=1ns + ОС 00 ; В момент времени t = 0 состояния обоих узлов равны "О" + LABEL = М + 1С 01 ; При t = 1 не состояние узла 1 равно "О, узла 2 — "1" + 2С 11; При t = 2 не состояние обоих узлов равны "1" + ЗС GOTO M 3 TIMES; В момент времени t = 3 не переход на метку М и выполняется первая инструкция без дополнительной задержки. Цикл повторяется 3 раза Считывание временной диаграммы цифрового сигнала из файла (устройства FSTIM) Формат SPICE: Uxxx РЭТЩкколичество выходов>) + <+узел источника питания> <-узел источника питания> + <список узлов>* + <имя модели вход/выход> + Р\1Е=<имя файла сигналов> + [IO_LEVEL=<HO/wep макромодели интерфейса вход/выход>] + [SIGNAMES=<u/Mfl воздействия из файла сигналов>] 274 Формат схем МС: Атрибут PART: <имя> Атрибут I/O MODEL: <имя модели вход/выход> Атрибут FILE: <имя файла> Атрибут SIGNAMES: <имя воздействия из файла сигналов>] Атрибут IO_LEVEL: <номер макромодели интерфейса вход/выход> Атрибут POWER NODE: <+узел источника питания> Атрибут GROUND NODE: <-узел источника питания> Параметр <количество выходов> определяет количество узлов, к которым подключаются сигналы. Остальные параметры имеют тот же смысл, что для генераторов STIM. Обратим внимание, что задание с помощью параметра FILE имени файла, в котором описаны сигналы, обязательно. Файл цифрового сигнала может быть получен путем редактирования файла результатов моделирования или составлен вручную с помощью любого текстового редактора. Файл цифрового сигнала имеет две секции: 1) заголовок (header), содержащий список имен сигналов; 2) список значений сигнала (transitions), содержащий на одной или более строках моменты времени изменений сигналов и колонки их значений. Приведем сначала пример файла цифровых сигналов: * Заголовок: содержит имена сигналов CLOCK, RESET, IN1, IN2; имена 4-х сигналов *Начало описания сигналов; отделяется от заголовка пустой строкой О 000; двоичные коды сигналов 10ns 1100 20ns 0101 30ns 1110 40ns 0111 Заголовок имеет следующий формат: [TIMESCALE=<3Ha4eHue>] <имя сигнала 1>...<имя сигнала п>... ОСТ(<3-й бит сигнала>... 1-й бит сигнала>)... НЕХ(<4-и бит сигнала>...<1-й бит сигнала>)... Имена сигналов могут разделяться запятыми или пробелами. Они располагаются на одной или нескольких строках, но строки продолжения не имеют в начале знака "+". Максимальное количество сигналов 255, на одной строке могут размещаться не более 300 символов. Име- 275
на сигналов перечисляются в том же порядке, в котором их значения приводятся во временных диаграммах. Если перед именем сигнала не указывается название системы счисления, он считается двоичным. Имена сигналов, записанных в восьмеричном коде, предваряются кодом ОСТ и группируются по 3 сигнала (имена групп сигналов заключаются в круглые скобки) Имена шестнадцатеричных сигналов имеют код HEX и группируются по 4 сигнала. Приведем еще один пример файла сигналов: Clock Reset In1 In2 HEX(Addr7 Addro Addr5 Addr4) HEX(Addr3 Addr2 Addrl AddrO) ReadWrite 0 0000 00 0; для наглядности между группами 10n 1100 4Е 0; можно включать пробелы 20п 0101 4Е 1 ЗОп 1110 4Е 1 40п 0111 FF0 Здесь сначала приведены имена четырех двоичных сигналов, затем две группы сигналов, задаваемых в шестнадцатеричном виде, и затем еще один бинарный сигнал. В последующем списке значений сигналов даны 7 колонок, соответствующих приведенным в заголовке сигналам. Список значений имеет формат: <время> оначение сигнала>* Список значений отделяется от заголовка пустой строкой. Моменты времени и список значений сигналов должны быть разделены по крайней мере одним пробелом. Для моментов времени изменений сигналов указываются их абсолютные значения (в секундах), например 25ns, 1.2Е- 9, 5.8, или приращение относительно предыдущего момента времени Признак приращения - знак "+" перед значением момента времени, например +5ns. Каждое значение сигнала соответствует одиночному бинарному сигналу или группе сигналов, имена которых помещены в группы с указателями системы счисления ОСТ или HEX. Общее количество значащих цифр в значениях сигналов должно быть равно общему количеству бинарных сигналов и групп сигналов, помеченных символами ОСТ и HEX. Разряды данных сигнала могут принимать следующие значения, приведенные в табл. 6.5. Заметим, что задний фронт не может в шестнадцатеричной системе обозначаться как F, потому что этот символ занят под численное значение. Приведем примеры генераторов цифровых сигналов типа FSTIM. 276 Значения сигналов Таблица 6 5 Сигналы Логические/цифровые Неопределенные Высокого импеданса Передний фронт Задний фронт Двоичные 0,1 X Z R F Восьмеричные 0...7 X Z R F Шестнадцате- ричные 0...F X Z R - 1. Пусть имеется файл digl.stm, в котором описана временная диаграмма сигнала IN1. Тогда в задание на моделирование можно в:лючить следующее описание генератор?.: U1 FSTIM(1) $G_DPWR $G_DGND IN1 IO_STM FILE=DIG1.STM 2. Пусть имеется файл flipflop.stm следующего содержания: J К PRESET CLEAR CLOCK 0 0 0 010 10ns 0 0 111 В задание на моделирование включим описание генератора сигнала U2 FSTIM(4) $G_DPWR $G_DGND CLK PRE J К IO_STM + FILE=flipflop.stm SIGNAMES = CLOCK PRESET В этом примере первым двум узлам CLK и PRE соответствуют сигналы CLOCK и PRESET из файла сигналов, это соответствие устанавливается с помощью опции SIGNAMES. Последним узлам J и К соответствуют одноименные сигналы из файла сигналов, поэтому их имена нет необходимости включать в опцию SIGNAMES. Сигнал CLEAR в данном примере не используется (но на него можно сослаться в другом генераторе FSTIM). 6.4. Цифровые компоненты Цифровые компоненты (примитивы) всех типов задаются по общим правилам. Формат SPICE: Uxxx <тип> [(<список параметров>*)] 277
+ <+узел источника питания> <-узел источника питания> <список узлов>* + <имя модели динамики> <имя модели вход/выход> + [MNTYMXDLY=<eb/6op значения задержки>] + [IO_LEVEL=<ypoeeHb модели интерфейса>] Формат схем МС: Атрибут PART: <имя> Атрибут TIMING MODEL: <имя модели динамики> Атрибут I/O MODEL: <имя модели вход/выход> Атрибут MNTYMXDLY: <выбор значения задержки> Атрибут IO_LEVEL: <уровень модели интерфейса> Параметр <тип> указывает тип логического устройства (их перечень приведен ниже, например AND, NOR); в круглых скобках указываются значения одного или более параметров через запятую (например, для схемы И указывается количество входов). После списка узлов подключения логического устройства следуют имена двух моделей. Первая модель описывает динамические свойства устройства, вторая — характеристики входных и выходных сопротивлений. Модели динамики имеют следующие ключевые слова, приведенные в табл. 6.6. Таблица 6.6 Ключевые слова моделей динамики цифровых компонентов Имя модели динамики UADC UDAC UIO UGATE UTGATE UEFF UGFF UDLY UPLD Тип компонента Аналого-цифровой преобразователь Цифроаналоговый преобразователь Модель входа/выхода цифрового устройства Стандартный вентиль Вентиль с тремя состояниями Триггер с динамическим управлением Триггер с потенциальным управлением Цифровая линия задержки Программируемые логические матрицы Модели вход/выход имеют ключевое слово UIO (п. 6.2.3). Параметр MNTYMXDLY позволяет конкретному индивидуальному устройству назначить минимальное, типичное или максимальное значение времени задержки, указанное в спецификации модели его динамики: 278 0 — значение задержки, заданное параметром DIGMNTYMX окна Global Settings (по умолчанию параметр равен 2); 1 — минимальное значение; 2 — типичное значение; 3 — максимальное значение; 4 — расчет наихудшего случая (минимум/максимум). Параметр IO_LEVEL указывает тип цифроаналогового и аналого-цифрового интерфейса данного цифрового устройства: 0 — в соответствии со значением параметра DIGIOLVL окна Global Settings (по умолчанию он равен 1); 1 — интерфейс AtoD1/DtoA1; 2 — интерфейс AtoD2/DtoA2; 3 — интерфейс AtoD3/DtoA3; 4 — интерфейс AtoD4/DtoA4. Запаздывание сигнала в примитивах цифровых устройств определяется в двух моделях: динамики и вход/выход. Модель динамики определяет задержки распространения и такие временные ограничения, как время установки (setup) и удерживания (hold). Модель вход/выход задает входные и выходные сопротивления, емкости и время переключения. Когда выход примитива соединяется с другим примитивом, общее время задержки распространения первого примитива равно сумме времени установления напряжения на его нагрузке и времени распространения сигнала, указанного в модели динамики. Время установления напряжения на нагрузке (loading delay) рассчитывается по формуле ^нагр = и,оУпВых L/Harpi где RBblx — выходное сопротивление устройства, равное DRVH или DRVL в зависимости от логического уровня на выходе; СнаФ — сумма входных и выходных емкостей цифровых устройств INLD, OUTLD, подключенных к данному выводу. Когда цифровой примитив подключен к аналоговому устройству, задержка распространения уменьшается на величину, равную времени переключения, заданного в модели вход/выход. Минимальная длительность сигнала на входе цифрового примитива, необходимая для изменения его логического состояния, должна превышать время задержки, приведенное в модели динамики (это ограничение не относится к цифровым линиям задержки). Более короткие входные импульсы не вызовут на выходе никакого эффекта. Перейдем теперь к описанию цифровых компонентов различных типов, сгруппировав их по следующим категориям: - многоразрядные АЦП и ЦАП; * 279
- вентили; - триггеры; - программируемые логические матрицы; - источники постоянных логических сигналов; - линии задержки; - функциональное описание цифровых устройств. 6.4.1. Многоразрядные АЦП и ЦАП Многоразрядные АЦП задаются по формату UxxxADC(<m>) <список узлов> + <модель динамики> <модель вход/выход> + [MNTYMXDLY=<eb/6op значения задержки>] + [IO_LEVEL=<ypoeeHb модели интерфейса^ Имена узлов перечисляются в <списке узлов> в следующем порядке (рис. 6.5): и REF 0 Е С Р А/# D1 D2 D3 D4 UCC UEE Рис. 6.5. Аналого-цифровой преобразователь <+узел источника питания>, <-узел источника питания>, онало- говый вход>, <опорное напряжение>, <"земля">, <сигнал разреше- ния>, <сигнал преобразования>, ксигнал переполнения>, <т-й разряде <1-йразряд> Смысл остальных параметров такой же, что и для интерфейса А/Ц типа Оххх. Модель динамики имеет формат .MODEL <имя модели> UADC [(параметры)] Параметры этой модели приведены в табл. 6.7 (значения по умолчанию — 0, единица измерения — с). Временная диаграмма АЦП показана на рис. 6.6. Выходной сигнал АЦП равен ближайшему целому выражения V(< аналоговый вход >, <"земля"> т V(< опорное напряжение >, <"земля"> 280 где т — количество разрядов. Если это выражение больше 2?-Л, все разряды данных и разряд переполнения примут значение 1. Если оно меньше нуля, разряды данных примут нулевое значение, а разряд переполнения — 1. Таким образом, опорное напряжение устанавливает диапазон входного напряжения АЦП. Сигнал разрешен г Сигнал запуска Старое состояние lpcs Неопределенное состояние l psd Новое состояние lpda Рис. 6.6. Переходные процессы в АЦП Параметры модели АЦП Идентификатор TPCSMN TPCSTY TPCSMX TPSDMN TPSDTY TPSDMX TPDSMN TPDSMTY TPDSMX Таблица 6.7 Параметр Время задержки запуска — запаздывание между передними фронтами импульсов разрешения и запуска, минимальное значение То же, типичное значение То же, максимальное значение Время цикла кодирования — интервал времени между передним фронтом импульса запуска и переходом выходного сигнала в новое состояние, минимальное значение То же, типичное значение То же, максимальное значение Запаздывание заднего фронта сигнала запуска относительно момента перехода выходного сигнала в новое состояние, минимальное значение То же, типичное значение То же, максимальное значение Сигнал разрешения (convert pulse) может иметь любую, в том числе и нулевую длительность. Если цикл кодирования fpscp0, то т 281
разрядов данных и разряд переполнения, не принимая неопределенного состояния, сразу принимают новое значение. Между узлами «опорное напряжение> и <"земля"> включается резистор с сопротивлением, равным 1/GMIN. Выборки входных напряжений производятся по переднему фронту импульса разрешения, причем скорость изменения входных напряжений не влияет на результат преобразования. Приведем пример описания 4-разрядного АЦП. U3 ADC(4) $G_DPWR G_DGND1 10 0 conv stat + over out3 out2 outl outO DINAM IO_ADC .MODEL DINAM UADC( + tpcsmn=5ns, tpcsty=8ns, tpcsmx=10ns, + tpsdmn=16ns, tpsdty=20ns, tpsdmx=22ns, + tpdsmn=4ns, tpdsty=5ns, tpdsmx=6ns) .MODEL IO_ADC UIO(drvh=50 drvl=50) Многоразрядный ЦАП задается по формату Uxxx DAC(<m>) <список узлов> <модель динамики> <модель вход/выход> + [MNTYMXDLY=<eb/6"op значения задержки>] + [IO_LEVEL=<ypoeeHb модели интерфейса^ Имена узлов перечисляются в <списке узлов> в следующем порядке (рис. 6.7): <+узел источника питания>, <-узел источника питания>, оналоговыи выход>, <опорное напряжение>, <"земля">, <т-й разряд входного сигнала> <1-й разряд входного сигнала> Рис. 6.7. Цифроаналоговый преобразователь Модель динамики ЦАП имеет вид .MODEL <имя модели> UDAC [{параметры)] Параметры этой модели приведены в табл. 6.8 (значения по умолчанию — 0, единица измерения — с). 282 D1 D2 D3 D4 #/А U REF 0 UCC UEE Таблица 6 8 Параметры модели ЦАП Идентификатор TSWMN TSWTY TSWMX Параметр Время установления (от момента изменения входного кода до момента достижения выходным напряжением уровня 0,9 установившегося значения), минимальное .значение То же, типичное значение То же, максимальное значение Между узлами оналоговыи выход> и <"земля"> включается источник напряжения с нулевым внутренним сопротивлением, ЭДС которого равна: \/(<опорное напряжение>, <"зе/иля">)х бинарный входной сигнал ~,т Опорное напряжение определяет диапазон выходного аналогового напряжения. Между узлом источника опорного напряжения и "землей" включается сопротивление, равное 1/GMIN. Если какой-либо разряд входного цифрового сигнала не определен, выходное напряжение равно половине разности двух напряжений. Одно из них представляет собой выходное напряжение ЦАП, если все не определенные состояния "X" заменить на "1", второе — если эти состояния заменить на логический "0". При изменении состояний всех разрядов выходное напряжение линейно изменяется в течение интервала преобразования, как показано на рис. 6.8. Рис. 6.8. Переходные процессы в ЦАП Старое состояние ~х Новое состояние 6.4.2. Вентили и триггеры Вентили подразделяются на элементарные и сложные. Элементарные вентили имеют один или несколько входов и только один выход. Сложные вентили (сборки) содержат в одном корпусе несколько простых вентилей (рис. 6.9). Кроме того, вентили подразделяются на два типа: стандартные вентили и вентили с тремя состояниями. Вен- 283
тили с тремя состояниями управляются сигналами разрешения. Когда этот сигнал имеет уровень "О", выходной сигнал вентиля имеет неопределенный уровень "X" при высоком выходном сопротивлении Z. Все вентили описываются по формату, приведенному в начале разд. 6.4. BUF (Буфер) -а- INV (Инвертор) -и- AND (И) BUFA (Сборка буферов) _Й~_ АО (Сборка И- ИЛИ) & & 1 NAND (ИЛИ) ОА (Сборка И- ИЛИ) & OR (ИЛИ) 1 1 & NOR (ИЛИ-НЕ) AOI (Сборка И- ИЛИ-НЕ) & & XOR (Исключающее QM (Cg ИЛИ.И.НЕ) _ГЛ ИЛИ) Х-^ . NXOR (Исключающее ZJ =еь ИЛИ-НЕ) —\1 Рис. 6.9. Стандартные вентили и их сборки Стандартные вентили перечислены в табл. 6.9. Тип BUF Параметр Нет Таблица 6.9 Стандартные вентили Порядок перечисления выводов Вх., вых. Функциональное назначение Буфер 284 Тип INV AND NAND OR NOR XOR NXOR BUFA INVA ANDA NANDA ORA NORA XORA NXORA АО OA OAI AOI Параметр Нет N N N N Нет Нет L L N, L N, L N, L N, L L L N, L N.L N, L N, L Порядок перечисления выводов Вх., вых. Вх.1, вх.2 вых. Вх.1, вх.2 вых. Вх.1, вх.2 вых. Вх.1, вх.2,..., вых. Вх.1, вх.2, вых. Вх.1, вх.2, вых. Вх.1, вх.2 вых.1, вых.2,... Вх.1, вх.2,..., вых.1, вых.2,... Вх.1, вх.2 вых.1, вых.2,... Вх.1, вх.2 вых.1, вых.2,... Вх.1, вх.2,..., вых.1, вых.2,... Вх.1, вх.2 вых.1, вых.2,... Вх.1, вх.2,..., вых.1, вых.2,... Вх.1, вх.2,..., вых.1, вых.2,... Вх.1, вх.2,..., вых. Вх.1, вх.2,..., вых. Вх.1, вх.2,..., вых. Вх.1, вх.2,..., вых. Функциональное назначение Инвертор Логика И Логика И-НЕ Логика ИЛИ Логика ИЛИ-НЕ Исключающее ИЛИ Исключающее ИЛИ-НЕ Сборка буферов Сборка инверторов Сборка элементов логики И Сборка элементов логики И-НЕ Сборка элементов логики ИЛИ Сборка элементов логики ИЛИ- НЕ Сборка элементов исключающее ИЛИ Сборка элементов исключающее ИЛИ-НЕ Сборка логики И-ИЛИ Сборка логики ИЛИ-И Сборка логики ИЛИ-И-НЕ Сборка логики И-ИЛИ-НЕ Примечание. N — количество входов, L — количество вентилей. Модель динамики вентилей имеет формат .MODEL <имя модели> UGATE [(параметры)] Параметры моделей вентилей типа UGATE приведены в табл. 6.10 (значения по умолчанию — 0, единица измерения — с). 285
Таблица 6.10 Параметры модели вентилей Идентификатор TPLHMN TPLHTY TPLHMX TPHLMN TPHLTY TPHLMX Параметр Задержка при переходе от низкого уровня к высокому, минимальное значение То же, типичное значение То же, максимальное значение Задержка при переходе от высокого уровня к низкому, минимальное значение То же, типичное значение То же, максимальное значение Вентили с тремя состояниями перечислены в табл. 6.11. Таблица 6.11 Вентили с тремя состояниями Тип BUF3 INV3 AND3 NAND3 OR3 NOR3 XOR3 NXOR3 BUF3A INV3A Параметр Нет Нет N N N N Нет Нет L L Порядок перечисления выводов Вх., разр., вых. Вх., разр., вых. Вх.1, вх.2 разр., вых. Вх.1, вх.2 разр., вых. Вх.1, вх.2 разр., вых. Вх.1, вх.2 разр., вых. Вх.1, вх.2, разр., вых. Вх.1, вх.2, разр., вых. Вх.1, вх.2 разр., вых.1, вых.2,... Вх.1, вх.2,..., разр., вых.1, вых.2,... Функциональное назначение Буфер Инвертор Логика И Логика И-НЕ Логика ИЛИ Логика ИЛИ-НЕ Исключающее ИЛИ Исключающее ИЛИ-НЕ Сборка буферов Сборка инверторов 286 Тип AND3A NAND3A OR3A NOR3A XOR3A NXOR3A Параметр N, L N, L N, L N, L N, L N, L Порядок перечисления выводов Вх.1, вх.2 разр., вых.1, вых.2, ... Вх.1, вх.2,..., разр., вых.1, вых.2,... Вх.1, вх.2 разр., вых.1, вых.2,... Вх.1, вх.2 разр., вых.1, вых.2, ... Вх.1, вх.2,..., разр., вых.1, вых.2,... Вх.1, вх.2 разр., вых.1, вых.2,... Функциональное назначение Сборка элементов логики И Сборка элементов логики И-НЕ Сборка элементов логики ИЛИ Сборка элементов логики ИЛИ-НЕ Сборка элементов исключающее ИЛИ Сборка элементов исключающее ИЛИ-НЕ Примечание. N — количество входов, L — количество вентилей. Модель динамики этих вентилей имеет формат .MODEL <имя модели> UTGATE [(параметры)] Параметры моделей вентилей типа UTGATE приведены в табл. 6.12 (значение по умолчанию — 0, единица измерения — с). Таблица 6.12 Параметры модели вентиля Идентификатор TPLHMN TPLHTY TPLHMX TPHLMN TPHLTY TPHLMX TPLZMN TPLZTY TPLZMX TPHZMN TPHZTY TPHZMX Параметр Задержка при переходе от низкого уровня к высокому, минимальное значение То же, типичное значение То же, максимальное значение Задержка при переходе от высокого уровня к низкому, минимальное значение То же, типичное значение То же, максимальное значение Задержка при переходе от низкого уровня к уровню Z, минимальное значение То же, типичное значение То же, максимальное значение Задержка при переходе от высокого уровня к уровню Z, минимальное значение То же, типичное значение То же, максимальное значение 287 Идентификатор TPZLMN TPZLTY TPZLMX TPZHMN TPZHTY TPZHMX Параметр Задержка при переходе от уровня Z к низкому уровню, мини- мальное значение То же, типичное значение То же, максимальное значение Задержка при переходе от уровня Z к высокому уровню, мини- мальное значение То же, типичное значение То же, максимальное значение Триггеры Триггеры имеют динамическое и потенциальное управление. Каждый компонент может содержать один или несколько триггеров в корпусе, у которых общими являются сигналы установки, сброса и тактовой синхронизации (рис. 6.10). Перечень триггеров приведен в табл. 6.13. JKFF 9 «. Jl - к, - с J2 к, к < 5 . '1 — . П н 1 R s2 —( )S J к •с >s J к ■с >R а) >S S с г >R s с г Г т < т ( т SRFF > ' DFF ■Q •Q ■Q "Q ■Q ■Q ■Q •Q S D,- С R S G D,- R е) 1 <——( 1 ^^ >s D -О D ■С )R б) >S С D )R )S С D R T T < T < r ( DZ.TC Q ■Q О ■Q ■Q Q Q s; Рис. 6.10. Триггеры: a — JK-триггер с отрицательным фронтом срабатывания и низким уровнем сигналов установки и сброса; б— D-триггер с положительным фронтом срабатывания и низким уровнем сигналов установки и сброса; в — синхронный двухтактный RS-триггер; г — синхронный однотактный D-триггер Модели динамики триггеров с динамическим управлением имеют формат .MODEL <имя модели> UEFF [(параметры)] Параметры модели триггеров с динамическим управлением типа UEFF приведены в табл. 6.9 (значения по умолчанию — 0, единица измерения — с). Косая черта "/" означает "или"; например, запись S/R означает сигнал S или R. Модель динамики триггеров с потенциальным управлением имеет формат .MODEL <имя модели> UGFF [{параметры)] Параметры моделей триггеров с потенциальным управлением типа UGFF приведены в табл. 6.10 (значения по умолчанию — 0, единица измерения — с). Таблица 6.13 Перечень триггеров Тип Параметры Порядок перечисления выводов Функциональное назначение Триггеры с динамическим управлением JKFF DFF Количество триггеров Количество триггеров S, R, С, Ji, J2, ..., Кь Кг, ..., Qi, Q2, •■•, Qi, Q2,..., S, R, С, Di, D2 Qi.Qz Qi, Q2, ... JK-триггер с отрицательным фронтом срабатывания и низким уровнем сигнала установки и сброса D-триггер с положительным фронтом срабатывания и низким уровнем сигнала установки и сброса Триггеры с потенциальным управлением SRFF DLTC Н Количество триггеров Количество триггеров S, R, G, Si, S2 R1, R2,..., Qi, Q2,—, Qi, Qz, ... S, R, G, Di, Da,.... Qi.Cfe Qi, Q2, ... Двухтактный синхронный RS-триггер Однотактный синхронный D- триггер Таблица 6.14 Параметры моделей триггеров типа UEFF Идентификатор TPPCQLHMN 10—1361 Параметр Задержка перехода "0"->"1" со входа S/R к выходам Q/Q, минимальное значение 289
Идентификатор TPPCQLHTY TPPCQLHMX TPPCQHLMN TPPCQHLTY TPPCQHLMX TWPCLMN TWPCLTY TWPCLMX TPCLKQLHMN TPCLKQLHTY TPCLKQLHMX TPCLKQHLMN TPCLKQHLTY TPCLKQHLMX TWCLKLMN TWCLKLTY TWCLKLMX TWCLKHMN TWCLKHTY TWCLKHMX TSUDCLKMN TSUDCLKTY TSUDCLKMX TSUPCCLKHMN Параметр To же, типичное значение To же, максимальное значение Задержка перехода "1"-У'0" со входа S/R к выходам Q/Q, минимальное значение То же, типичное значение То же, максимальное значение Максимальная длительность сигнала "0" на входе S/R, минимальное значение То же, типичное значение То же, максимальное значение Задержка перехода "0"-УТ от фронта импульса С/С до выхода Q/Q, минимальное значение То же, типичное значение То же, максимальное значение Задержка перехода "1"-*"0" от фронта импульса С/С до выхода Q/Q, минимальное значение То же, типичное значение То же, максимальное значение Минимальная длительность сигнала "0" на входе С/С , минимальное значение То же, типичное значение То же, максимальное значение Минимальная длительность сигнала "1" на входе С/С , минимальное значение То же, типичное значение То же, максимальное значение Время подготовки к работе по входам J/K/D перед действием фронта синхроимпульса С, минимальное значение То же, типичное значение То же, максимальное значение Длительность сигнала "1" на входах S/R при действии фронта синхроимпульса С/С , минимальное значение 290 Идентификатор TSUPCCLKHTY TSUPCCLKHMX THDCLKMN THDCLKTY THDCLKMX Параметр То же, типичное значение То же, максимальное значение Длительность сигнала на входе J/K/D после действия фронта синхроимпульса С/С , минимальное значение То же, типичное значение То же, максимальное значение Таблица 6.15. Параметры моделей триггеров типа UGFF Идентификатор TPPCQLHMN TPPCQLHTY TPPCQLHMX TPPCQHLMN TPPCQHLTY TPPCQHLMX TWPCLMN TWPCLTY TWPCLMX TPGQLHMN TPGQLHTY TPGQLHMX TPGQHLMN TPGQHLTY TPGQHLMX TPDQLHMN TPDQLHTY Параметр Задержка перехода "0"-УТ со входа S/R к выходам Q/Q, минимальное значение То же, типичное значение То же, максимальное значение Задержка перехода Т'-У'О" со входа S/R к выходам Q/Q, минимальное значение То же,типичное значение То же, максимальное значение Минимальная длительность сигнала "0" на входе S/R, минимальное значение То же, типичное значение То же, максимальное значение Задержка перехода "0"-У'1" от фронта импульса синхронизации G до выхода Q/Q, минимальное значение То же, типичное значение То же, максимальное значение Задержка перехода Т'-У'О" от фронта импульса синхронизации G до выхода Q/Q, минимальное значение То же, типичное значение То же, максимальное значение Задержка перехода "0"-У"Г от входа S/R/D до выхода Q/Q То же, типичное значение 291
Идентификатор TPDQLHMX TPDQHLMN TPDQHLTY TPDQHLMX TWGHMN TWGHTY TWGHMX TSUDGMN TSUDGTY TSUDGMX TSUPCGHMN TSUPCGHTY TSUPCGHMX THDGMN THDGTY THDGMX Параметр To же, максимальное значение Задержка перехода "1 "->"0" от входа S/R/D до выхода Q/Q То же, типичное значение То же, максимальное значение Минимальная длительность сигнала "1" на входе G, минимальное значение То же, типичное значение То же, максимальное значение Время подготовки к работе по входам S/R/D перед действием фронта синхроимпульса G, минимальное значение То же, типичное значение То же, максимальное значение Длительность сигнала "1" на входах S/R при действии фронта синхроимпульса G, минимальное значение То же, типичное значение То же, максимальное значение Длительность сигнала на входе S/R/D после действия фронта синхроимпульса G, минимальное значение То же, типичное значение То же, максимальное значение По умолчанию в начальный момент времени выходные состояния триггеров приняты неопределенными (состояния X). Они остаются таковыми до подачи сигналов установки или сброса или перехода триггера в определенное состояние. В МС7 имеется возможность установить определенное начальное состояние с помощью параметра DIGINITSTATE диалогового окна Global Settings. В моделях триггеров имеются параметры, характеризующие минимальные длительности сигналов установки и сброса и минимальную длительность импульсов. Если эти параметры больше нуля, то в процессе моделирования измеренные значения длительностей импульсов сравниваются с заданными данными и при наличии слишком коротких импульсов на экран выдаются предупреждающие сообщения. 292 6.4.3. Программируемые логические матрицы Программируемые логические матрицы (ПЛМ, PLA — Programmable Logic Arrays) имеют ряд входов, которые формируют столбцы матрицы, и ряд выходов, образующих строки [9]. Каждый выход (строка) управляется одним логическим элементом. Совокупность управляющих сигналов составляют программу для ПЛМ, которая определяет, какие входы соединяются с логическими элементами. В состав примитивов ПЛМ входят только однотипные вентили (И, ИЛИ, И-НЕ и т.п.), поэтому реальные ИС ПЛМ составляются из нескольких примитивов в виде макромоделей. Программа ПЛМ вводится в задание на моделирование двояко: 1) предварительно записав ее в файл в формате JEDEC и указав его имя в описании примитива конкретной ПЛМ; 2) включив данные программы непосредственно в описание ПЛМ (используя конструкцию DATA=...), что менее удобно. Однако при использовании библиотек, в которых ПЛМ оформлены в виде макромоделей, пользователю не нужно разбираться в деталях их моделей — достаточно указать имя модели ПЛМ, список узлов включения и с помощью опции TEXT указать имя JEDEC- файла, содержащего описание программы ПЛМ, как показано на следующем примере: Х1 IN1 IN2 IN3 IN4 IN5 IN6 IN7 IN8 IN9 IN10 IN11 IN12 IN13 IN14 + OUT1 OUT2 OUT3 OUT4 + PAL14H4 + TEXT: JEDEC_FILE = "myprog.jed" Здесь в схему включена ПЛМ типа PAL14H4, программируемая из файла myprog. jed. Примитивы ПЛМ вводятся в задание на моделирование предложением (рис. 6.11): Uxxx <тип ПЛМ> {<количество входов>,<количество выходов^ + <+узел источника питания> <-узел источника питания> + <входной узел>* <выходной узел>* + <имя модели динамики> <имя модели вход/выход> + [Р\1Е=<имя файла>] + [ОАТА=<флаг системы счисления> $ <данные программы>$] + [MNTYMXDLY= <выбор значения задержки>] + [IO_LEVEL=<ypoeeHb модели интерфейса>] Имеются ПЛМ следующих типов: PLAND — матрица логики И; 293
Вход ч Л/ Г <. IN 1 IN2 IN3 PAL OUT1 OUT2 оитз исс GND -\ — - Выход М Напряжение — питания ___ Общий Рис. 6.11. Программируемая логическая матрица PLOR — матрица логики ИЛИ; PLXOR — матрица логики исключающее ИЛИ; PLNAND — матрица логики И-НЕ; PLNOR — матрица логики ИЛИ-НЕ; PLNXOR — матрица логики исключающее ИЛИ-НЕ; PLANDDC — матрица логики И, содержащая для каждого входа столбцы прямого и дополнительного кода; PLORC — матрица логики ИЛИ, содержащая для каждого входа столбцы прямого и дополнительного кода; PLXORC — матрица логики исключающее ИЛИ, содержащая для каждого входа столбцы прямого и дополнительного кода; PLNANDC — матрица логики И-НЕ, содержащая для каждого входа столбцы прямого и дополнительного кода; PLNORC — матрица логики ИЛИ-НЕ, содержащая для каждого входа столбцы прямого и дополнительного кода; PLNXORC — матрица логики исключающее ИЛИ, содержащая для каждого входа столбцы прямого и дополнительного кода. После ключевого слова FILE указывается имя файла в формате JEDEC, в котором записана программа ПЛМ. Оно может быть указано как текстовая константа (и тогда заключается в кавычки " ") или как текстовое выражение (заключается между вертикальными черточками 11). Если приведена опция FILE, то любые данные, приведенные после опции DATA, игнорируются. Способ адресации, принятый в файле JEDEC для расположения данных, определяется параметрами модели динамики. Флаг системы счисления принимает значения: В — двоичная система счисления; О — восьмеричная система счисления (бит старшего разряда расположен по младшему адресу); X — шестнадцетиричная система счисления (бит старшего разряда расположен по младшему адресу). 294 Данные программы помещаются между знаками доллара $ и могут располагаться как слитно, так и разделяться одним или несколькими пробелами. Поток данных начинается с нулевого адреса, по которому программируется соединение первого входа с вентилем, управляющим первым выходом. Наличие "О" означает, что вход не подсоединен к вентилю, а "1" — наличие такого соединения (изначально все входы не подсоединены ни к каким выходам). Данные по следующему адресу управляют соединением дополнения первого входа к вентилю, управляющему первым выходом (только для ПЛМ с прямыми и дополнительными входами), или второго входа, подключенного к вентилю, управляющему первым выходом. Каждая дополнительная "1" или "О" программирует соединение следующего входа или его дополнения с вентилем, управляющим первым выходом, до тех пор, пока не переберут все входы (и их дополнения). Последующие данные программируют соединения входов со вторым выходом и т.д. Модель динамики ПЛМ имеет формат .MODEL <имя модели динамики> UPLD [(параметры модели динамики)] I Параметры этой модели приведены в табл. 6.16. Таблица 6.16 Параметры модели динамики ПЛМ Идентификатор TPLHMN TPLHTY TPLHMX TPHLMN TPHLTY TPHLMX OFFSET Параметр Время задержки на выход при переключении 0-И, минимальное значение То же, типичное значение То же, максимальное значение Время задержки на выход при переключении 1->0, минимальное значение То же, типичное значение То же, максимальное значение Адрес данных, управляющих подключением первого входа к первому выходу (в файле JEDEC) Значение по умолчанию 0 0 0 0 0 0 0 Единица измерения с с с с с с — 295 Идентификатор COMPOFFSET INSCALE OUTSCALE Параметр Адрес данных, управляющих подключением дополнения первого входа к первому выходу (в файле JEDEC) Количество адресов для программирования изменения состояния каждого входа (в файле JEDEC) Количество адресов в файле JEDEC для программирования изменения состояния каждого выхода (вентиля) Значение по умолчанию 1 1 2 Единица измерения — — — Приведем пример декодера 3-8 (рис. 6.12). Входные узлы обозначим IN1 (старший разряд), IN2, IN3 (младший разряд). Если все входы находятся в состоянии "О", выход OUT1= "1". Если IN1 и IN2 — в состоянии "1", a IN3 — в состоянии "1", OUT2="1" и т. д. Данные программы для удобства чтения записаны в виде массива. В комментариях сверху от программы указаны имена входных узлов, находящихся в состоянии "1" — true (Т) и "О" — false (F, дополнительный код); в комментариях в конце строк указаны имена выходных узлов, управляемых вентилем. UDECODE PLANDC(3,8) ;3 входа, 8 выходов + $G_DPWR $G_DGND ;Узлы источника питания и "земли" + IN1 IN2 IN3 ; Входы + OUT1 OUT2 OUT3 OUT4 OUT5 OUT6 OUT7 OUT8 ; Выходы + PLD_MDL ;Имя модели динамики ПЛМ + IO_STD ;Имя модели вход/выход ПЛМ + DATA=B$ ;Данные программы ПЛМ *IN1 IN2 IN3 *TF TF TF + 01 01 01 + 01 01 10 + 01 10 01 + 01 10 10 + 10 01 01 + 10 01 10 + 10 10 01 OUT1 OUT2 OUT3 OUT4 OUT5 OUT6 OUT7 + 10 10 10$ ;OUT8 .MODEL PLD MDL UPLD(...); Определение модели динамики ПЛМ 296 IN1 IN2 [3 [3 /Л/3 й &р OUT1 OUT2 оитз OUT4 OUT5 OUT6 OUT7 OUT8 тр Рис. 6.12. Декодер 3-8, реализованный на ПЛМ 6.4.4. Прочие устройства Источники постоянных логических сигналов. Эти компоненты имеют выходы, но не имеют входов. Логический уровень выходного сигнала равен "1" для источников типа PULLUP и "0" для источников типа PULLDN. Внутренние сопротивления источников задаются при описании модели вход/выход. Модель динамики эти источники не имеют. В табл. 6.17 приведем их описание. Таблица 6.17 Источники постоянных логических сигналов Тип PULLUP PULLDN Параметр Количество источников логического сигнала "1" Количество источников логического сигнала "0" Порядок перечисления выводов Вых.1, вых.2,... Вых.1, вых.2,... Функциональное назначение Матрица источников Матрица источников 297
Приведем пример задания источников логических сигналов со своими моделями вход/выход: U4 PULLUP(2) $G_DPWR $G_DGND ; Два источника "1" + PINO PIN1 R2K U5 PULLDN(4) $G_DPWR $G_DGND ; Четыре источника "О" + BUSO, BUS1, BUS2, BUS3 R50 .MODEL R2K UIO (drvh=2K) .MODEL R50 UIO (drvl=50) Цифровые линии задержки. Они осуществляют задержку входного сигнала любой длительности (заметим, что вентили не пропускают импульсы, длительность которых меньше времени задержки). Линии задержки имеют тип DLYNE, в списке узлов после перечисления узлов подключения источника питания указываются <узел входэ> и <узел выхода>. Модель динамики линии задержки имеет форму .MODEL <имя модели> UDLY [(параметры)] Параметры линии задержки приведены в табл. 6.18 (значение по умолчанию — 0, единица измерения — с). Таблица 6.18 Параметры линии задержки Идентификатор DLYMN DLYTY DLYMX Параметр Минимальная задержка Типичная задержка Максимальная задержка 6.5. Функциональное описание цифровых устройств При составлении моделей сложных цифровых компонентов удобно применять следующие примитивы: Logic Expression — составление логических выражений (примитив LOGICEXP); Pin-to-Pin Delay — задание задержек распространения сигналов (примитив PINDLY); Constraint Cheker— правила проверки временных соотношений, таких, как минимальное время установки/сброса, минимальная длительность импульсов и т. п. (примитив CONSTRAINT). При нарушении этих ограничений в процессе моделирования выдаются предупреждающие соотношения. 298 6.5.1. Логические выражения Примитив LOGICEXP задается по формату \Jxxx LOGICEXP (кколичество входов>,<количество выходов>) + <+узел источника питания> <-узел источника питания> + <входной узел 1> . .. <входной узел п> + <выходнойузел 1>...<выходнойузелп> + <имя модели динамики> <имя модели вход/выход> + [IO_LEVEL=<ypoeeHb модели интерфейса>] + [MNTYMXDLY=<eb/6op значения задержки>] + LOGIC: + <логическое назначение^ Логическое назначение записывается двояко: <номер выходного узла>={<логическое выражение>} <промежуточная переменная>={<логическое выражение>} Каждый упомянутый в спецификации выходной узел должен иметь одно логическое выражение. Назначенные один раз промежуточные переменные могут использоваться в последующих логических выражениях. Промежуточным переменным присваиваются имена по тем же правилам, что именам узлов. Логические выражения заключаются в фигурные скобки {}. Они записываются на одной или более строках, строки продолжения имеют символ "+" в первой позиции. Приведем перечень логических операторов в порядке их старшинства: логическое отрицание; & — логическое И; л — логическое исключающее ИЛИ; | — логическое ИЛИ. В качестве операндов могут быть: - входные узлы; - предварительно определенные временные переменные; - предварительно определенные выходные узлы; - логические константы 0, 1, X, R, F. При записи выражений можно применять круглые скобки для группирования операндов. Модель динамики примитива LOGICEXP имеет такой же формат, как стандартные вентили: .MODEL <имя модели> UGATE [{параметры)] Логические выражения вычисляются в процессе моделирования в порядке их следования. Задержка появления сигналов на выходных узлах определяется в модели динамики. Внутренние обратные связи в логических выражениях не допускаются, однако внешние обратные связи по-прежнему возможны. 299
Приведем пример описания логики функционирования арифметико-логического устройства 74181. Временные задержки будут заданы ниже с помощью примитивов PINDLY и CONSTRAINT: U74181 LOGICEXP(14, 8) DPWR DGND AOBAR +A1BAR A2BAR A3BAR BOBAR B1BAR B2BAR + B3BAR SO S1 S2 S3 M CN LFOBAR + LF1BAR LF3BAR LAEQUALB LBAR LGBAR LCN+4 + D0_GATE IO_STD + LOGIC: Промежуточные переменные + 131 = { -((B3BAR & S3 & A3BAR) | (A3BAR & S2 & -B3BAR))} + I32 = { -((-B3BAR & S1) | (SO & B3BAR) | A3BAR)} + 121 = { -((B2BAR & S3 & A2BAR) | (A2BAR & S2 & -B2BAR))} + I22 = { -((-B2BAR & S1) | (SO & B2BAR) | A2BAR) } + 111 = { ~((B1 BAR & S3 & A1 BAR) | (A1 BAR & S2 & -B1 BAR))} + 112 = { ~((~B1 BAR & S1) | (SO & B1 BAR) | A1 BAR)} + 101 = { -((BOBAR & S3 & AOBAR) | (AOBAR & S2 & -BOBAR))} + I02 = { -((-BOBAR & S1) | (SO & BOBAR) | AOBAR) } + MBAR= {~M} + Р = {131 &121 &I11 &I01 } * Выходные переменные + LF3BAR = { (131 & -I32) л + ~((121 & И1 & 101 & Cn & MBAR) | (121 & 111 & I02 & + MBAR ) | ( 121 & 112 & MBAR) | (I22 & MBAR))} + LF2BAR = {(121 & -I22) л ~((I11 & I 01 & Cn & MBAR) + |(I11 & I 02 & MBAR) | ( 112 & MBAR) |)} + LF1 BAR = {(111 & -112) л -((Cn & 101 & MBAR) | (I02 & MBAR))} + LFOBAR = {101 & ~I02) л -(MBAR & Cn)} + LGBAR = { ~( I32 | (131 & I22) | (131 & 121 & 112) I (131 & I22 & 111 & I02))} + LCN+4 = { -LGBAR | (P & Cn)} + LPBAR = { ~P } + LAEQUALB = { LF3BAR & LF2BAR & LF1 BAR & LFOBAR } 6.5.2. Задание задержек распространения Примитив PINDLY позволяет назначить задержки распространения сигналов сложным устройствам. Один единственный примитив 300 PINDLY позволяет моделировать временные соотношения и выходные характеристики целой интегральной схемы, имеющей в своем составе, в частности, тристабильные вентили. Кроме того, в описание примитива PINDLY допускается включить спецификации контроля длительностей импульсов SETUP, HOLD, WIDTH, FREQ и GENERAL, которые используются в примитиве CONSTRAINT (см. ниже). Примитив PINDLY задается по формату: Uxxx PINDLY (<количество путей>,<количество еходое раз- решения> + <количество дополнит. узлов>) + <+узел источника питания> <-узел источника питания> + <входной узел 1> ... <входной узел п> + [<узел разрешения 1> .. . <узел разрешения п>] + [<внутренний узел 1> ... внутренний узел п>] + <вых^йной узел 1> ... ксмходной узел п> + <имя модели вход/выход> + [ IO_LEVEL=<ypoeeHb модели интерфейса;»] + [ MNTYMXDLY=<Bbi6op значения задержки>] + [ BOOLEAN: <6улевское назначение^] + PINDLY: <назначение задержек>* + [TRISTATE: + ENABLE LO | HI <узел разрешения> <назначение задер- жек>*] + [ SETUP_HOLD: спецификация времен установки/удержания>] + [ WIDTH: <спецификация ширины импульса>] + [ FREQ-.кспецификация частоты повторение] + [ GENERAL: <о6щая спецификация^ Здесь приняты следующие обозначения: <количество путей> — количество путей распространения сигналов от входных к выходным узлам; при этом количество входов путей должно быть равно количеству выходов. С каждым путем вход - выход ассоциируется задержка, вычисляемая по определенным правилам; <количество входов разрешения> — спецификация триста- бильных узлов, имеющихся в примитиве (может быть равным нулю). Тристабильные узлы используются в секциях TRISTATE; <количество внутренних узлов> — внутренние узлы используются в выражениях, определяющих задержки, но они не входят в пути вход — выход (может быть равным нулю). Проиллюстрируем взаимосвязь различных узлов на примере следующего примитива (рис. 6.13) 301
REF1 REF2 REF3 IN1 IN2 IN3 IN4 ПравилоТ)- Правило2 -» Правило 3 )- OUT1 OUT2 OUT3 OUT4 Рис. 6.13. Правила назначения задержек U1 PINDLY(4, 0, 3) $G_DPWR $G_DGND + IN1 IN2 IN3 IN4 REF1 REF2 REF3 OUT1 OUT2 OUT3 OUT4 + IO_MODEL D0_GATE + PINDLY: + . На рис. 6.13 имеются четыре буфера, включенные между узлами IN1-OUT1 IN4-OUT4, и три дополнительных узла REF1, REF2, REF3, состояния которых влияют на значения задержек, определяемых с помощью трех правил. Причем узлы REF1 и REF2 влияют на расчет задержек по Правилу 2, а узел REF3 — по Правилу 1 и Правилу 3. Из рис. 6.13 следует также, что задержки сигналов OUT2 и OUT3 вычисляются по одному и тому же правилу. Перейдем к описанию составления правил определения задержек. Секция BOOLEAN. С помощью кбулевского назначения> определяются промежуточные переменные, которые могут быть использованы в определении задержки>. Секция BOOLEAN может быть включена на любой строке в описании примитива PINDLY. Выражение <6улевское назначение> имеет вид <булевская переменная> = {<булевское выражение>] Имя <6улевская переменная> составляется по тем же правилам, что имя узла. Выражение <булевское выражение> принимает значение TRUE (логическая "1") или FALSE (логический "О"). Подобно всем остальным выражением оно должно быть заключено в фигурные скобки {}. В табл. 6.19 перечислим булевские операторы в порядке убывания их старшинства. 302 Таблица 6 19 Булевские операторы Оператор - == != & л I Название Логическое отрицание Равно Не равно Логическое И Логическое исключающее ИЛИ Логическое ИЛИ В качестве операндов булевских выражений могут участвовать: - предварительно определенные <булевские переменные>; - Функции дополнительных узлов (см. ниже); - функции изменений (см. ниже); - <булевские константы> TRUE и FALSE. Дополнительно операторы "==" и "!=" могут быть применены к логическим значениям <входные узлы> и <логические константы>. Это позволяет проанализировать логические состояния узлов, например, выражение "CLEAR==1" принимает значение TRUE, если узел CLEAR имеет значение логической "1", и FALSE — в противоположном случае. Управляющие функции используются для определения изменений состояний <внутренних узлов> или <выходных узлов>. Все управляющие функции принимают логические значения и поэтому могут входить в состав <6улевских выражений>. Приведем список этих функций и их аргументов: CHANGED (<узел>,<интервал времени>) CHANGED_LH (<узел>,<интервал времени>) CHANGED_HL (<узел>,<интервал времени>) Функция CHANGED принимает значение TRUE, если указанный <узел> изменял свое состояние из "0" в "1" на указанном <интервале времени>, предшествующем текущему моменту времени, в противном случае — FALSE. Аналогично, функция CHANGEDJ.H принимает значение TRUE, если указанный <узел> изменял свое состояние на указанном <ин- тервале времени>, предшествующем текущему моменту времени, в противном случае — FALSE. Отметим, что CHANGED_LH контролирует только самое последнее изменение. Наконец, функция CHANGED_HL принимает аналогичные значения, контролируя переходы из "1" в "0". Если <интервал времени> задать равным нулю, то рассматриваемые функции примут значение TRUE, если в данный мо- 303
мент времени состояние узла изменяется. Это дает возможность разбивать модель цифровых компонентов на две части: первая моделирует логику функционирования с нулевыми задержками, а вторая учитывает реальные задержки. Функции изменений предназначены для контроля за изменением состояний <выходных узлов>, для которых вычисляются выражения для задержею». Подобно дополнительным функциям они принимают значения логического "О" или "1". Однако в отличие от них они не имеют аргументов и просто фиксируют изменение состояний выходных узлов в текущий момент времени. Они имеют вид TRN_pn Здесь р — значение предыдущего состояния, ал — нового состояния. Логические значения состояний обозначаются символами: L (низкий уровень), Н (высокий уровень), Z (большое выходное сопротивление) и $ (любой уровень). Так, например, функция TRN_H$ контролирует переход из состояния логическая "1" в любое другое состояние. Замечание. Функции TRN_pZ и TRN_Zn принимают значение TRUE, только если они используются в секции TRISTATE, описываемой ниже. Хотя выходные узлы устройств с открытым коллектором переходят в состояние высокого импеданса Z (вместо Н), в справочниках обычно приводятся значения задержек TPLH и TPHL. Поэтому в математических моделях устройств с открытым коллектором необходимо использовать функции TRN_LH и TRNHL, а в тристабильных устройствах — TRN_LZ, TRNJHZ, TRN_ZL и TRN_ZH. Секция PINDLY. Ключевое слово PINDLY отмечает начало секции, содержащей одно или несколько <назначений задержею», которые имеют формат <выходной узел>* ={ <выражение для задержею»} Каждый <выходной узел>, перечисленный в спецификации примитива, должен иметь одно выражение для определения задержек. Причем несколько выходных узлов могут иметь общее <выражение для задержею» (на рис. 6.13 <выражение для задержею» обозначено как "Правило"), тогда в левой части назначения задержек помещается список их имен, разделяемых пробелами или запятыми. <Выражение для задержею» заключается в фигурные скобки и может располагаться на нескольких строках. Это выражение имеет три значения задержек: минимальное, типичное и максимальное. В простейшем случае <выражение для задержею» представляет собой оначение задержею» вида DELAY(<m/n>, <typ>, <тах>), 304 где <min>, <typ>, <max> — константы с плавающей запятой или выражения (в том числе и параметры), в секундах. Для спецификации неизвестной величины используется -1. Например, DELAY(20ns, -1,35ns) задает минимальную задержку 20 не, устанавливаемую программой по умолчанию типичную задержку и максимальную задержку 35 не. В более сложных выражениях для задержею» используются функции CASE вида CASE ( <булевское выражение>, <выражение для задержею», ;Правило 1 <булевское выражение>, <выражение для задержею», ;Правило 2 <выражение для задержею» ;3адержки по умопчанию ) Аргументами функции CASE являются пары <булевское выра- жение>, <выражение для задержею», замыкаемые финальным <выражением для задержею», определяющим значение задержки по умолчанию. При вычислении функции CASE вычисляются булевские выражения> в порядке их следования до тех пор, пока не будет получен результат TRUE. После этого задержкам присваиваются значения из соответствующего выражения. Если ни одно из выражений не имеет значения TRUE, задержкам присваиваются значения из последнего выражения. Приведем пример: + BOLEAN: + CLOCK = { CHANGED_LH( CLK, 0 ) } + PINDLY: + QA QB QC QD = { + CASE ( + CLOCK & TRN_LH, DELAY(-1, 13ns, 24ns), + CLOCK & TRN_HL, DELAY(-1, 18ns, 27ns), + CHANGED_HL(CLBAR,0), DELAY(-1,20ns,28ns), + DELAY(-1, 20ns, 28ns) ; По умолчанию + ) + } В этом примере рассматривается четырехразрядный счетчик. Вводится булевская переменная CLOCK, которая принимает значение TRUE, когда дополнительный узел CLK изменяет свое состояние из "0" в "1" в текущий момент времени. Четыре выхода 11—1361 305
QA QD имеют одно и то же правило определения задержек. Функция CASE позволяет назначить разные задержки в процессе счета или обнуления. Первые два правила определяют задержки в процессе счета (CLK изменяется из состояния "О" в "1"). Первое правило применяется при изменении состояний выходов из "О" в "1", второе — из "1" в "О". Третье правило непосредственно определяет задержки, когда изменяется состояние узла CLRBAR. Секция TRISTATE содержит одно или более <назначений задержек. В отличие от секции PINDLY здесь состояния выходных узлов контролируются с помощью специальных узлов разрешения (enable). Непосредственно после ключевого слова TRISTATE указываются имена узлов разрешения и их полярность с помощью ключевого слова ENABLE по формату ENABLE HI <узел разрешение ; Разрешение в состоянии "1" ENABLE LO <узел разрешение ; Разрешение в состоянии "О" Указанный <узел разрешение управляет назначениям всех выходных узлов текущей секции. Отметим, что <выражения для задержек в секции TRISTATE может содержать функции изменений, связанных с состоянием высокого импеданса, например TRN_ZL и TRN_HZ. Приведем пример, демонстрирующий применение узлов разрешения для контролирования более чем одного выхода. Здесь также показано, что некоторые выходы могут быть обычными (PINDLY), а другие тристабильными (значения задержек опущены): U1 PINDLY(3, 1,2) $G_DPWR $G_DGND + IN1 IN2 IN3 + ENA + REF1 REF2 + OUT1 OUT2 OUT3 + IO_MODEL + TRISTATE: + ENABLE LO = ENA + OUT1 = { + CASE( + CHANGED (REF1,0) & TRNJ.H, + DELAY(...), + CHANGED (REF2, 0), DELAY(. ..), + TRN_ZL, DELAY(. . .), + + ) + } + OUT3 = { + CASE( 306 + + + + + + PINDLY: + + + + + + } TRN_LZ, TRN_HZ, Г DELAY(. DELAY(. OUT2 = { CASE( CHANGED (REF1, 0), DELAY(. } ) ■). ■). Структура управления задержек в данном примере показана на рис. 6.14. ENA REF1 REF2 IN1 IN2 IN3 Ь_ Правило 1 Правило 2 ^>-( Правило ТУ OUT1 OUT2 OUT3 Рис. 6.14. Управление задержками с помощью узлов разрешения Функционирование примитива PINDLY. Состояния выходных узлов примитива PINDLY изменяются при изменении состояний любого входного узла или узла разрешения. Каждому входному узлу соответствует выходной узел. Сначала определяются состояния внутренних переменных в секции BOOLEAN, затем вычисляются <выражения для задержек> в секциях PINDLY или TRISTATE. После этого изменившееся состояние входного узла присваивается соответствующему ему выходному узлу с задержкой. Приведем пример реального счетчика 74LS160A: ULS160ADLY PINDLY (5,0,10) DPWR DGND 307
+ RCO QA QB QC QD ; Входы + CLK LOADBAR ENT CLRBAR ; Внутренние узлы + RCO_0 QA_0 QB_0 QC_0 QD_0 ; Выходы + IO_LS MNTYMXDLY = {MNTYMXDLY} + IO_LEVEL = {IO_LEVEL} + BOOLEAN: + CLOCK = { CHANGED_LH (CLK, 0) } + CNTENT = { CHANGED (ENT, 0) } + PINDLY: + QA_0 QB_0 QC_0 QD_0 = { + CASE( + CLOCK & TRN_LH, + DELAY(-1, 13NS, 24NS), + CLOCK & TRN_HL, + DELAY(-1, 18NS, 27NS), + CHANGED_HL (CLRBAR, 0), + DELAY(-1,20NS, 28NS), + DELAY( -1,20NS, 28NS) ; По умолчанию + ) + } + RCO_0 = { + CASE( + CNTENT, DELAY(-1,9NS, 14NS), + CLOCK & TRN_LH, + DELAY(-1,20NS, 35NS), + CLOCK & TRN_HL, + DELAY(-1, 18NS, 35NS), + DELAY( -1, 20NS, 35NS) ; По умолчанию + ) + } 6.5.3. Контроль временных соотношений Примитив CONSTRAINT выполняет проверку соблюдения временных соотношений в процессе моделирования. Контролируется минимальное время установки/сброса, минимальная длительность импульсов, частота переключений и предусмотрен общий механизм проверки условий, формулируемых пользователем. Примитив CONSTRAINT только сообщает о нарушении временных сооб- 308 щений и не изменяет логические состояния узлов и задержки. Он задается по формату \Jxxx CONSTRAINT ^количество входов>) + <+узел источника питания> <-узел источника питания> + <входной узел 1> ... <входной узел п> + <имя модели вход/выход> + [ IO_LEVEL=<ypoeeHb модели интерфейса^ + [ BOOLEAN: <булевское назначением * ] ... + [ SETUPJHOLD: спецификация времен установки/удержания^ ... + [ МЮТН:<спецификация ширины импульса> ]... + [ FPEQ-.кспецификация частоты повторения>]... + [ GENERAL: <общая спецификация> ]... Секция BOOLEAN. Содержит одно или несколько <6улевских назначений> вида <булевская переменная> = {<булевское выражение>} Секции BOOLEAN могут включаться в любом порядке при описании примитива CONSTRAINT. Синтаксис <6улевских выражение такой же, что и в примитиве PINDLY, за исключением того, что не могут быть использованы функции изменений. Секция SETUP_HOLD выполняет проверку времен установки/сброса, она имеет формат + SETUP_HOLD: + CLOCK определение типа перехода>=<входной узел> + DATA (кколичество входов данных>)=<входной узел />... < входной узел к> + [ SETUPTIME=<3Ha4eHue интервала времени>] + [ HOLDTIME=<3HaweHue интервала времени >] + [ RELEASETIME=<3Ha4eHue интервала времени>] + [ WHEN {<6улевское выражение>}] + [ MESSAGE="<meKcm дополнительного сообщения^'] + [ ERRORLIMIT=<3Ha4ewue> ] + [ AFFECTS_ALL|AFFECTS_NONE | + AFFECTS (#OUTPUTS)=<cnucotf выходных узлов>] CLOCK задает узел, относительно которого выполняется измерение длительности времен установки/удержания/отпускания. Параметр определения типа перехода> принимает значения LH или HL; он указывает, от какого фронта импульса (0-И или 1->0) отсчиты- 309
вается время установки/удержания. DATA определяет перечень узлов, для которых выполняется измерение времен установки/удержания. SETUPTIME определяет минимальное время, в течение которого все узлы, перечисленные в разделе DATA, должны находиться в неизменном состоянии до момента начала отсчета времен установки/удержания. <3начение интервала времени> должно быть неотрицательной константой или выражением (в секундах). Некоторые устройства имеют различные требования к времени установки в зависимости от состояния входных данных ("О" или "1") в момент начала отсчета. В таком случае вместо SETUPTIME применяется одна из форм SETUPTIME_LO=<3waweHL/e интервала времени> SETUPTIME_HI=<3HawewL/e интервала времени> HOLDTIME определяет минимальное время, в течение которого все узлы, перечисленные в разделе DATA, должны находиться в неизменном состоянии после момента начала отсчета времен установки/удержания, оначение интервала времени> должно быть неотрицательной константой или выражением (в секундах). Некоторые устройства имеют различные требования к времени установки в зависимости от состояния входных данных ("О" или "1") в момент начала отсчета. В таком случае вместо HOLDTIME применяется одна из форм HOLDTIME_LO=<3HawewL/e интервала времени> HOLDTIME_HI=<3HaweHt/e интервала времени> RELEASETIME содержит спецификацию времени восстановления — минимального интервала времени стабильного состояния, предшествующего переключению. Если время восстановления зависит от направления переключения входных данных, то вместо RELEASETIME применяется одна из форм RELEASETIME _Ш=<значеше интервала времени> RELEASETIME _Н1_=<значенс/е интервала времени> Различие между проверкой времени восстановления и установления состоит в том, что при контроле времени восстановления не разрешается одновременное изменение CLOCK/DATA. Поэтому, если даже время удержания HOLDTIME явно не задано, оно считается больше нуля. Это свойство позволяет задать значения времени восстановления непосредственно в тексте описания модели. По этой причине время восстановления обычно задается отдельно, независимо от спецификаций SETUPTIME или HOLDTIME. 310 Проверка времен установления/удержания/восстановления начинается после того, как наступило заданное изменение состояния узла CLOCK (LH или HL). В этот момент времени вычисляется логическое выражение WHEN. Если оно принимает значение TRUE, то выполняются все проверки, имеющие ненулевые значения интервалов времени. WIDTH содержит спецификацию минимальной длительности импульса следующего вида: +WIDTH + МООЕ=<входно<5узел> + [ М1Г\1_Н1=<значеше интервала времени> ] + [ М\Ы_Ю=<значение интервала времени> ] + [ WHEN { <булевское выражение> } ] + [MESSAGE="<me/fcm дополнительного сообщения*"] + [ ERRORLIMIT=<3HaweHL/e> ] + [AFFECTS_ALL|AFFECTS_NONE | + AFFECTS (#OUTPUTS)=<cnuco/f выходных узлов> ] Переменная NODE указывает входной узел, для которого проводится контроль длительности импульса. MINJHI задает минимальное время, в течение которого входной узел должен находиться в состоянии "1", a MINJ.0 — в состоянии "0". Если значение MIN_HI не указано, то оно считается равным нулю, что означает отсутствие ограничений на минимальную длительность импульсов. FREQ содержит спецификацию допустимой частоты переключений: + FREQ + NODE=<exodHO<3y3e/7> + [ MINFREQ=< значение частоты > ] + [ MAXFREQ=< значение частоты > ] + [ WHEN { <6улевское выражение> } ] + [ MESSAGE="<me/fcm дополнительного сообщения*"] + [ ERRORLIMIT=<3HaweHue> ] + [ AFFECTS_ALL | AFFECTSJMONE | AFFECTS (#OUTPUTS)=<cni/co/f выходных узлов>] Переменная NODE указывает входной узел, для которого проводится контроль частоты переключений. MINFREQ задает минимальное частоту переключений, a MAXFREQ — максимальную. При моделировании выводятся предупреждающие сообщения, когда период переключений больше/меньше значения Моначение частоты>. GENERAL содержит спецификацию проверок, формулируемых пользователем. 311
+GENERAL + WHEN [<булевское выражение>] + MESSAGE="<me/fc/7i дополнительного сообщения>" + [ ERR0RLIMIT=<3Ha4ewue> ] + [ AFFECTS_ALL | AFFECTS_NONE | AFFECTS (#OUTPUTS)=<cnuco/f выходных узлов>] Переменная WHEN задает правило контроля в виде булевского выражения. Текст сообщения об ошибки задается с помощью переменной MESSAGE. Общие замечания. Спецификации контроля SETUP_HOLD, WIDTH, FREQ, GENERAL могут располагаться в тексте примитива CONSTRAINT в любом порядке. Более того, спецификация каждого типа может встречаться несколько раз. Каждая спецификация может содержать предложение WHEN, тогда проверка выполняется, если указанное в нем <булевское выражение> истинно. Проверка GENERAL содержит предложение WHEN всегда. Все проверки содержат встроенные сообщения об ошибках. Дополнительные сообщения можно вывести с помощью спецификации MESSAGE. Все проверки имеют необязательный параметр ERRORLIMIT, определяющий максимальное количество ошибок. По умолчанию оно принимается равным значению глобальной переменной DIGERRDEFAULT (см. диалоговое окно Global Settings), обычно 20. Значение этого параметра, равное нулю, интерпретируется как бесконечное значение. В случае, когда количество ошибок данного типа превышает максимальное, сообщения об ошибках больше не выводятся на экран программы МС7 и в выходной файл. Если в процессе моделирования общее количество ошибок превышает значение глобального параметра DIGERRLIMIT (см. диалоговое окно Global Settings), моделирование прекращается. По умолчанию значение DIGERRLIMIT устанавливается равным бесконечности. Пример. Приведем фрагмент примитива CONSTRAINT из текста модели счетчика 74LS160A, демонстрирующий возможности осуществления всех проверок с помощью одного примитива: ULS160ACON CONSTRAINT (10) DRR DGND + CLK ENP ENT CLBAR LOADBAR А В С D EN IO_LS + FREQ: NODE = CLK MAXFREQ = 25MEG + WIDTH: NODE = CLK MIN_LO = 25NS MIN_HI = 25NS + WIDTH: NODE = CLRBAR MINJ.0 = 20NS + SETUPJHOLD: DATA(1) = LOADBAR CLOCK LH = CLK + SETUPTIME = 20NS HOLDTIME = 3NS + WHEN = { CLRBAR!='0 } 312 + SETUP_HOLD: DATA(2) = ENP ENT CLOCK LH = CLK + SETUPTIME = 20NS HOLDTIME = 3NS + WHEN = { CLRBAR!= '0 & (LOADBAR!= '0 л CHANGED (LOADBAR.0)) & + CHANGED(EN,20NS)} + SETUP_HOLD: DATA(4) = А В С D CLOCK LH = CLK + SETUPTIME = 20NS HOLDTIME = 3NS + WHEN = { CLRBAR!= '0 & (LOADBAR!='1 л CHANGED(LOADBAR.O)) } + SETUP_HOLD: DATA(1) = CLRBAR CLOCK LH = CLK + RELEASETIMEJ.H = 25NS 313
Глава 7 СЕРВИСНЫЕ ВОЗМОЖНОСТИ ПРОГРАММЫ 7.1. Средства помощи При первоначальном знакомстве с программой МС7 полезно просмотреть демонстрацию ее основных возможностей, выбрав в меню Help пункт General Demo и затем изучить отдельные разделы помощи. Справочная система: Micro-Cap Указатель Поиск ] 1. Введите искомые слова j_ . ^ 2. При желании выберите нужное слово из списка вез Очистить Mobility MOD Modcap Mode . MODE 18BBBI Параметры... IMODETT^ _^j Перестроить.. 3. Выберите нужный раздел и нажмите кнопку "Показать" Active Filter Implementation Daqe Aad Hart Wizard dialog box • Assign Adding and editing components AtoD Converters Attribute dialog box BJT Model Parameter Table Capacitors d Найдено разделов: 70 j Все слова. Начинаются с. Авто, Пауза Показать Отмена Рис. 7.1. Содержание встроенной документации к программе МС7 314
Кроме того, имеется достаточно подробная документация, содержание которой вызывается по команде Contents меню Help или нажатием клавиши F1 на любой фазе работы с программой. Работа со средствами помощи обычная. Сначала на экране, где расположены ключевые слова (см. рис. 7.1), указывается нужный раздел, а затем и нужная тема. Помимо этого в режиме Info Mode (активизируется нажатием на пиктограмму LU ) щелчок курсора на компоненте схемы выводит подробную информация о нем. Для простых компонентов (резистор, конденсатор и т. п.) выводится диалоговое окно Properties (см. рис. 2.2), а для сложных компонентов, модель которых описывается в текстовом виде, выводится текстовое окно, в котором приводится фрагмент библиотеки с возможностями редактирования, как показано на рис. 7.2. ф Micm-Cap Щ П J<| Файл Правка Закладка Параметры Справка Содержание Нказатель Поиск Печать Sine Source 1 SPICE fotm.it: There is no SPICE Sine source. Schematic toi mat: PART attribute: <narne> This attribute defines the part name. Examples: V1 Vsin MODEL attribute: <rnodel name> This attribute defines the model name for the model statement The model statement may be defined in the text area, the schematic area, or in the libraries. Examples: Sine60 ABsin Common attributes The Sine source is similar to the SPICE SIN independent voltage source Unlike the SPICE source, it uses a model statement Model statement Syntax. .MODEL <model name> SINflmodel parameters]) Example. »J Рис. 7.З. Контекстно-зависимая помощь- информация о модели компонента 316 Причем эти изменения будут внесены в модели компонентов, находящихся на данной схеме. Если же описание модели в окне текста отсутствует, загружается библиотечный файл, в котором оно находится — также с возможностью редактирования, но только теперь внесенные изменения будут приниматься во внимание при моделировании и других схем. В режиме Help mode (активизируется нажатием на пиктограмму 2ll) щелчок курсора на компоненте вызывает на экран раздел помощи, в котором содержится описание модели выбранного компонента в формате SPICE или графического ввода схем (рис. 7.3). Если же курсор подвести к любому компоненту в окне схем или описанию его модели в текстовом окне (без нажатия на клавиши мыши) и затем нажать комбинацию клавиш AU+F1 — будет выведена эта же информация. 7.2. Обмен данными с программой PSpice и ранними версиями Micro-Cap Обмен данными с PSpice. Программа МС7 читает и сохраняет следующие файлы в формате PSpice: - текстовые файлы описания схем и задания на моделирование (расширение имени .СКТ); - библиотеки математических моделей (расширение имени •LIB); - отсчеты цифровых сигналов (расширение имени .STM). При трансляции схемы, созданной в МС7, в текстовый файл *.СКТ в формате PSpice по команде File>Translate/Schematic to SPICE Text File в него заносятся предложения схемы, автоматически добавляются резисторы, имитирующие внутренние сопротивления источников сигналов, директивы задания на моделирование, включая директиву создания файлов данных для программы построения графиков Probe, и директивы описания моделей компонентов. Загрузка файлов версии МС5. Для преобразования схем или библиотек предыдущих версий МС5, МС6 в формат МС7 их нужно просто загрузить в программу МС7 по команде File>Open и затем сохранить по команде File>Save As. Преобразование библиотек компонентов и символов предыдущих версий МС5, МС6 и подключение их к текущей библиотеке МС7 осуществляется по команде Merge редактора Component Editor (см. разд. 2.3.1). При преобразовании файлов схем из версий МС5, МС6 необходимо иметь в виду следующее: 317
ЕЗ о и J £ Г1"1 agel Print Li. j I ii J 1 Help. 11 (- (I О S Q. 318 • программа МС7 присваивает номера узлов по другому, чем МС5, МС6, поэтому в окнах Analysis Limits нужно при необходимости отредактировать имена переменных, откладываемых по осям X и V графиков; . программа МС7 не поддерживает директивы .MUTUAL для задания взаимной индуктивности; вместо этого нужно поместить на схеме компонент К из раздела Passive components библиотеки Analog Primitives; • в качестве обозначения степенной функции вместо символа Л необходимо использовать функцию POW(x.y); символ Л обозначает теперь логическую функцию XOR (исключающее ИЛИ); • в списке параметров резисторов и конденсаторов не используется ключевое слово POLY. Подобные полиномиальные операции теперь можно определить с помощью параметров VC1, VC2 для конденсаторов и IL1, IL2 для индуктивностеи. Температурные коэффициенты конденсаторов и индуктивностеи должны задаваться только с помощью директив .MODEL; • статистический разброс сопротивлений, конденсаторов и индуктивностеи указывается с помощью параметров LOT и DEV, располагаемых в директивах описания их моделей непосредственно после скалярных коэффициентов R, С или L. 7.3. Вывод на принтеры и плоттеры В программе МС7 на принтеры/плоттеры выводятся чертежи схем, графики результатов моделирования и текстовая информация средств помощи. При печати схем и графиков сначала по команде File>Print Setup выбирается тип принтера (из числа тех, что установлены в Windows), размер и ориентация бумаги. Далее при печати схем выполняется команда File>Print Peview для установки масштаба (опции Auto и User) и просмотра расположения схемы на листах бумаги. На строке Page выбирается номер страницы схемы. При этом схемы большого размера печатаются на нескольких листах бумаги и границы разреза изображаются на экране жирными линиями (рис. 7.4). После того, как все подготовительные операции выполнены, нажимается клавиша Print. Вывод графиков результатов моделирования выполняется в той же последовательности, только режим предварительного просмотра и выбора масштаба недоступен. Можно только выбрать размер бумаги и ориентацию графиков на листе — вдоль или поперек. Поэтому единственный способ напечатать графики с уменьшением — выбрать размер листа бумаги малого формата, например А6: 105x148 мм. 319
о I CD О. В версии МС7 режим предварительного просмотра перед печатью доступен также и для графиков результатов моделирования. При этом можно на одном листе напечатать чертеж схемы и графики, как показано на рис. 7.5. Еще один пример выводов графиков на принтер показан на рис. 7.6. Этот рисунок перенесен в редактор WinWord следующим способом. В среде Windows установили плоттер типа HP, например HP 7470A, и в разделе "Выберите порт" указали FILE, в результате чего на диске будет создан управляющий файл в формате HP Graphics Language. Файлы этого формата загружаются в WinWord и могут быть отредактированы графическим редактором, обрабатывающим файлы в векторном формате, например Corel Draw. о I о CD X ш о >ч сэ О а. ш о X -8- со е- 5 О X о л I- CD ■у 0) г».' о О. 300.00 240.00 180.00 120.00 60.00 0.00 С2 = 40 pF 5к 9к 13 к 17 к 21 к 25 к Рис. 7.6. Вывод графиков в формате HP Graphics Language ■Таким способом удобно документировать результаты моделирования, редактировать графики и включать их в текстовые отчеты. К сожалению, при такой процедуре нельзя использовать символы кириллицы: они видны на экране МС7 но при преобразовании в графический формат искажаются, внести символы кириллицы можно лишь при последующем редактировании векторных файлов. Другой способ переноса графиков в текстовые документы — использование команды Edit>Copy to ClipBoard для занесения содержания окна графиков (или схем) в буфер обмена Windows и затем сохранения его в растровом файле с помощью программы 321
PaintBrush со всеми недостатками, присущими растровым форматам: невозможность изменения шрифтов и редактирования графических объектов. Достоинство такого способа в гораздо более простой процедуре создания графических файлов, к тому же символы кириллицы переносятся без искажений. Кроме того, возможно использование команды EdibCopy the Entire Window to a Picture File для копирования всего окна Windows в виде рисунков формата BMP, EMF или WMF. Отчет о схеме (рис. 7.7) составляется по команде File>Translate/Bill of Materials. Bill of Materials AMPLDET.CIR MPEI Item 1 2 3 4 5 6 7 8 Э 10 11 12 13 14 Type Battery Resistor Resistor Resistor Resistor Resistor Inductor Capacitor Capacitor Capacitor Capacitor Diode NPN Sine source Razevig Value 9V 3k 1310 250 100k 5k lOmH lOOnF 50nF 25nF luF KD208A KT315A 3IG Qnt 1 1 1 1 1 1 1 1 1 1 1 1 1 1 Power 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Cost 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 Parts VI Rl R2 R3 R4 R5 Ll CI C2 C3 C4 Dl VT1 V2 Total 14 0 0.00 Рис. 7.7. Составление отчета о схеме 7.4. Перенос схемы на печатную плату Для переноса схемы на печатную плату выполняется команда File>Translate / Schematic Printed Circuit Board. По этой команде составляются текстовые файлы описания списка соединений в форматах Protel, ACCEL (P-CAD), OrCAD и PADS (см. рис. 7.8). Пример такого ASCII-файла P-CAD 2001 приведен на рис. 7.9 Чтобы информация о топологическом посадочном месте компонента бала перенесена в список соединений схемы, показанный на рис. 7.9, нужно добиться двух обстоятельств. 1). В диалоговом окне редактирования атрибутов компонентов (рис. 2.10, а) с помощью атрибута PACKAGE нужно каждому компоненту схемы поставить в соответствие его топологическое посадочное место, например, для транзистора КТ312В ввести атрибут ТО-22. 322 ЩЦ^^^Щ uit Board г РСВ type Г Protel 1 Г Protel 2 ' <7 Accel I Г OrCad С PADS Г НС Options Г" jRename Parts |r\MC7\DATA\PCB NET - - OK Cancel 1 I^IHHOs] Browse... j Help.. | Рис. 7.8. Диалоговое окно команды File>Translate/Schematic Printed Circuit Board (asciiHeader (asciiVersion 2 2) (timeStamp 2002 11 10 20 4 41) (fileAuthor "Razevig") (copyright "Copyright 1998 Spectrum Software (program "Micro-Cap" "7.0") (headerString "Created by Micro-Cap") (fileUnits Mil) ) (netlist "PCB" (complnst "Q2" (compRef "2Ы2222") (originalName "TO-22") (compValue "") ) (complnst "Q3" (compRef "2Ы2222") (originalName "TO-22") (compValue "") ) Рис. 7.9. Список соединений в формате P-CAD 2001
2).В редакторе Package Editor (рис. 2.21) убедиться, что в перечни соответствий введены соответствующие записи, например, КТ312В ТО-22 (редактировать их здесь нельзя). После этого составленный файл списка соединений загружается в соответствующий графический редактор. Например, в редакторе P-CAD 2001 РСВ Editor выполняется команда Utils>Load Netlist. При этом в САПР печатных плат должны быть созданы соответствующие топологические посадочные места. Поэтому гораздо проще в МС7 создать ссылки на случайные топологические посадочные места, составить файл списка соединений и затем его отредактировать. 324 Приложение 1 КАТАЛОГ ТИПОВЫХ АНАЛОГОВЫХ И ЦИФРОВЫХ КОМПОНЕНТОВ В табл. П1 и П2 приведены условные графические обозначения (УГО) типовых аналоговых и цифровых компонентов и перечень их параметров в том же порядке, в котором они сгруппированы в меню Component в разделах Analog Primitives и Digital Primitives. При этом графические обозначения символов компонентов по возможности отредактированы согласно требованиям ЕСКД. Таблица П1 Аналоговые типовые компоненты Имя компонента Графическое обозначение Тип Параметры Passive components (Пассивные компоненты) Resistor Capacitor Inductor TLine Diode —н i— и II + и J L нж Резистор Конденсатор Индуктивность Линия передачи Диод <сопротивление> [TC=td[,tc2]] <емкость> [Ю=<начальное напряжение^ <индуктивность> [Ю=<начальный ток>] 20=<волновое сопро- тивление> [Ю=<задержка>] или [Р=<частота> [NL= олектрическая длина линии>]] <имя модели> [area] [OFF] [1С=<начальное напряжение^ 325
Имя компонента D45 Transformer К Zener Графическое обозначение * ■ '-'v с *1 I'll 1 III 1 II 1 II J 1 К. -Яг- Тип Диод, повернутый на 45° Трансформатор высокочастотный Взаимная индуктивность Стабилитрон P_009.bmp Параметры <имя модели> [area] [OFF] [1С=<начальное напряжение;»] <индуктивности первичной обмотки>, <ин- дуктивность вторичной обмотки>, <коэффици- ент связи> «имя индуктивности»* <коэффициент связи> <имя модели> [размер] <имя модели> [area] [OFF] [1С=<начальное напряжение>] Active components (Активные компоненты) NPN PNP NPN4 PNP4 NMOS < -< < < ■м Биполярный п-р-п транзистор Биполярный р-п-р транзистор Биполярный боковой п-р-п транзистор Биполярный боковой р-п-р транзистор МОП-транзистор обедненного типа с п-каналом <имя модели> [area] [OFF] [IC=Vbe[,Vce]] <имя модели> [area] [OFF] [IC=Vbe[,Vce]] <имя модели> [area] [OFF] [IC=Vbe[,Vce]] <имя модели> [area] [OFF] [IC=Vbe[,Vce]] <имя модели> [L=] [W=] [AD=] [AS=] [PD=] [PS=] [NRD=] [NRS=] [NRG=] [NRB=] [OFF] [IC=Vds[,Vgs[,Vbs]]] 326 Имя компонента PMOS DNMOS DPMOS NJFET PJFET Opamp GaAsFET Графическое обозначение -*i A A < A ; ^ < Тип МОП-транзистор обедненного типа с р-каналом МОП-транзистор обогащенного типа с п-каналом и внутренним соединением подложки и истока МОП-транзистор обогащенного типа с р-каналом и внутренним соединением подложки и истока Полевой транзистор с управляющим р-n переходом и каналом п- типа Полевой транзистор с управляющим р-п переходом и каналом р- типа Операционный усилитель Арсенид- галлиевый полевой транзистор с каналом п-типа Параметры <имя модели> [L=] [W=] [AD=] [AS=] [PD=] [PS=] [NRD=] [NRS=] [NRG=] [NRB=] [OFF] [IC=Vds[,Vgs[,Vbs]]] <имя модели> [L=] [W=] [AD=] [AS=] [PD=] [PS=] [NRD=] [NRS=] [NRG=] [NRB=] [OFF] [IC=Vds[,Vgs[,Vbs]]] <имя модели> [L=] [W=] [AD=] [AS=] [PD=] [PS=] [NRD=] [NRS=] [NRG=] [NRB=] [OFF] [IC=Vds[,Vgs[,Vbs]]] <имя модели> [area] [OFF] [IC=Vds[,Vgs]] <имя модели> [area] [OFF] [IC=Vds[,Vgs]] <имя модели> <имя модели> [area] [OFF] [IC=Vds[,Vgs]] Waveform sources (Источники сигналов) Battery i. i1 Источник постоянного напряжения (батарея) <напряжение> или <имя> 327
Имя компонента Pulse source Isource User source Sine source V 1 Fixed Analog Графическое обозначение Ф ф Ф Ф Ф i Ds >— Тип Источник импульсного напряжения Источник постоянного тока Источник напряжения, задаваемый пользователем Источник синусоидального напряжения Независимый источник переменного напряжения Независимый источник переменного тока Подключение источника постоянного напряжения (эквивалент двух элементов Battery и Ground) Параметры <имя модели> Примечание. Амплитуда сигнала в режиме АС равна 1 В <ток> или <имя> Имя текстового файла (расширение .USR), содержащего выборочные значения напряжения. Примечание. Амплитуда сигнала в режиме АС равна 1 В <имя модели> Примечание. Амплитуда сигнала в режиме АС равна 1 В DC <напряжение> [АС <модуль>[фаза]] [PULSE ...] [SIN ...][ЕХР ...] [PWL ...] [SFFM ...] DC <ток> [АС <мо- дуль>[фаза]] [PULSE ...] [SIN ...][EXP .,.] [PWL ...] [SFFM ...] Атрибут PART <имя>, например, Vin, Vcc Атрибут VALUE <зна- чение> — ЭДС постоянного напряжения Function sources (Функциональные источники сигналов) NFV Ф Источник напряжения, задаваемый функциональной зависимостью) Алгебраическая формула для расчета напряжения источника ЭДС. Использовать комплексные переменные не разрешается 328 Имя компонента NFI NTVofl NTIofl NTIofV NTVofV Графическое обозначение ■мрф 1* *Х Гит© ID : Ф Тип Источник тока, задаваемый функциональной зависимостью Таблично задаваемый источник напряжения, управляемый током Таблично задаваемый источник тока, управляемый током Таблично задаваемый источник тока, управляемый напряжением Таблично задаваемый источник напряжения, управляемый напряжением Параметры Алгебраическая формула для расчета тока источника тока (как функция любых зависящих от времени переменных) (Х1,у1)(х2,у2)[(х3,у3).. (хЮО.уЮО)] (Х1,у1)(х2,у2)[(х3,у3)... (хЮО.уЮО)] (Х1,у1)(х2,у2)[(х3,у3)... (х100,у100)] (Х1,у1)(х2,у2)[(х3,у3)... (хЮО.уЮО)] Laplace sources (Линейные управляемые источники, задаваемые преобразованием Лапласа) LFIofV LFIofl >ф >Ф Источник тока, управляемый напряжением (ИТУН), задаваемый формулой Источник тока, управляемый током (ИТУТ), задаваемый формулой Выражение для передаточной функций (от комплексной переменной s) Выражение для передаточной функций (от комплексной переменной s) 329
Имя компонента LFVofV LFVofl LTIofV LTVofV LTIofl LTVofl Графическое обозначение :ф гф о + )> :ф '< } •ф Тип Источник напряжения, управляемый напряжением (ИНУН), задаваемый формулой Источник напряжения, управляемый током (ИНУТ), задаваемый формулой Источник тока, управляемый напряжением (ИТУН), задаваемый таблично Источник напряжения, управляемый напряжением (ИНУН), задаваемый таблично Источник тока, управляемый током (ИТУТ), задаваемый таблично Источник напряжения, управляемый током (ИНУТ), задаваемый таблично Параметры Выражение для передаточной функций (от комплексной переменной s) Выражение для передаточной функций (от комплексной переменной s) (частота1, модули, фаза1) (частота2, мо- дуль2, фаза2) (частота N, модуль N, фаза N) (частота 1, модуль 1, фаза 1) (частота 2, модуль 2, фаза 2) (частота N, модуль N, фаза N) (частота 1, модуль 1, фаза 1) (частота 2, модуль 2, фаза 2) (частота N, модуль N, фаза N) (частота 1, модуль 1, фаза 1) (частота 2, модуль 2, фаза 2) (частота N, модуль N, фаза N) 330 Имя компонента Графическое обозначение Тип Параметры Z Transform sources (Линейные управляемые источники, задаваемые Z-преобразованием) Zlofl ZlofV ZVofl ZVofV * ^ф О + ™Щ) Источник напряжения, задаваемый функциональной зависимостью Источник тока, задаваемый функциональной зависимостью Таблично задаваемый источник напряжения, управляемый током Таблично задаваемый источник тока, управляемый током Алгебраическая формула для расчета напряжения источника ЭДС (как функция любых зависящих от времени переменных) Алгебраическая формула для расчета тока источника тока (как функция любых зависящих от времени переменных) (Х1,у1)(х2,у2)[(х3,у3)... (хЮО.уЮО)] (Х1,у1)(х2,у2)[(х3,у3)... (хЮО.уЮО)] Dependent sources (Линейные и нелинейные зависимые источники) lofV lofl Vofl о ;ф п + I ( f> ГФ Линейный источник тока, управляемый напряжением (ИТУН) Линейный источник тока, управляемый током (ИТУТ) Линейный источник напряжения, управляемый током (ИНУТ) ■«коэффициент передачи> «коэффициент передачи> ■«коэффициент передачи> 331
Имя компонента VofV HVofl GlofV Flofl EVofV Macr Abs Amp Clip Графическое обозначение :ф Ф < < г1 г° :о Тип Линейный источник напряжения, управляемый напряжением (ИНУН) Полиномиальный источник напряжения, управляемый током (ИНУТ) Полиномиальный источник тока, управляемый напряжением (ИТУН) Полиномиальный источник тока, управляемый током (ИТУТ) Полиномиальный источник напряжения, управляемый напряжением (ИНУН) os (Макромодели, заданные схем — — ч / \ J Г — Вычисление абсолютной величины Усилитель Ограничитель с линейной зоной Параметры коэффициент передачи> [POLY(k)]n1pn1m[n2p п2т]... [nkp nkm] [pO р1 ... pk][IC=v1,v2,v3,...,vk] [POLY(k)]n1pn1m[n2p n2m] ... [nkp nkm] [pO p1 ... pk][IC=v1,v2,v3,...,vk] [POLY(k)]n1pn1m[n2p п2т] ... [nkp nkm] [pO p1 ...pk][IC=v1,v2,v3 vk] [POLY(k)]n1pn1m[n2p n2m]... [nkp nkm] [pO p1 ... pk] [IC=v1 ,v2,v3 vk] ами замещения) 6А1Ы=<коэффициент усиления> МАХ =<максимальное напряжением ОХ=<граница линейной зоны> 332 Имя компонента Centap Delay Dif Div F Int Gyrator Mul Noise Графическое обозначение ■ ^щ. 1^ ■ ►AT — — -9- - F — - )C Ч*Ь ^♦Ь Тип Трехобмоточный трансформатор с ферромагнитным сердечником Звено запаздывания с волновым сопротивлением 50 Ом Дифференцирующее устройство Делитель Линейное звено, определяемое с помощью преобразования Лапласа Интегратор Гиратор Перемножитель Генератора кусочно-линейного сигнала на основе датчика случайных чисел rnd Параметры L1, L2, L3 - индуктивности обмоток (Коэффициент связи равен 0,999) Ое1ау=<запаздывание> SCALE =<масштабный коэффициент SCALE =<масштабный коэффициент РЭ=<передаточная функция переменной s> SCALE =<масштабный коэффициент \ЛМ1Т=<начальное на- пряжение> 6=<проводимость> SCALE=<MacmTa6Hb^ коэффициент Тэ=<интервал дискретизации по времени> \/з=<масштабный коэффициент 333
Имя компонента Pot Put Resonant Schmitt SCR Slip Графическое обозначение PINA PINC {PINB С ■ Y>C • ■ Ы • athode An ate Тип Потенциометр Тиристор plus Резонатор j-vW N И, — -r^ T л-imrv-, 1 1 minus Триггер Шмитта Тиристор Усилитель с зоной нечувствительности Параметры В=<полное сопротив- ление> РЕВСЕЫТ=<относитель ное сопротивление между левым А и средним В выводами> Те же параметры, что у модели SCR (в схеме замещения отсутствует резистор RGK) РО=<центральная час- тота> LIN=<HHflyKTHBHOCTb> О=<добротность> X1,X2,Y1,Y2-пороговые уровни; Rout=<BbixoflHoe сопротивление; Sign=<4wiar инверсии (+1 или -1)> Ш=<ток удержания> IGT=<tok включения управляющего элек- трода> ТОЫ=<время включе- ния> VTMIN =<минимальное напряжение> VDRIVb-снапряжение пробоя в прямом вклю- чении> 0\ЮТ=<максимально допустимая величина dv/dt> TQ = <параметр> К1 =<коэффициент> К2 =<коэффициент> МАХ=<максимальное напряжение> ОХ=<граница зоны не- чувствительности> 334 Имя компонента Sub Sum (КА,КВ) Sum3 (КА,КВ, КС) Графическое обозначение .+ Triac Trlode 1 Тип Вычитающее устройство Сумматор Сумматор трех сигналов Параметры КА, KB - весовые коэффициенты уменьшаемого и вычитаемого (указываются в графе VALUE) КА, KB - весовые коэффициенты слагаемых anode 2 cathode] У gate Ф VCO Xtal 555 Тиристор Триод (электронная лампа) КА, KB, КС - весовые коэффициенты слагаемых Те же параметры, что у модели SCR (используется более сложная схема замещения) plus minus Управляемый генератор Кварцевый резонатор Измеритель временных интервалов к=<масштабный коэффициент Ми=<коэффициент усиления> Сдр =<емкость анод- сетка> Сдс =<емкость сетка- катод> Срс=<емкость анад- катод> VP =<амплитуда сигна- ла> РО=<центральная час- тота> КР=<крутизна пере- стройки частоты (Гц/В)> РО=<центральная час- тота> R1=<сопротивление потерь> 0=<добротность> <имя макромодели> 335
Имя компонента Графическое обозначение Тип Параметры Subckts (Макромодели, заданные текстовыми описаниями на языке PSpice) Opamp_su bckt_5 Opamp_su bckt_7 Comp_6 Amp_subck 1 2 1 >- Vr гч*Тэ I3 _2, Операционный усилитель с 5 выводами (вход -, вход +, питание -, питание +, выход) Операционный усилитель с 7 выводами (вход -, вход +, внешняя коррекция, питание -, питание +, выход) Компаратор напряжения Усилитель Connectors (Соединители) Ground Tie Jumper Jumper2 Jumpdiagl J_ H J-*- \ "Земля" Соединитель Перемычки без электрического соединения в точках пересечения (джамперы) Диагональная перемычка р — Имя контакта — 336 Имя компонента Графическое обозначение Тип Параметры Miscellaneous (Смесь) SampI and Hold S (V-Switch) Switch W (l-Switch) Arrow Bublel Bubble2 ^Dr .- 1 ■ 1 I 1 — • • Устройство выборки и хранения Ключ, управляемый напряжением Ключ, управляемый напряжением, током или временем Ключ, управляемый током Стрелка Контакт Контакт <выражение для входного сигнала> — имя выражения, из которого берутся выборочные значения <условие> — условие, при выполнении которого берутся выборки <период> — период взятия выборок <имя модели> [V,] или [1,] или [Т,] значение! ,значение2,[Яоп, [Roff]] <имя модели> Имя контакта Имя контакта Имя контакта 12—1361 337
Таблица П2 Цифровые типовые компоненты Имя компонента Графическое обозначение Тип Standard Gates (Стандартные вентили) And Gates Buffers Inverters Nand Gates Nor Gates Or Gates Xor Gates Xnor Gates И 1 ^ ^ = --> > > z> k f> t> t> Логическое И с 2-9 входами Буфер Инвертор Логическое И-НЕ с 2-9 входами Логическое ИЛИ-НЕ с 2-9 входами Логическое ИЛИ с 2-9 входами Исключающее ИЛИ Исключающее ИЛИ-НЕ 338 Имя компонента Графическое обозначение Тип Tri-State Gates (Вентили с тремя состояниями) And-Tri Gates Nand-Tri Gates Or-Tri Gates Nor-Tri Gates Inv-Tri Gates Buf-Tri Gates Xnor-Tri Gates Xor-Tri Gates J > > !> f> ^ > {> i> £> Логическое И с 2-9 входами Логическое И-НЕ с 2-9 входами Логическое ИЛИ с 2-9 входами Логическое ИЛИ-НЕ с 2-9 входами Инвертор Буфер Исключающее ИЛИ Исключающее ИЛИ-НЕ 339
Имя компонента Графическое обозначение Тип Edge-Triggered Flip-Flops (Триггеры с динамическим управлением) JKFF DFF -Q ГЕВ J Q У CLKD К QB У CLRB ^PREB D Q )>CLK QB TCLRB o- > JK-триггер с отрицательным фронтом срабатывания и низким уровнем сигналов установки и сброса D-триггер с положительным фронтом срабатывания и низким уровнем сигналов установки и сброса Gated Flip-Flops/Latches (Триггеры с потенциальным управлением) LATCH SRFF ^PREB D Q GATE QB TcLRB |PREE 3 Q SATE R QB fcLRE > > Однотактный синхронный D-триггер Двухтактный синхронный RS-триггер Pullups/Pulldowns (Источники постоянных логических сигналов) Pullup Pulldown + Jl T Jl T Источник логического сигнала "1" Источник логического сигнала "0" 340 Имя компонента Графическое обозначение Тип Delay Line (Цифровые линии задержки) Delay 1 ► дТ- Цифровая линия задержки Programmable Logic Arrays (Программируемые логические матрицы) PLAND33, PLOR33, PLANDC33 Программируемые логические матрицы с 3 входами и 3 выходами Logic Expression (Логические выражения) Logic2x1 Логическое выражение с двумя входами и одним выходом Logic2x2 :к: Логическое выражение с двумя входами и двумя выходами Pin Delay (Задержки распространения сигналов) Pin Dalay2x1 Назначение задержки распространения сигналов устройству с одним входом, одним узлом разрешения и одним выходом Pin Dalay 2x2 ОА OB Назначение задержки распространения сигналов устройству с двумя входами и двумя выходами Constraints (Контроль временных соотношений) Constraint IN - ■У Контроль соблюдения временных соотношений AtoD Converters (Аналого-цифровые преобразователи) Analog -Е Reference 1—.Digital Аналого-цифровой интерфейс 341
Имя компонента Графическое обозначение Тип AtoD4, AtoD8, AtoD12, AtoD16 REf Status OvEr-rangE Convert In uuto Out1 Out2 Out3 Макромодель интерфейса А/Ц с 4- 16 разрядами Grid DtoA Converters (Цифро-аналоговые преобразователи) N High-level Digital Апд □ — Low-1 eve I Цифро-аналоговый интерфейс DtoA4, DtoA8, DtoA12, DtoA16 Макромодель интерфейса Ц/А с 4- 16 разрядами Stimulus Generators (Генераторы цифровых сигналов) Stiml urOu^- OutO 1-разрядный цифровой сигнал Stim2, Stim4, Stim8, Stiml 6 Out1 OutO 2-, 4-, 8-, 16-разрядный цифровой сигнал FStiml (TOO- OutO Источник 1-разрядного цифрового сигнала, записанного в файле Fstim2, FStim4, FStim8, FStiml 6 Out! OutO Источник 2-, 4-, 8-, 16-разрядного цифрового сигнала, записанного в файле Fixed Digital Источник постоянного напряжения 342 Имя компонента Графическое обозначение Тип Animation (Анимация цифровых устройств) Digital Switch Ключ, управляемый щелчком курсора LED ? Индикатор состояния узла (логический 0 — черный цвет, логическая 1 — красный) Seven Segment Семисегментный индикатор 343
Приложение 2 ТИПОВЫЕ СХЕМЫ Программа МС7 поставляется с большим количеством примеров, которые полезно просмотреть в процессе освоения программы и использовать в качестве справочного материала при составлении собственных схем. Приведем перечень наиболее полезных примеров, расположенных в каталоге \MC7\DATA. Аналоговые схемы Вах — регулятор тембра; Bpfilt — полосовой фильтр на ОУ; Buttern — реализация фильтра Баттерворта на управляемом источнике напряжения, задаваемом с помощью преобразования Лапласа; Carlo — простейшая LC-цепь (иллюстрация влияния случайного разброса параметров по методу Монте-Карло на характер переходных процессов и частотных характеристик); Choke — выпрямитель; CMOS —МОП-ключи; Colpitts — автогенератор по схеме Колпитса; Core — иллюстрация расчета петли гистерезиса ферромагнетика; СогеЗ — 3-обмоточный трансформатор; Crossovr — моделирование влияния неидентичности параметров на характеристики разделения спектра сигнала на 2 канала; Curves — измерение статических выходных вольт-амперных характеристик биполярного транзистора; Diffamp — вариация коэффициента передачи тока биполярного транзистора дифференциального усилителя; Dira — иллюстрация вычисления и отображения различных функций от переходных процессов; Filter — активный фильтр Чебышева; F1 — применение макромодели управляемого генератора VCO; F2, F3 — применение нелинейных управляемых источников; F4 — изучение макромодели вакуумного триода; 344 FFT1 — иллюстрация применения функций цифровой обработки сигналов (DSP) при анализе переходных процессов; FFT3 — расчет корреляционной функции; FFT4 — расчет импульсной характеристики линейной цепи с помощью обратного преобразования Фурье комплексного коэффициента передачи; FFT3 — расчет корреляционной функции; FFT5 — применение корреляционной функции для выделения сигнала на фоне помех; FFT7 — выделение с помощью диалогового окна DSP стационарного участка переходного процесса для расчета его спектра; Gasfet — исследование характеристик GaAsFET транзистора; Gilbert — аналоговый умножитель; Gummel — исследование SPICE модели Гуммеля-Пуна биполярного транзистора; Gyrtest —демонстрация свойств гиратора; Ivbjt — построение статических характеристик биполярного транзистора; L1, L2 — применение преобразования Лапласа для задания передаточных функций линейных цепей; L3 — задание передаточной функции фильтра Баттерворта 20-го порядка; Ltra3 — три типа моделей линии передачи; Moscaps — измерение емкостей модели МОП-транзистора при импульсном воздействии; Mosdiff — дифференциальный усилитель на МОП-транзисторах; Noisebjt — расчет спектра шума транзисторного усилителя; Nyquist — исследование устойчивости линейной цепи по методу Найквиста; Opampl —сравнение трех моделей операционного усилителя; Р1 —табличное задание комплексной передаточной функции; Peril, peri2 — анализ по методу Монте-Карло; Potdemo — демонстрация макромодели потенциометра; Rise — измерение времени установления колебаний в RLC-цепи при учете разброса параметров по методу Монте-Карло; PRLC — расчет переходных процессов и частотных характеристик простейшей пассивной цепи; Sh2 — применение устройства выборки и хранения; Subcktl —пример макромодели, имеющей текстовое описание в формате SPICE; Switc — три типа ключей; 345
System 1 — решение дифференциального уравнения; System2 — моделирование функциональной схемы системы автоматического регулирования; Т1 — примеры таблично задаваемых источников сигналов; ТИ, TI2, TI3 — примеры измерения характеристик линии передачи; Thy1, Thy2 — испытания тиристоров; Trans — различные трансформаторы; XtaM — кварцевый автогенератор; UA709, иА741 — схемы операционных усилителей; User, user2 — примеры источников сигналов, записанных в файлах; Zdomain — пример источника сигнала, задаваемого с помощью Z-преобразования . Цифровые и смешанные схемы 283 — 4-разрядный сумматор; 555Astab, 555Mono — применение макромодели таймера 555; Add16 — 16-разрядные АЦП и ЦАП; Counter — счетчик; Counter2 — программируемый счетчик; Decoder — применение ИС 74145; Digosc — генератор на логических элементах; Eclgate — схема логического элемента ЭСТЛ; FTSIM8 — генераторы цифровых сигналов типа STIM и FSTIM, Mixed, Mixedl, Mixed4, Mixed5; 07 — примеры моделирования смешанных аналого-цифровых устройств; Osc1 — генератор на триггере Шмитта; PLA2, PLA3 —применение ПЛИС (программируемых логических интегральных схем); STIMSamp, STIMst2, STIMst3, STIMst4 — генераторы цифровых сигналов различной разрядности; TTLinv — воздействие импульсного сигнала на ТТЛ-инверторы, представленные в виде принципиальных схем и логических примитивов. Построение трехмерных графиков 3D1 — формирователь затухающего гармонического колебания; 3D2 — полосовой фильтр. 346 Анимация Anim — схема с 7-сегментным индикатором и ключом; Anim3 — схема с тремя 7-сегментными индикаторами. Разработка печатных плат РСВ — пример, иллюстрирующий передачу списка соединений схемы на разработку печатных плат; Текстовые файлы в формате SPICE (*.CKT) Astable — моделирование аналогового устройства; PLA1, PLA2 — использование макромоделей ПАЛ; Ttinv — воздействие импульсного сигнала на модель ТТЛ инвертора; UA709 — модель операционного усилителя цА709. 347
Приложение 3 ПРАКТИЧЕСКИЕ ЗАНЯТИЯ* УПРАЖНЕНИЕ 1 Моделирование простых линейных пассивных цепей 1. Моделирование интегрирующей RC-цепи (фильтра нижних частот) Схема интегрирующей цепи с источником импульсного сигнала показана на рис. П1. V1 IMPULS С1 1nF Рис. П1. Схема интегрирующей цепи Используя систему схемотехнического моделирования МС7, необходимо провести теоретический расчет основных параметров цепи и выполнить моделирование в режимах расчета частотных характеристик и анализа переходных процессов. 1.1. Теоретический расчет Используя встроенный калькулятор МС7 ±=и , рассчитайте постоянную времени T=RC, граничную частоту по уровню 1/V2 =0,707 (или-3 дБ) 348 'гр ~2пТ и величину фазового сдвига (в градусах) на граничной частоте Фгр =-(180/n)arctg(2n^,7). При расчете задавайте значение емкости и сопротивления в производных (дробных и кратных) единицах (например, величина сопротивления 10 кОм вводится в калькулятор как 10k), а число я как pi. Функция arctg обозначается как atari. Для арифметических операций умножения, деления и возведения в степень используются общепринятые обозначения: *, / и Л соответственно. Извлечение квадратного корня выполняется функцией sqrt. Для удобства считывания результата установите инженерный (Engineering) формат представления чисел и количество цифр после запятой (Digits) задайте равным 3. В системе МС используются следующие обозначения производных единиц: Обозначение 10'3 10"s 10"9 10"12 Наименование м (милли) мк (микро) н (нано) п (пико) Значение m или М и или U п или N р или Р Обозначение 103 10s 109 1012 Наименование к (кило) М (мега) Г (гига) Т (тера) Значение к или К meg или Мед д или G t или Т * В составлении описаний практических занятий принимал участие доцент М. Ю. Лишак Эти обозначения набираются в латинском регистре после численного значения величины без пробела. 1.2. Построение принципиальной схемы Компоненты размещаются на схеме в следующей последовательности. В меню Component из раздела Analog Primitives выбирается нужный компонент. Резисторы (Resistor) и конденсаторы (Capacitor) находятся в подразделе Passive Components, полупроводниковые приборы находятся в подразделе Active Devices, источники сигналов — в подразделе Waveform Sources. После выбора компонента щелчком левой кнопки мыши его условное графическое обозначение (УГО) появляется на рабочем поле. Изо- 349
бражение компонента устанавливается в нужную точку схемы при нажатой левой кнопке мыши. Если нужно изменить ориентацию компонента на схеме, то при нажатой левой кнопке мыши нажимают правую кнопку. Каждое такое нажатие поворачивает изображение на 90° по часовой стрелке. Отпускание левой кнопки мыши фиксирует компонент в точке, указываемой курсором, и на экран выводится окно для ввода значения параметра компонента или имени его модели. Для ускорения выбора компонента удобно одновременным нажатием Ctrl+1 вывести на экран список наиболее часто встречающихся компонентов — нужные компоненты выбираются в них щелчком мыши и размещаются на схеме прежним образом (при необходимости можно создать несколько таких списков и наносить компоненты на рабочее окно нажатием Ctrl+2 и т. п.). Положение компонентов на рабочем поле «привязано» к узлам сетки. Для удобства построения схемы эту сетку можно сделать видимой, нажав на кнопку Grid -iiil. После размещения на схеме источника импульсного напряжения Pulse source укажите имя модели IMPULS и введите значения ее параметров в окне текста: VZERO — начальное значение сигнала; VONE — максимальное значение сигнала; Р1 — начало переднего фронта; Р2 — начало плоской вершины импульса; РЗ — конец плоской вершины импульса; Р4 - момент достижения начального уровня (т. е. окончания импульса); Р5 — период повторения импульсов. Параметры Р1 и Р2 могут иметь одинаковые значения (при этом длительность переднего фронта будет равна нулю). Аналогично при РЗ = Р4 длительность заднего фронта импульса равна нулю. Для удобства работы текстовое окно можно поместить на одном экране с окном схемы. Для этого нужно разделить экран на 2 части, выполнив команду Windows>Split Horizontal, и затем мышью установить требуемую высоту текстового поля. Приведем пример описания источника периодических прямоугольных импульсов с нулевым начальным уровнем и амплитудой 1 В, имеющих длительность 1 мс и период повторения 2 мс: .MODEL PULSE PUL (VZERO=0 VONE=1 P1=0 P2=0 P3=1m P4=1m P5=2m) Здесь PULSE — произвольное имя модели источника, которое задается пользователем. При построении схемы следует учитывать, что для работы программы моделирования необходимо, чтобы хотя бы один узел схемы был заземлен. Элемент заземления (Graund) выбирается в меню Component в разделе Analog Primitives в подразделе Соп- 350 nectors (элементы соединения). Заземленный узел всегда имеет 0-й номер, который на схеме не отображается. Полный перечень типовых компонентов, размещенных в библиотеке в разделах Analog Primitives и Digital Primitives, приведен в Приложении 1. Выводы компонентов соединяются проводниками по команде Options>Mode>Wlre, активизируемой также нажатием на пикто- *Ъ грамму Номера узлов проставляются на схеме нажатием на пиктограм му [pv< в дальнейшем они потребуются при построении графиков характеристик схемы. По окончании создания схемы по команде File>Save As присвойте файлу схемы уникальное имя, записав его в каталог \MC7\DATA. ВНИМАНИЕ. При работе в сети не пытайтесь записать свои 'файлы схем на сервер — он'защищен от записи. Если они записываются не в стандартный каталог \MC7\DATA, а в другой, то перед выполнением моделирования необходимо переписать в него из каталога \MC7\DATA файлы загружаемых примеров, а в каталог \MC7\LIBRARY — файл списка загружаемых библиотек математических моделей NOM.LIB и все упоминаемые в нем файлы, которые имеют расширение имени .LIB, .MOD, .MAC и .СКТ. 1.3. Расчет частотных характеристик цепи Выполнив команду Analysis>AC, перейдите к анализу частотных характеристик. В открывшемся окне задания на расчет (рис. П2) укажите диапазон частот Frequency Range и имена переменных, графики которых нужно построить (Р — номер графика; X Expression — переменная, откладываемая по оси X, например частота F; Y Expression — переменная, откладываемая по оси У, например V(2) — напряжение в узле 2). Здесь рп (первые буквы слова phase — фаза) означает определение фазы напряжения. Обратите внимание на то, что в системе МС7 при задании диапазона частот (так же, как и интервала изменения любых других величин) сначала указывается максимальное значение, а потом минимальное. Минимальное значение частоты может быть равно 0. Задавая конкретные пределы изменения частоты, исходите из того, что для удобства наблюдения частотных характеристик максимальная частота должна быть в несколько раз больше рассчитанной граничной частоты. Количество рассчитываемых точек графика (Number of Points) определяет точность построения графика. Целесообразно задать эту величину равной 100 — 500. 351
lUAC Analvsis Limits ^^^^^H Run | Add | Delete J Frequency R ange 1200k Number ol Points |l00 Temperature | Linear _^J [27 Maximum Change X 1 Noise Input |N0NE' Expand . Stepping.. Properties...] Help. Noise Output j2 P J X Expression j KL-iBHi3ih IF ЕМИШёЗР I? hlubpi лг 1 |V(2) |ph№» |db(V(2)) Run Options |Normal State Variables jZero Frequency Step |[_од r P Auto Scale Ranges Y Expression j 1 1 1 1 ~3 X Range ШШВШШШЗ Y Range | 1 1 1 ЮТ 11П1ИЫГ 1 ifftmzd | ] Displays this Status bar for quick information. Рис. П2. Окно задания параметров расчета частотных характеристик При открытии окна задания параметров по умолчанию установлен логарифмический масштаб по горизонтальной оси и логарифмический закон изменения частоты. Для удобства сопоставления рассчитываемых характеристик с теоретическими задайте линейный масштаб по оси частот и линейный закон изменения частоты. Для этого нужно щелкнуть по крайней левой кнопке в таблице, содержащей описание графиков (при этом изображенная на ней сетка станет равномерной: ШИ ), и в поле, где задается закон изменения частоты (Frequency Step), выбрать Linear. Включите опцию автоматического масштабирования по осям координат графиков Auto Scale Ranges. После этого для выполнения моделирования нажмите на кнопку Run или клавишу F2. На построенных графиках АЧХ и ФЧХ цепи проведите измерение рассчитанных ранее теоретически характеристик цепи: граничной частоты и величины фазового сдвига на граничной частоте. Измерения проводятся с помощью электронного маркера, вклю- I/MJ чаемого нажатием на пиктограмму Cursor Mode JEEi или клавишу F8. Результаты измерений сравните с теоретическими расчетами. Электронный маркер можно устанавливать как вручную (при нажатой левой кнопке мыши), так и автоматически по заданному значению горизонтальной (кнопка GOTO X -2U) или вертикальной (кнопка GOTO Y .rtJ ) координаты графика. Например, для измерения граничной частоты по уровню 0,707 нужно включить маркер на графике АЧХ, затем нажать кнопку GOTO Y, в открывшемся окне 352 задать значение уровня и указать, какой маркер — левый (LEFT) или правый (RIGHT), нужно установить по заданному уровню. Для возвращения в режим редактирования схемы нужно закрыть окно анализа частотных характеристик или нажать на клавишу F3. 1.4. Анализ переходных процессов Выполнив команду Analysis>Transient, перейдите к анализу переходных процессов. В окне задания параметров моделирования (рис. ПЗ) укажите длительность интервала времени и имена переменных, графики которых нужно построить. Так же, как и при расчете частотных характеристик, целесообразно включить опцию автоматического масштабирования по осям координат графиков Auto Scale Ranges. В поле Maximum Time Step, где задается наибольшая величина шага дискретизации процессов при моделировании, по умолчанию устанавливается 0. Это означает, что величина шага выбирается программой автоматически. Целесообразно начинать моделирование именно в этом режиме. Если при этом получаются недостаточно гладкие графики переходных процессов, то величину шага следует задать вручную, помня, что чем меньше шаг, тем больше время моделирования. Tranttont Analptif UmU Run | £dtf | | T»re Range Maximum Time Step Numfce* of Pont» Temperature |Lnear jj P IHHBtarr tbJBHFF isu-ишгг jlm b I |27 XExptesnon I 1*1) JvC) 1 ш Stetwr» 1 ftoperhe! | tlelp | RunCprens | Normal State Vanabtej jzero 17 Qperatng Point Г" Qpetaing Part Only 17 Aula Scale Rang» Y Expressen J X Range QQ01 :иКП I X Y Range I1 I1 1 Рис. ПЗ. Окно задания параметров расчета переходных процессов После задания всех параметров для выполнения моделирования нажмите на кнопку Run или клавишу F2. Смоделируйте реакцию цепи на последовательность прямоугольных импульсов, имеющих начальный уровень 0, амплитуду 1 В, длительность ги=10Г, где Г— постоянная времени цепи, 353
и период повторения Тп = 2ти. Постройте графики напряжений на входе и выходе цепи сначала на раздельных рисунках, а затем на общем. Используя электронные маркеры, проведите измерение длительности переходного процесса по уровню 0,9 от максимального значения и сравните с теоретическим значением, равным 2,3- Т. 1.5. Вариация параметров Проведите расчет частотных характеристик при вариации одного из параметров элементов цепи. Для этого в режиме анализа частотных характеристик в окне задания параметров моделирования задайте режим пошагового изменения параметров (кнопка Stepping) и укажите имя варьируемого параметра и пределы его изменения (см. пример на рис. П4). После этого в поле Step It ("Изменять с шагом") нужно выбрать положительный ответ Yes и нажать ОК. Для активизации режима моделирования с вариацией параметров необходимо из окна расчета частотных характеристик (AC Analysis) запустить программу, нажав на кнопку Run на клавишу F2. id или 1С1 Value | 2: | StepWhat |ci 3: |* |5- |6: |7: | 8: | 9: | 10: _3J |Value 11: 1 12= l-li-JL d From |0 25nF То |2 5nF StepVarue |o5nFJ Step II Г Yes tt No Method (•" Ljneat С Log С List Parameter Type <? Component <~ Model Г Change <~ Step all variables simultaneously (• Step variables in nested loops Displays this Status bar for quick information OK Cancel Help... Рис. П4. Вариация емкости С1 Проведите моделирование, изменяя величину емкости конденсатора или сопротивления резистора в 2 раза в большую и в меньшую стороны от первоначального значения. По результатам моделирования оцените степень изменения граничной частоты цепи. Проведите аналогичное моделирование в режиме анализа переходных процессов и оцените влияние параметров цепи на время установления напряжения на выходе. 354 2. Моделирование дифференцирующей RC-цепи Схема дифференцирующей цепи (фильтра верхних частот) с источником импульсного сигнала показана на рис. П5. 1nF С1 V1 IMPULS R1 10k Рис. П5. Схема дифференцирующей цепи Аналогично п.1 рассчитайте постоянную времени дифференцирующей RC-цепи и определите граничную частоту. Изобразите ожидаемую форму АЧХ цепи. В режиме анализа по переменному току (Analysis>AC) рассчитайте АЧХ и ФЧХ цепи. Используя электронные маркеры, измерьте величину граничной частоты и фазового сдвига на граничной частоте. Сравните измеренные значения с теоретическими. В режиме моделирования переходных процессов (Analysis> Transient) получите графики напряжений на входе и на выходе цепи. Варьируя параметры элементов цепи, определите их влияние характер выходных импульсов. 3. Моделирование параллельного колебательного контура (полосового фильтра) Схема параллельного колебательного контура с источником импульсного сигнала показана на рис. П6. Здесь резистор R1 имитирует резонансное сопротивление контура. Рис. П6. Схема параллельного колебательного контура 355
На этой схеме в качестве источника импульсов используется уже не источник ЭДС, как в предыдущих случаях, а источник тока (имеющий бесконечно большое внутреннее сопротивление). Этот источник выбирается в подразделе Waveform Sources раздела Analog Primitives меню Component. Параметры источника тока, в отличие от рассмотренного в п. 1 источника напряжения, задаются не в текстовом окне, а записываются в строке атрибута VALUE в окне, которое открывается при размещении изображения источника на схеме, либо при двойном щелчке по нему. Например, запись АС 1 PULSE (О 1А 0 0 0 1m 2m) означает следующее. После ключевого слова АС указывается значение амплитуды тока синусоидального источника, используемого при расчете частотных характеристик (в данном примере 1 А). Параметры импульсного сигнала, заключенные в круглые скобки, перечисляются в следующем порядке: начальное значение сигнала, максимальное значение сигнала, начало переднего фронта, длительность переднего фронта, длительность заднего фронта, длительность плоской вершины импульса, период повторения (обратите внимание, что последовательность перечисления временных параметров сигнала не такая, как для источника импульсного напряжения). Таким образом, в данном примере описана периодическая последовательность прямоугольных импульсов тока амплитудой 1А, имеющих длительность 1 мс и период повторения 2 мс. По заданным значениям элементов схемы колебательного контура рассчитайте его параметры: — резонансную частоту f0 = —==; 2n4LC — характеристическое сопротивление р = — добротность Q = —; р — полосу пропускания по уровню 1/V2 = 0,707 П = —. В режиме анализа по переменному току (Analysis>AC) рассчитайте АЧХ и ФЧХ контура, проведите измерение резонансной частоты и полосы пропускания. Результаты измерения сравните с теоретически рассчитанными значениями. В режиме моделирования переходных процессов (Analy- sis>Transient) получите график напряжения на контуре. По графику измерьте период собственных колебаний в контуре и сравните его с теоретическим значением TQ = MfQ. Варьируя сопротивление & 356 резистора, определите его влияние на характер переходных процессов в колебательном контуре. Дайте физическое объяснение полученных результатов. УПРАЖНЕНИЕ 2 Измерение статических характеристик транзистора Измерение статических характеристик производится для маломощного биполярного транзистора, включенного по схеме с общим эмиттером, в режиме анализа по постоянному току (Analysis>DC). Сначала измерения проводятся при стандартной температуре +27°С, а затем в интервале температур. Схема включения транзистора показана на рис. П7. VT1 КТ315А V1 10V Рис. П7. Схема включения транзистора Транзистор размещается на схеме по команде Сотро- nent>Analog Primitives>Active Devices>NPN (или PNP — в зависимости от типа транзистора). Для того чтобы имя модели транзистора было видно на схеме, нужно двойным щелчком по символу транзистора открыть окно его атрибутов и включить флажок Display справа от строки Value, содержащей значение атрибута MODEL (т. е. имя модели транзистора). Для обеспечения рабочего режима транзистора по постоянному току к его коллектору подключается источник постоянного напряжения (батарея) V1, а к базе — источник постоянного тока IB. Источники напряжения (Battery) и тока (ISource) находятся в подразделе Waveform Sources раздела Analog Primitives меню Component. 357 IB 0.1mA
4. Измерение входной характеристики Выполнив команду Analysis>DC, перейдите к построению статических характеристик транзистора. Для построения графика входной характеристики (зависимости /б(£4э) тока базы от напряжения между базой и эмиттером) необходимо варьировать величину тока базы, задаваемого источником И. Для этого в верхней части окна DC Analysis Limits (рис. П8), в котором задаются параметры моделирования, укажите: — в строке Variable 1 — имя (Name) варьируемого источника и пределы изменения (Range) тока (в соответствии с принятым в системе МС7 правилом сначала указывается максимальное значение, потом минимальное, а затем величина шага); — в строке Variable 2 — None, что означает неизменность параметра второго источника. Учтите, что заданное таким образом максимальное значение тока источника не обязательно должно совпадать со значением, указанным в атрибутах источника при составлении схемы. В нижней части окна указываются имена переменных, откладываемых по горизонтальной (X Expression) и вертикальной (Y Expression) осям графика. Для графика входной характеристики это соответственно напряжение между базой и эмиттером Vbe и ток базы lb транзистора VT1. Для автоматического масштабирования графика по осям координат включите опцию Auto Scale Ranges. ( DC Analysis Limits |l Rim-" J Add | Sweep Method Name Variable 1 |Auto _^| |I1 Variable 21 None zl | Temperature Method Range | Linear ^J |27 Run Options | Normal _^| P| XExf |ИиУИ|Э!|' |Vbe(VT1| 1ЕР1НИ1Г I I • I dl Jl Г Auto Scab Ranges xession J jlbfVTI] 1 №И1ШГ I I Displays this Status bar far quick information Stepping...] Properties. Range jj |2ггА0.01гг Jl dumber of Points I V Expression ■| НФ. | Maximum Change % |5_ | X Range jl.Q5.Q05 (Auto | Auto X | Y Range | |0 005,0.001 |Auto |Auto Рис. П8. Задание на расчет входной характеристики 358 Нажатием на кнопку Run выполните моделирование и проанализируйте полученную характеристику. При малых значениях напряжения иВэ ток базы близок к нулю (транзистор закрыт), поэтому для удобства дальнейшего анализа целесообразно перестроить входную характеристику так, чтобы исключить ее начальный участок. Для этого нажатием на кнопку Cursor Mode Lid или клавишу F8 включите электронный маркер и по графику определите значение напряжения иВэ, при котором начинается заметный рост тока базы. После этого, нажав на кнопку Limits («Пределы») LiiHJ , опять перейдите в окно задания параметров моделирования и в поле X Range (Диапазон по оси X) измените левую границу графика в соответствии с измеренным значением, округленным с точностью до 0,1 В. Затем отключите режим автоматического масштабирования и снова постройте график характеристики. При необходимости аналогичным образом измените и правую границу графика. Для оценки влияния температуры на параметры транзистора проведите расчет входной характеристики в интервале температур от -60° до +20°С. Для этого в окне задания параметров в группе полей, объединенных заголовком Temperature, установите линейный (Linear) метод изменения температуры и задайте ее максимальное значение, минимальное значение и шаг изменения. Затем, нажав на кнопку Run, получите семейство графиков. При этом в заголовке графиков будет указан интервал температур, для которого проводилось моделирование. По результатам моделирования сделайте вывод о характере влияния температуры на ток базы транзистора. 5. Измерение проходной характеристики и определение крутизны транзистора По методике, аналогичной описанной в п.1, выполните расчет и построение проходной характеристики транзистора — зависимости 1к(ивэ) тока коллектора от напряжения между базой и эмиттером. Затем задайтесь величиной тока коллектора в рабочей точке (в интервале /к = 1 - 5 мА) и в режиме измерений определите управляющее напряжение иБэ, при котором обеспечивается этот ток. В выбранной рабочей точке определите значение крутизны S = С/к Д'к dUb3 Д1>БЭ 359
Для этого на графике проходной характеристики поместите два электронных маркера симметрично относительно рабочей точки как можно ближе к ней — один слева, а другой справа (рис. П9). При этом в нижней части графика будут указаны следующие значения: координаты маркеров (под заголовком Left — для левого маркера и Right — для правого); разности координат (под заголовком Delta), т. е. приращения Д/к и Д1/БЭ; отношения разностей координат (под заголовком Slope — Наклон). СТЛТЛ1ЧЕСИ1Е Х-КЛ ТРАНЗИСТОРА .CIR TBMEriture = 27 Cass= 1 j ■; ! i ! ' : i i i ]■- —<■ —i— '-■-- ;• 1 ; ; ; 08.03.IJ2 ■ 1 • - {■!-, ; - ....■J i г i : i 1 / ...m. ::r .. -rr/- ; - ! • |S49.593m,l ЭЫтЦС : i \ ■''■ ',. ^^j^^r S : I653.117m2016-i! ' i 1 i 5С0.СЗП irt VtOHra 049 Worn SM.eom R^ht 2.192ra 5S*,M3m 650GGni {!<* OJOOin tiMVrn ?ou.un Slnpg 7.S27E-02 '. OOTEOrj Mwoffl) Рис. П9. Измерение крутизны транзистора Таким образом, на графике проходной характеристики в графе Slope будет указано приближенное значение крутизны транзистора. Выразите измеренное значение крутизны в миллисименсах (мСм). Выполните расчет и построение графика зависимости крутизны от управляющего напряжения. Для этого в окне задания параметров в качестве величины, откладываемой по вертикальной оси графика, (Y Expression) укажите отношение приращения тока /к к приращению напряжения 1/БЭ: del(lc(VT1))/del(Vbe(VTl)). На полученном графике определите крутизну в рабочей точке и сравните ее с измеренным ранее значением. 6. Измерение зависимости /К(/Б) и определение статического коэффициента усиления тока Проведите измерение зависимости /к(/б) тока коллектора от тока базы. Пределы изменения тока базы, как и ранее, задайте от 0 до 100 мкА. 360 В режиме измерений для нескольких значений тока коллектора /к определите величину статического коэффициента усиления тока в схеме с общим эмиттером В= /к//Б. С помощью МС постройте график зависимости В(/к) для значений тока коллектора до 30 мА. Для этого в поле Range графы Variable 1 укажите пределы изменения тока базы, исключив нулевое значение, например: 200u,1u,1u. В графе X Expression укажите имя варьируемой переменной lc(VT1), а в графе Y Expression — отношение токов lc(VT1)/lb(VT1). Подберите величину верхнего предела изменения тока базы таким образом, чтобы максимальный ток коллектора составлял около 30 мА. На полученном графике определите величину коэффициента В для выбранных ранее значений тока коллектора и сравните ее со значениями, найденными по графику зависимости /К(/Б). Опишите характер зависимости статического коэффициента усиления тока транзистора от величины коллекторного тока. 7. Измерение выходных характеристик Выполните расчет и построение семейства графиков выходных характеристик транзистора — зависимостей тока коллектора /к(Ц<э) от напряжения между коллектором и эмиттером при нескольких значениях тока базы. DC Analysis Limits "Run" J Stepping,., j Properties..,] Help,. Sweep Method Variable 1 [Alto 3 f^~ Variable 21 Linear ^J |Й~ Temperalute "31 Range "З |lO,0.10mV "31 2 |WluA Number of Points Maximum Change Z Method Range | Linear ^J W1 I Run Options | Normal3 Г Auto Scale Ranges X Expression Y Expression LI .ЛИН' lVc^T1> XRange | YRange | "|lc(VT1) 12 5.0.2 5 loie.-oo4.o.04 ВГГ ■ y Рис. П10. Задание на расчет статических выходных характеристик Для этого нужно, во-первых, изменить имя и параметры варьируемого источника, указанные в графе Variable 1, и, во-вторых, дать 361
описание второго варьируемого источника (рис. П10), что позволит получить не один график, а семейство графиков. В режиме измерений поместите два маркера на пологом участке характеристики и определите выходную проводимость транзистора С'к _ А'к Эвых = dUK3 ш, 'кэ для нескольких значений тока базы. Измерение двых производится аналогично измерению крутизны. Для перехода с одного графика на другой используйте клавиши Т и 4. Сделайте выводы о характере зависимости выходной проводимости транзистора от тока коллектора. УПРАЖНЕНИЕ 3 Исследование частотных характеристик нелинейных цепей 1. Измерим частотную зависимость комплексного входного сопротивления пассивной цепи ZBX. Установим, на каких частотах обеспечивается согласование цепи с источником сигнала, имеющим внутреннее сопротивление RS. На рис. П11 представлен пример схемы линейной цепи, изучаемой при выполнении упражнения № 1. Комплексное входное сопротивление этой цепи равно ZBX = l/(ln)//(RS). Rs ln L1 50 V1 -ГШ^ 2Ё, _L C1 "4 5Uf 10 Mh Рис. П11. Схема линейной цепи В программе МС7 для модуля, действительной и мнимой частей комплексных величин используются следующие обозначения: MAG(z) — модуль z При построении графиков можно просто указать z, RE(z) —действительная часть z, IM(z) — мнимая часть z 362 При построении графиков в программе МС7 не поддерживается арифметика комплексных чисел, поэтому при выполнении операций с комплексными переменными приходится представлять их в форме z = а + \b = RE(z) + jlM(z) и оперировать с действительными и мнимыми частями. Например, для расчета модуля входного сопротивления цепи, представленной на рис. П11, следует в графе Y Expression задания на расчет частотных характеристик указать V(ln)/l(Rs), а для расчета его действительной части — (Re(V(ln))A2+lm(V(ln))A2)/(Re(l(Rs))A2+lm(l(Rs))A2), см. рис. П12,а. f~~ AC Analysis Limits Run I Add Q Stepping. J Properties... Help... Frequency Range Numbet of Pants Temperature | Linear »j Maximum Change % Noise Input Noise Output |flE6/IO Run Options State Variables I Normal z\ (Zero 1000 NONE X Expression Frequency Step [Log P Auto Scale Ranges Y Expression "3 "3 X Range | Y flange Ш! ■ ш 1 f v(lnVI(Rs) le6,l 0,199998 {100,0.20 roifBialir lReMln)r2tlmMln)r2WRe(HRs])"2t|m le6,10,199998 6000,0,1200 a) 100 000 80.000 60 000 40.000 20 000 - 0.000 6.000K 4.800K 3.600K 2 4ВДК 1 200K 0 000K 10 vrinWRsI J J J L ' ' L -'- - i i ! 1 \ ч ' i ; ' ■ i ■ \ ^r~—^i ■ T 1 10 100 1K (.Re(V[inir2timiV(ir.)}«2)№er;ii;Rs))A2*im(l(R5i)*2) 10K 6) Рис. П12. Задание на расчет модуля и действительной части входного сопротивления фильтра (а) и его результаты (6) 363
Пример результатов такого расчета приведен на рис. П12Д Обратим внимание, что на рис. П11 и П12,а введены имена узлов In и Out, а также позиционные обозначения сопротивлений источника сигнала и нагрузки Rs, RI, чтобы не изменять расчетные формулы для схем другой конфигурации. На этом рисунке также принята определенная ориентация резистора Rs, чтобы ток через него был положительным в принятой системе координат. На рис. П12,а в первых двух строках вместо формульных выражений можно поместить буквенные обозначения А и В, указав на схеме (П12,а) их текстовое определение: .define A V(ln)/l(Rs) .define В (Re(V(ln))A2+lm(V(ln))*2)/(Re(l(Rs))*2+lm(l(Rs))*2) 2. Измерим зависимость от частоты комплексных Y-параметров биполярного транзистора Ун и Y2i. По результатам измерений оценим граничную частоту транзистора, при которой модуль параметра Y2i уменьшается в V2 раз по сравнению с его значением на низких частотах. Напомним, что линейный четырехполюсник описывается следующими уравнениями: /1= YUU,+ Y2U2, 12 = У21 U-\ + 122^2- Здесь U-[, U2 — напряжения на входе и выходе четырехполюсника; 1Ь /2 — токи, втекающие в четырехполюсник. Отсюда следуют выражения для измерения У-параметров: У11= Л/^ и Yv=klU, при U2 = 0, Y,2=hlU2 и '22 = '2' ^2 при L>1 = 0. Измерение У-параметров биполярного транзистора проводятся с помощью специальных схем, пример одной из которых приведен на рис. П13. Два источника напряжения обеспечивают заданный режим по постоянному току, короткое замыкание на выходе по переменному току и подачу на вход источника ЭДС для проведения измерений модулей, действительных и мнимых частей этих У-параметров. 364 V3 (4J L2 100т eg -ФЧг VTHJ V1 © 100u L1 и КТ315А + К 100т (3/ V2" С1 ЮОи Рис. П13. Схема измерений У-параметров Ун и У21 Так что для этой схемы, например у„ = l(Cg)/V(ln). 365
Список литературы 1. Алексеев А. Система моделирования CircuitMaker // PC Week/RE, 2000, № 6. — С. 28. 2. Карлащук В. И. Электронная лаборатория на IBM PC. Программа Electronics Workbench и ее применение. — М.: Солон-Р, 1999. 3. Петраков О. PSpice-модели для программ моделирования // "Радио", 2000, № 5. — С. 28—30. 4. Разевиг В. Д. Моделирование аналоговых электронных устройств на персональных ЭВМ. — М.: Изд-во МЭИ, 1993. 5. Разевиг В. Д. Система схемотехнического моделирования Micro-Cap 6. — М.: Горячая линия-Телеком, 2001. 6. Разевиг В. Д. Система сквозного проектирования электронных устройств DesignLab 8.0. — М.: Солон, 1999. 7. Разевиг В. Д. Универсальная программа проектирования электронных устройств APLAC // PC Week/RE, 1997, № 26. — С. 45—46. 8. Разевиг В. Д. Система проектирования OrCAD 9.2. — М.: Солон-Р, 2001. 9. Разевиг В. Д., Лаврентьев Г. В., Златин И. Л. SystemView — средство системного проектирования радиоэлектронных устройств/ Под ред. В. Д. Разевига. — М.: Горячая линия-Телеком, 2002. 10. Разевиг В. Д., Потапов Ю. В., Курушин А. А. Проектирование СВЧ устройств с помощью пакета программ Microwave Office / Под редакцией В. Д. Разевига. — М.: Солон-Р, 2003. 11. Электротехника и электроника в экспериментах и упражнениях. Практикум по Electronics Workbench / Под ред. Д. И. Панфилова. В 2 т. — М.: Додэка, 1999 — 2000. 12. Хайнеман P. PSpice. Моделирование работы электронных схем. Пер. с нем. — М.: ДМК Пресс, 2001 (см. рецензию в PC Week/RE, № 44/2001, — С. 22). 13. Antognetti P., Massobrio G. Semiconductor Device Modeling with SPICE. — McGraw-Hill, Second Edition, 1993. 14. Connelly, Choi. Macromodeling with SPICE. — Prentice Hall, 1992. 15. Foty D. MOSFET Modeling with SPICE. Principles and Practice. — Prentice Hall, 1997. 366 16. Rashid M. H. SPICE for Power Electronics and Electric Power. — Prentice Hall, 1993. 17. Micro-Cap 7. Electronic Circuit Analysis Program User's Guide — Sunnyvale: Spectrum Software, 2001 (электронная копия находится на Web-странице http://www.spectrum-soft.com/manual.shtm, 2,2 Мб). 18. Micro-Cap 7. Electronic Circuit Analysis Program Reference Manual. — Sunnyvale: Spectrum Software, 2001 (электронная копия находится на Web-странице http://www.spectrum-soft.com/manual. shtm, 3,5 Мб). 19. Исаков А. Б., Скобельцын К. Б., Скобельцын Г. К. Система автоматизированного формирования базы данных параметров моделей радиоэлектронных компонентов / EDA Express, № 4, 2001. — С. 16.