Текст
                    

ТТЛ
KP1533 KP1531
K531 K555 K155

пшшш ШРОШЫ СЕРИИ: КР1533КР1531 К531-К555-К155 МОСКВА «АРГУС» 1993
ОГЛАВЛЕНИЕ Микросхемы: зарубежные — отечественные...............4 Как найти микросхему?................................5 Мнемонические обозначения .......................... 6 1. Прибор для испытаний микросхем ......................7 2. Серии микросхем ТТЛ .................................8 3. Буферные и шинные усилители.........................10 4. Микросхемы: И, И, ИЛИ, И/ИЛИ .......................13 5. Автогенераторы на микросхемах ТТЛ................ .18 6. Триггеры Шмитта ....................................18 7. Исключающее ИЛИ ....................................19 8. Триггеры RS и D ....................................20 9. Триггеры JK ........................................22 10. Счетчики ...........................................24 И. Регистры ...........................................31 12. Дешифраторы и шифраторы ............................41 13. Мультиплексоры .....................................46 14. Сумматоры ..........................................50 15. Оперативные и постоянные запоминающие устройства . . 53 16. Элементы вычислительных устройств ..................58 17. Мультивибраторы и автогенераторы....................62 Литература .........................................64
МИКРОСХЕМЫ: ЗАРУБЕЖНЫЕ—ОТЕЧЕСТВЕННЫЕ 74, 74LS, 74S, 74F, 74AS, 74ALS К155, К555.К531, К1531, К1533 74, 74LS. 74S. 74F, 74AS, 74ALS К155. К555, К531. К1531. К1533 74, 74LS, 74S. 74F, 74AS, 74ALS К155, KS55.K531. К1531, К1533 7400 ЛАЗ 74109 ТВ15 74242 ИП6 7401 ЛА8 74112 ТВ9 74243 ИП7 7402 ЛЕ1 74113 ТВЮ 74244 АП5 7403 ЛА9 74114 ТИП 74245 АП6 7404 ЛИ1 74121 АГ1 74247 ИД 18 7405 ЛН2 74123 АГЗ 74251 КП15 7406 лнз 74124 П1 74253 KI112 7407 ЛН4 74125 ЛГ18 74257 КПП 7408 ЛИ1 74128 ЛЕ6 74258 КП14 7409 ЛИ2 74130 РУ5 74259 ИР30 7410 ЛА4 74132 ТЛЗ 74260 ЛЕ7 7411 ЛИЗ 74134 ЛАЮ 74261 ИП8 7412 ЛАЮ 74136 ЛП12 74273 ИР35 7413 ТЛ1 74138 ИД7 74279 ТР2 7414 ТЛ2 74139 ИД 14 74280 ИП5 7415 ЛИ4 74140 ЛАЮ 74283 ИМ6 7416 ЛН5 74141 ИД1 74289 РУ9 7417 ЛП4 74145 ИД 10 74295 ИР16 7420 ЛА1 74147 ИВЗ 74298 КП13 7421 ЛИ6 74148 ИВ1 74299 ИР24 7422 ЛА7 74150 Kill 74322 ИР28 7423 ЛЕ2 74151 КП7 74348 ИВ2 7425 ЛЕЗ 74152 КП5 74353 КП17 7426 ЛАП 74153 КП2 74358 ИМ7 7427 ЛЕ4 74154 ИДЗ 74365 ЛИЮ 7428 ЛЕ5 74155 ИД4 74366 ЛП6 7430 ЛА2 74156 ИД5 74367 лпп 7432 ЛЛ1 74157 КП16 74373 ИР22 7437 ЛА12 74158 Kill 8 74374 ИР23 7438 ЛА13 74160 ИЕ9 74377 ИР27 7440 ЛА6 74161 ИЕ10 74381 ИК2 7442 ИД6 74163 ИЕ18 74384 ИП9 7450 ЛР1 74164 ИР8 74385 ИМ7 7451 ЛР11 74165 ИР9 74393 ИЕ19 7453 ЛРЗ 74168 ИЕ16 74395 ИР25 7454 ЛР13 74169 ИЕ17 74452 ЛАЮ 7455 ЛР4 74170 РП1 74573 ИРЗЗ 7460 ЛД1 74172 РПЗ 74670 ИР26 7464 ЛР9 74173 ИР15 74832 ллз 7465 ЛР10 74174 ТМ9 74873 ИР34 7472 ТВ1 74175 ТМ8 741003 ЛА23 7474 ТМ2 74180 ИП2 741005 ЛН10 7475 ТМ7 74181 ИПЗ 741008 ЛИ8 7477 ТМ5 74182 ИП4 741010 ЛА24 7480 ИМ1 74183 ИМ5 741011 ЛИЮ 7481 РУ1 74184 ПР6 741020 ЛА22 7482 ИМ2 74185 ПР7 741034 ЛП16 7483 ИМЗ 74189 РУ8 741035 ЛП17 7484 РУЗ 74191 ИЕ13 2504 ИР17 7485 СП1 74192 ИЕ6 AM25S05 ИК1 7486 ЛП5 74193 ИЕ7 AM25S07 ИР18 7489 РУ2 74194 ИР11 AM25S08 ИР19 7490 ИЕ2 74195 ИР12 AM25S09 ИР20 7491 ИР2 74196 ИЕ14 AM25S10 ИР21 7492 ИЕ4 74197 ИЕ15 75450 ЛП7 7493 ИЕ5 74198 ИР13 75451 ЛИ5 7495 ИР! 74221 АГ4 75452 ЛАЮ 7497 ИЕ8 74240 АПЗ 75453 ЛЛ2 74107 ТВ6 74241 АГИ 4
КАК НАЙТИ МИКРОСХЕМУ ! 1 Серии 74 Стр. 1 Серии 74 Стр. 1 Серии 74 Стр АГ1 121 62 ИР 18 S07 36 ЛИ8 08 14 3 123 63 19 S08 36 10 11 14 4 221 63 20 S09 37 ЛД1 60 17 АПЗ 240 12 22 373 37 3 — 17 4 241 12 23 374 38 ЛЛ1 32 16 5 244 12 24 299 38 2 453 16 6 245 13 25 395 39 3 832 19 12 — 12 26 670 55 4 22 16 13 — 12 27 377 39 JI III 04 11 ГГ1 124 63 30 259 40 2 05 11 ИВ1 148 44 33 573 40 3 06 11 2 348 44 34 873 40 4 07 11 3 147 45 35 273 40 5 16 11 ИД1 141 41 37 — 40 6 366 12 3 154 41 38 — 40 8 — 11 4 155 42 КП1 150 46 10 05 11 5 156 43 2 153 46 ЛГИ 17 11 6 42 43 5 152 47 5 86 19 7 138 43 7 151 47 7 450 11 10 145 43 11 257 47 8 125 11 14 139 44 12 253 48 9 07 11 18 247 44 13 298 48 10 365 12 ИЕ2 90 24 14 258 47 11 367 12 4 92 25 15 251 48 12 136 19 5 93 25 16 157 49 16 34 11 6 192 26 18 158 49 17 35 11 7 193 26 19 — 49 ЛР1 50 17 8 97 27 20 — 49 3 53 17 9 160 27 ЛА1 20 14 4 55 17 10 161 28 2 30 14 9 64 17 11 — 27 3 00 14 10 65 17 13 191 28 4 10 14 11 51 17 14 196 29 6 40 14 13 54 17 15 197 29 7 22 14 ПР6 184 56 16 168 29 8 01 14 7 185 56 17 169 29 9 03 14 РП1 170 55 18 163 28 10 12 14 3 172 56 19 393 30 11 26 14 РУ1 81 54 ИМ1 80 51 12 37 14 2 89 54 2 82 51 13 38 14 3 84 54 3 83 51 16 140 14 5 130 54 5 183 52 18 452 14 8 189 55 6 283 52 19 134 14 9 289 55 7 385 52 21 110 14 СП1 85 61 ИП2 180 58 22 20 14 ТВ1 72 22 3 181 59 23 03 14 6 107 23 4 182 60 24 10 14 9 112 23 5 280 58 ЛЕ1 02 16 10 113 23 6 242 13 2 23 16 11 114 24 7 243 13 3 25 16 15 109 24 9 384 53 4 27 16 ТЛ1 13 19 ИР1 95 5 28 16 2 14 19 8 164 36 6 128 16 3 132 19 9 165 36 7 260 16 ТМ2 74 21 11 194 32 ЛИ1 08 14 5 77 21 12 195 32 2 09 14 7 75 21 13 198 33 3 11 14 8 175 21 15 173 33 4 15 14 9 174 21 16 17 295 2504 34 34 5 6 451 21 14 14 ТР2 279 20 5
МНЕМОНИЧЕСКИЕ ОБОЗНАЧЕНИЯ MNEMONIC DECISIONS В Высокий статический (входной или выходной)уровень Н Низкий статический уровень х Схема безразлична к любым сигна- лам на данном входе АО—АЗ. Operand А Слово, байт, операнд А для четырехраз- рядного арифметическо-логического устройства (АЛУ) Parity. А = В Выход равенства операндов А и В в АЛУ А<В.А>В Выходы неравенства операндов А и В в АЛУ ALU. Arihmetic logic unit Арифметическо-погическое устройст- во. АЛУ A/S, Asynchro/Synchro Вход переключения асинхронного и синхронного режимов ВО—ВЗ. Operand В Слово, байт, операнд В (для четырехраз- рядного АЛУ)__ _______ B/D 2/10, Binary/Decimal Вход переключения счета двоичного (В) на десятичный (D) С. Clock input Вход тактовых импульсов Со, Count down Вход тактовых импульсов для умень- шенного счета СЕ, Clock enable Вход разрешения тактовым импульсам СЕР, Count enable parallel Вход параллельного наращивания чис- ла разрядов счетчика СЕТ, Count enable trickle «Трюковый» вход разрешения счета, необходимый при наращивании разряд- ности счетчиков CLR, Clear Вход сброса, очистки счетчика Свх, Cin, Carry in Вход для разряда переноса Cn. Carry in Вход переноса в сумматор Сп+1. Сп+4, Carry out Выходы переноса: от сумматора (Cn+i), от четырехразрдяного АЛУ (Сп+д) Cn+X, Cn+y, Cn+Z, Carry outputs Выходы вспомогательных сигналов пе- реноса от узла ускоренного переноса для АЛУ CPU, Central processor unit ЦПУ — центральное процессорное (об- рабатывающее) устройство Свых Cout, Carry out Выход старшего разряда для переноса CS. Chip select Выбор кристалла: доступ к одной из микросхем, входящих в устройство Си. Count up Вход тактовых импульсов для увеличе- ния счета CRU, Carry lock ahead unit Схема ускоренного переноса (СУП) D flip-flop. D-триггер, D, Data input Вход данных триггера, счетчика, регистра DO — D3 — Dn, Parallel inputs Входы параллельной загрузки данных в счетчики, регистры DEMUX. Demultiplexer Демультиплексор DSI. Data serial input Вход последовательных данных DS. Data select Вход выбора данных DL. DR. Data left, data right Входы последовательной загрузки дан- ных слева и справа (в регистр) DSL. DSR, Data shift left, data shift right Входы для сдвига данных влево, вправо DS0 — DS7. Data serial inputs Входы данных последовательные (раз— рады 0 .7) Е. Enable Вход для сигнала разрешения ЕС. Enable count Вход разрешения счета ЕЕ. Enable even Вход разрешения, четный El. Enable input Вывод микросхемы, по которому дает- ся разрешение приему входных данных (разрешение входу); вывод стробирова- ния входа ЕЮ, Enable input/output Вывод для одновременого разрешения как по входу, так и по выходу ЕО. Enable output Вывод разрешения по выходу FO — F3, Function outputs Выходы функции, результата работы АЛУ G, Carry generation Вспомогательный сигнал переноса между АЛУ и СУП GS. Group signal То же, групповой сигнал Н, Histeresys input Вход управления гистрезисом логиче- ского элемента I/O, Input/Output Один провод порта вход/выход 11—116. Inputs 1 — 16 Входы 16-разрядного слова JK-inputs. JK-flip-flop Входы J и К управления триггером. JK- триггер LSB, Least significant bit Младший значащий разряд, МЗР М. Mode control Вход переключения режимов «Арифме- тика-логика» для АЛУ MSB, Most significant bit Старший значащий разряд, СЭР MUX, Multiplexer, Мультиплексор TCd/TCu, Terminal count down/up Счет на уменьшение/увеличение PI. Polarity input Вход полярности P, Carry propagation Вспомогательный сигнал распростране- ния переноса между АЛУ и СУП РЕ. Parallel enable load Вход разрешения параллельной загрузки P/S, Parallel/serial Вход переключения режимов работы: параллельного_и последовательного Q, Q, Outputs Прямой Q и инверсный Q выходы QCC. Q-output conversion complete Выход завершения преобразования Preset, Previous set Предварительная установка R. Reset Асинхронный сброс данных триггера, счетчика, регистра RA. RB. Read adress A and В RD Read output, RE Read enable Выход чтения, адреса чтения А и В Вход разрешения чтения RS. RS-flip-flop, RS-latch, RS-триггер, RS-защелка S. Set Установка триггера, счетчика, регистра SE. Set enable Разрешение предварительной парал- лельной записи в счетчик, установки SAR, Succesive apporoximation register Регистр последовательного приближе- ния РПП SI, Serial input SIR, SIL. Serial inputs right. SI left Входы последовательные справа и слева SR. Synchro reset Вход сброса счетчика, регистра, синх- ронного с тактовым импульсом SUB/SUM, Subtractor/Summator Вычитатель/ Сумматор SO — S3 — Sn, Select inputs Входы выбора режимов работы ^0 — ^J5. Sum outputs Выходы суммы Sum odd. Sum even Выходы сумм четности и нечетности ТС, terminal count Выход окончания счета Т/С, Thrue/complement Вход переключения кодов: прямой — дополонительный U/D, Up/Down Вход управления реверсивным счетчи- ком: больше/меньше W, WA, WB WE, Wright input adresses А, В enable Вход записи в память адреса записи в память А и В. вход разрешения Z-state Z-состояние разрыв входа 6
1. ПРИБОР ДЛЯ ИСПЫТАНИЯ МИКРОСХЕМ Несмотря на большие старания авторов в под- готовке материалов справочников, надо учесть, что однотипные микросхемы, изготавливаемые разными фирмами как ’’функциональные аналоги", могут ра- ботать по-разному. Совершенно необходимо испы- тать не только микросхемы, бывшие в употребле- нии, но и те, с которыми вы встречаетесь впервые. Для ОК SI S2 S3 S4 S5 S6 S7 S8 S9 S10 Sil S12 S13 $14 XS1 XS2 XS3 XS4 XS5 XS6 XS7 XS8 XS9 XS1O XS11 XS12 XS13 XS14 XS32 >— ---< XS27 5В(ТТЛ) или 9В(КМОП) XS28 XS15 XS16 XS17 XS18 XS19 XS2O XS21 XS22 XS23 XS24 I—< xs33 VD1 VD2 VD3 VD4 VD5 VD6 VD7 VD8 VD9 VD1O VD1...VD1O АПЗО7 ХР24 ХР22 ХР2О ХР18 ХР16 ХР14 Для изготовления прибора потребуется: 11 све- тодиодов, 15 резисторов, две микросхемы: К155ТЛ2 и К521САЗ, 3 конденсатора и стабилит- рон КС139. Необходимы: 15 тумблеров (например, МТ1), а также комплект из 30 одинаковых гнезд XS и 24 штырьков для них ХР — это могут быть пары "штырек-гнездо”, взятые от старого разъема. Каж- дый штырек снабжается проводником (лучше МГТФ) длиной до 150 мм. Микросхемы (с выправ- ленными и хорошо за чищенными выводами) будем устанавливать в три стандартные гребенки, напри- мер РС24 - Н, на одно из трех мест А, Б, В, отме- ченных пунктиром. К выводам гребенок припаяны 24 провода со штырьками ХР. Тумблеры S1 ... S14 и гнезда XS1 ... XS14 рас- положены ’’в линию”. На место 2 укрепите чистую полоску бумаги, где согласно описанию микросхе- мы под каждым тумблером напишите название входа. Например, для К155ИЕ9 надо занять 8 мест статических входов: DO, DI, D2, D3. R, СЕР, СЕТ, РЕ. Вход С присоединим к гнезду XS26, здесь так- товые имульсы ТТЛ. Оставшиеся шесть тумблеров и гнезд — свободны. Аналогично, на место 8 при- клейте обозначения выходов. Здесь их пять: Q0, QI, Q2, Q3, и ТС, остальные пять светодиодов свободны. Тумблер на требуемом входе можно ус- тановить в положение ’’Высокий” ипи "Низкий”. Све- тодиод будет загораться, если на обслуживаемом выходе окажется высокий уровень. Вывод 8 микро- схемы ИЕ10 вставьте в гнездо XS33, а вывод 16 — в XS32. Тактовый генератор секундных импульсов со- бран на триггере Шмитта ТЛ2. Он дает острые фронты, переключающие любую схему ТТЛ на ин- франизкой частоте 1 Гц. Для испытаний логики КМОП компаратор К521САЗ увеличивает амплитуду этих импульсов до 9 В (гнездо XS25). Проверьте тактовый генератор. От внешнего источника под- аем напряжение питания +5 В. Светодиод VD11 должен давать примерно 1 вспышку в секунду. Вы- ключив питание, после проверки маркировки вхо- дов, выходов и схемы присоединения установите микросхему. Входными тумблерами согласно таб- лице состояний наберите статику нужного режима. Например, режим ’’счет" для ИЕ9: R = В, СЕР = СЕТ = В, РЕ= В. После каждой вспышки диода C(VD11) 7
Gu ' । 1 w I I а а ® п Эскиз панели прибора для испытания микросхем: 1—тумблеры SI — S14; 2 —место для маркировки входов: 3 —ряд гнезд входных сигналов XS1 — XS14; 4 —контактный провод со штырем (всего 24 провода ХР1 — ХР24): XS25 - 26 5 _ гнездо «Земля» XS29; 6 — гнезда выходных сигналов XS15 — XS24; 7 — ряд светодиодов VD1 — VD10: 8 —место для маркировки выходов; 9 —светодиод («мигалка» VD11); 10 —зажимы питания XS27 и XS28: 11—гнездо XS30 для питания микросхемы; 12— переключатель 815; 13 — контактные колодки мик- росхем XS29 —XS31; 14 —панель прибора значение слова Q0 ... Q3 должно увеличиваться на ’’единицу". Переключая тублеры DO ...D3. убеди- тесь, что загрузки в счетчик не происходит. По окончании счета до 15 загорится светодиод ТС. Далее счет продолжается от нулевого состояния Q = 0000, ТС = 0. Проверьте все четыре режима для счетчика ИЕ9. Секундный тактовый интервал впол- не достаточен для анализа событий. Дпя проверки микросхем с открытым коллекто- ром требуется временно припаивать резисторы (но- минал 5,1 кОм) между гнездами из группы XS15 и XS24 и шиной питания +5 В (от XS27). После испы- таний эти резисторы отсоедините, чтобы светодио- ды не ’’тлели’’. Некоторые микросхемы КМОП не работают при питании 5 В. Разомкните тумблер S15, подайте от внешнего источника питания 9 В. Остальная мето- дика испытаний не меняется. 2. СЕРИИ МИКРОСХЕМ ТТЛ Серия К131 имеет очень большое потребление мощности питания 22 мВт на логический элемент. Время задержки для 70-х годов считалось очень хорошим: б нс/ЛЭ. Энергия, потребляемая для переноса 1 бита данных, была очень велика 132 пДж. По этой причине серия К131 (series 74Н) не выпускается. Серия К155 (series 74) называется стандарт- ной, она активно применяется до сих пор из-за широкой номенклатуры и малой себестоимости, хо- тя энергия потребления чрезмерна 90 пДж. Отли- чие в схеме ЛЭ — одиночный выходной транзистор VT3, но с диодом сдвига уровня ’’единицы” VD4, чем гарантируется совместимость потенциала ”1” с другими сериями. Серия К134 (series 54L) построена на милли- ваттных ЛЭ и выпускалась только в плоском корпу- се. Быстродействие ее очень невелико (задержка 33 нс). В начале 70-х годов эти первые серии подвер- гались модернизации. Технологи применили в по- лупроводниках эффект Шоттки. Быстродействие интегральных транзисторов сейчас приблизилось к пределу для кремния — б ГГц. UHn = 5B выход Q Q 5В Q 8
Транзистор Шоттки в глубокое насыщение не попадает. Его время задержки выключения сведе- но к нулю. В ненасыщаемом элементе избыточный входной ток (заштрихован) стекает мимо базы че- рез диод VD, далее через коллектор транзистора на землю. Диод откроется падением напряжения на R2 по достижении порогового тока In. Учтем, что эффект Шоттки уменьшает порого- вое напряжение диода до 0,2 ... 0,3 В. Для транзи- стора Шоттки ТШ поэтому минимальное напряжение коллектор-база удерживается на уровне 0,2В, вследствие чего выходное напряжение "нуля” для ТТЛ Шоттки возросло незначительно. Серия К531 (Series 74S) —вариант серии К131. Номиналы резисторов (т.е. ток потребления) почти не изменились, однако задержку "единицы” транзи- сторы Шоттки уменьшили в 2 раза до 3 нс/ЛЭ. Серия К555 (series 74LS) "объединила" малое потребление серии К134 (номиналы R уменьшены в 2 раза, потребление 2 мВт/ЛЭ) и приемлемое бы- стродействие серии К155 (способствует эффект Шоттки). Эти две первые серии ТТЛШ уменьшили энергию на 1 бит в 2 раза. Серии К1531 (series 74F) и К1533 (series 74ALS) потребляют энергию на 1 бит в 20 раз меньшую, чем у старых серий. Здесь использованы интегральные ТШ с очень малым объемом коллек- торной области, чем реализовано практически пре- дельное быстродействие. Чтобы сохранить значи- тельную нагрузочную способность при безопасной плотности коллекторного тока, входной ток низкого уровня уменьшен в 5 ... 10 раз (0,4 мА для К1531 и 0,1 ...0,2 мА для К1533 вместо 1,6 мА для К155). В схему ЛЭ добавлен после "логической" мат- рицы диодов эмиттерный повторитель VT1. Рези- сторы R1 и R3 помогают зафиксировать пороговое напряжение открывания на уровне 1,5 В. Это бла- гоприятно для увеличения помехоустойчивости "милливаттных" ЛЭ. Микросхемы как отечественного ряда серий ТТЛ, так и зарубежного, имеющие одинаковые обозначения по статическим параметрам и цоко- левке (кроме К134, т.е. 54 L), взаимозаменимы. Недостающие в комплектации микросхемы ТТЛ можно "занимать” из других серий. —-»— u„„ 2 Зак. 37326 9
Взаимная нагрузочная способность логических элементов ТТЛ разных серий Нагружаемый ВЫХОД Число входов-нагрузок из серий Нагружаемый выход Число входов-нагрузок из серий К555 (74LS) К155 (74) К531 (74S) К555 (74LS) К155 (74) К531 (74S) К555 20 5 4 К155, буферная 60 30 24 К555, буферная 60 15 12 К531 l50 12 10 К155 40 10 8 | К531, буферная .50 37 30 Динамические параметры микросхем ТТЛ Серия ТТЛ Параметр Нагрузка Отечественная Зарубежная Рпот, мВт 1зд, р, НС Эпот, пДЖ Сн,пФ Rh. кОм К134 74L 1 33 33 50 4 К155 74 10 9 90 15 0,4 К131 74Н 22 6 132 25 0,28 К555 74LS 2 9.5 19 15 2 К531 74S 19 3 57 15 0,28 К1533 74ALS 1.2 4 4.8 15 2 К1531 74F 4 3 12 15 0,28 3. БУФЕРНЫЕ И ШИННЫЕ УСИЛИТЕЛИ Буферные усилители БУ логической функции не выполняют. БУ формируют цифровые сигналы и обслуживают "энергоемкие” цифровые нагрузки. Это провода шин данных ШД, состоящие из токо- ведущих дорожек печатной платы, число которых равно длине цифровых слов-байтов. К проводу ШД подключено много цифровых входов и выходов, из-за чего сила тока может достигать десятков миллиампер. Буферные и шинные усилители Серия Инвертор Номер микросхемы 1 2 3 4 5 6 8 10 БУ 16 17 К155 К555 КМ555 К531 КР1533 КР1531 ЛН + + + + + + + + + + + + + + + + + ЛП + + 74 — 04 05 06 07 16 21 1005 74ALS 1034 1035 Микросхема К155ЛН4 имеет БУ без инверсии. Остальные микросхемы ЛН содержат БУ — инвер- торы. Кроме микросхемы ЛН1 (двухтактный выход), выходы других микросхем ЛН имеют открытые кол- лекторы ОК. Время задержки распространения: К155ЛН1 - 22 нс, К555ЛН1 - 15 нс, К531ЛН1 - 5 нс. Стекающий выходной ток одного инвертора: 16, 8 и 20 мА соответственно. Ток потребления для этих микросхем: 33, 66 и 54 мА, если на всех вхо- дах напряжение 4,5 В. Ток потребления при всех низких входных уровнях уменьшается в два раза. Дпя БУ с ОК (например, ЛН2) токи потребления та- кие же, но время задержки возрастает в 1,5 раза. 10
LU = 5B К155ЛН1-К155ПНЗ К155ЛН5 К1533ЛН8 К1533ЛН1ОЮК) К155ЛП9 К155ЛН4 К155ЛЛ4 5В К1533ПП16. К1533ПП17(ОК) н1 Разрешение по входу EI 5В I S1 инверторов с ОК, причем выходное напряжение ОК можно повы- сить до 15 В, применив внешний источник питания. БУ К155ЛП9 имеют выходы с ОК. Буферные и шинные усилители снабжаются выводами разрешения приема сигналов по входам El - enable input и разрешения передачи в ШД — ЕО ^.enable output. Здесь показано устройство вхо- да EI, управляемого инверсной_командой на запрет приема. Если подать сигнал El = Н, входные токи транзисторов VT1 и VT4 потекут на землю, следо- вательно, входы сигналов А и В никакую комбина- цию уровней высокого В и низкого Н принять не мо- гут. На выходе фиксируется Q = В; дав сигнал EI = В, разрешаем прием по входам А и В. vT6 VT5 R5 Вход Выход Q EI А.В В Н В В Н Н н в В Для передачи единиц и нулей в один провод ШД от многих источников наиболее удобны БУ с Z - состоянием выходов. В стандартную схему ТТЛ добавлены инвертор DPI и диод VD2. От переклю- чателя S1 даем сигнал ЕО = В, на выходе DD1 по- явится уровень Н, на коллекторе VT2 окажется по- тенциал менее 1В и транзистор проводить ток не сможет. Поскольку на R4 падение напряжения равно нулю, транзисторы VT3 и VT4 базовые токи не получают. Они оба разомкнуты и выходной про- вод Q как бы "висит" в воздухе. Выход Q перешел в состояние Z с выходным сопротивлением — сотни килоом. Если дать сигнал разрешения ЕО = Н, ин- вертор от входа I до выхода Y работает как обыч- но. Сигнал от разрешенного выхода Y не влияет на выходы усилителей, находящихся в состоянии Z. Чтобы по сигналу ЕО = Н к проводнику I В н подключился выход только одного ЛЭ, перед при- ходом этой команды следует выдерживать защит- ный интервал времени. Сигналы разрешения не должны перекрываться. В момент смены адресов ЕО не должно быть помех. Последовательность адресов следует подготовить, загрузив ее в буфер- ный регистр. Микросхема ЛП7 имеет строб-вход EI, а у ЛП8 такой вывод общий как для входа, так и выхода ЕЮ (вход I запрещается, выход Y переходит в Z). К155ЛП7 12 Y2 Б2 К2 Э2 Подложка 2’ 11
Состояния буферных элементов микросхемы К155ЛП8 Микросхемы ЛП1О, ЛН6, АП12 и АП13 разли- чаются числом каналов, причем структура и цоко- левка АП12, АП13 удобнее для печатной-платы. Однако двухвходовая логика управления И у них одинаковая. Управление в ЛП11 раздельное 2 + 4: при ЕО2 = В размыкаются выходы Y5 и Y6, при ЕО1 = В разомкнуты Y1... Y4. У ЛЭ группы АП передаточная характеристика триггера Шмитта с гистерезисными порогами. 001ДНШУ £0 оодкшу Если в проводник ШД установить двухнаправ- ленный ДНШУ, то по команде можно разрешить передачу данных слева направо или наоборот. БУ DD1.1 и DD1.2 имеют взаимно инверсные входы Е01 и Е02 соответственно. Если на общий вывод дано Е0 = Н, провод передает сигнал через DD1.1 слева направо, при ЕО = В через DD1.2 передаем сигнал справа налево. Четырехканальные ДНШУ получаются из микросхем АПЗ и АП4 (у АП4 соеди- няем входы ЕОа и ЕОЬ, между входами АПЗ вклю- чаем инвертор). Состояния в К531АПЗ оощншу Вход Выход ЕОа 1 а ЕОь 1ь Ya Yb н Н В н в X Н Н В Н В X в н Z В Н Z К531АПЗ 12
ЕОА 1 К531АП4. К531АП51ЕОВ) 1*1 ув12 1*2 Ув2 1*3 Yb3 ы! Yb4 ЕОв Т*1 1в1 Y*2 1в2 Uy*3 1вЗ Y*4 К555АП6 Состояния в К531АП4 Вход Выход ЕОа 1а ЕОь 1ь Ya Yb н н В Н н н Н в В В в в В X н X Z Z *в4 Еав А! А2 АЗ А4 А5 А6 А7 АО 2 ВО 81 82 ВЗ 84 85 В6 87 JS.5B К155ИП6 ВО 14 -----5В ЕОА— 2 АО — -3 ЕОв 12 А1 В2 Состояния ДНШУ в К155ИП6 и К155ИП7 Вход Выход ИП6 ИП7 ЕОа ЕОь Ап Вп Ап Вп н Н Вход В = А Вход В = А в Н Z Z Z Z Н В Недопустимо Недопустимо В В А = В Вход А = В Вход К155ИП7 ВО 81 13 „ ЕОв В2 22 5В Состояния ДНШУвК555АП6 Вход Выход ЕО Еав Ап Вп Н Н А = В Вход Н В Вход в = а| В X Z Z Недостаток микросхем ИП6 и ИП7 что возможен прием опасного сигнала уп- В ТОМ, равнения ЕОа = Н и ЕОЬ = В, когда все усилители открыты. Микросхема ИП6 потребляет ток 28 мА, когда на входах сигналы Н, и 33 мА в режиме Z. Для ИП7 аналогичные токи 40 мА, 43 мА. Время выключения от уровня Н к состоянию Z — 35 нс. У микросхемы АП6 нет недопустимых сигналов управления, она потребляет ток 90 мА (все входы Н) и 95 мА (режим Z). Задержка выключения в Z - состояние 30... 40 нс. Микросхема К555 (К1531) АП5 соответствует микросхеме АП4, но вывод 19 — это вход инверсного управления ЕОЬ. 4. МИКРОСХЕМЫ: И, Простейший элемент, выполняющий логическую функцию И, AND в схемотехнике ТТЛ — это двух- эмиттерный интегральный транзистор. Если доба- вить оконечный инвертор, получится ЛЭ с инвер- сией И, т.е. НЕ-И И, ИЛИ, и/или Функцию ИЛИ, OR или NOR выполняет парал- лельное включение двух транзисторов. Если входные транзисторы двух или более эмиттерные, получится суперпозиция схем И/ИЛИ (AND/NOR). или NAND. 13
Микросхемы И ЛИ4 и ЛИ5 имеют выходы с от- крытым коллектором. Время задержки распростра- нения для элементов И составляет 7,5 нс, 20 нс и 27 нс по сериям К531, К555 и К155. В аналогич- ном порядке: допустимый стекающий в выход ток нулевого уровня составляет 20 мА, 8 мА и 16 мА. Потребляемые микросхемой И токи различны, если на входах все "1" и все "О": 1п(0)= 1,61п(1). причем значения 1п(0) составляют 30 и 20 мА по сериям К531, К555 и К155. К555ПИ6 Микросхемы ТТЛ И (без инверсии) Серия Обозначение Номер микросхемы 1 2 3 4 5 6 8 10 К155 + + + К555 + + + + •f- КМ555 ЛИ + + + + К531 + + КР1531 + + КР1533 + + + + + + + 74 — 08 09 11 15 75451 21 1008 1011 Набор микросхем И достаточно широк как по К155ЛА18 имеет мощный выход с ОК. Инвертор логическим, так и по энергетическим возможно- К531ЛА19 имеет вывод ЕО. Когда ЕО = В, выход Q стям. Здесь есть микросхемы с открытым коллекто- ром, а также мощные. Магистральный усилитель К531ЛА16 может работать на нагрузку 50 Ом, преходит в Z — состояние с задержкой примерно 15 нс (нагрузка 15 пФ). При ЕО = Н выполняется логика И от 12 логических входов. Микросхемы ТТЛ И Серия Обо- значе- ние Номер микросхемы 1 2 3 4 6 7 8 9 10 11 12 13 16 18 19 21 22 23 24 К155 КМ 155 К555 КМ555 К531 КР1533 КР1531 ЛА + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + ++ + + + + + 74 — 20 30 00 10 40 22 01 03 12 26 37 38 140 134 1020 1003 1010 4DZ К155ЛА1 ,К155ЛА6.К155ЛА7(ОК) 5В А4 В4 А4В4 АЗ ВЗ АЗВЗ Al Bl А1В1 А2 82 А2В2 К155ПАЗ К155ЛА9 ПА1 КОК) К155ЛА12 ЛАВ ЛА21 ЛА23(0К) 58 В4 А4 Л4В4 ВЗ АЗ 16[ Щ 14 АЗВЗ К133ПА12 А1В1 А2 В2 А2В2 14
Микросхемы с ОК ЛА6, 7, 8, 9, 10, 13, 23 об- служивают индикаторы: лампочки, светодиоды, ре- ле, либо выходы их соединяются в общей точке Q, где выполняется операция И (псевдоэпемент). Вы- ходы ОК в ряде случаев пригодны для присоеди- нения к проводам шины данных. Нагрузка ОК мо- жет получать ток питания от источника с более вы- соким напряжением. Чтобы избежать затягивания среза выходного импульса, резистор нагрузки дол- жен иметь минимальный номинал (сотни Ом). Высо- коомный резистор экономит ток потребления. 5В 02 С2 В2 А2 A2B2C2D2 14|l3| 12| П|10| 9| 8| К531ЛА16 КР1531ПА22 Al Bl С1 01 _. ~ — AlfclClOl DD1 А В С 002 О Е 5В ----5В Rorp HL1 .3- 20 5В Rh & 001 А В ------Q ооз КР1533ЛА24 5В АЗ АЗВЗСЗ С2 В2 А2 А2В2С2 14 | 13 Ql= =АВС Q2= = DEF Q 0 0 0 0 1 0 1 0 0 1 1 1 U„„i =5В 5В ЕО L К J I Н Q 1б| 151 14| 13|12i 111 10| 9 I I__fcl К531ПЛ19 Состояния И К531ЛА19 Вход Выход Q D0..D11 ЕО В..В Н Н В..Н Н В (один Н) Х..Х В Z 2 83 Ct А1В1С1 Г 2 3* ? 5 б‘ 7* в] ABCOEFG-J- Микросхемы ТТЛ И Параметр ЛА1 ЛА2 ЛАЗ ЛА4 К155 К555 К531 ALS К155 К555 ALS К155 К555 К531 ALS К155 К555 К531 ALS 1°е«,мА А 16 8 20 15 16 8 15 16 8 20 50 16 8 20 112 1 потг МА В 4 0,8 8 0,4 2 0,5 0.36 8 1.6 16 0,85 6 1.2 12 0.6 l^noT, МА С 11 2,2 18 1.5 6 1,1 0,9 12 4,4 36 3 16,5 3,3 27 2,2 *0.1 1 ЗД. р D 22 15 4,5 И 22 15 12 22 15 4,5 11 22 15 4.5 11 t1>0 1 зд. р Е 15 15 5 10 15 20 10 15 15 5 8 15 15 5 10 ЛА6* ЛА7** ЛА8* ЛАЭ* ЛАЮ* ЛАИ К155 К555 К555 К531 ALS К155 ALS К555 К531 ALS К155 К555 ALS К155 К555 А 48 24 8 20 18 16 15 8 20 15 16 8 15 16 8 В 8 1 0,8 6.6 0,4 6 0,85 1,6 13,2 0,85 6 1.4 0,6 8 1,6 С 27 6 2.2 18 1.5 22 3 4.4 36 3 16,5 3,3 2.2 22 4.4 D 22 24 32 7.5 45 45 54 32 7.5 54 45 32 54 24 32 Е 15 24 28 7 18 15 28 28 7 22 15 28 18 17 28 ЛА12 ЛА13* ЛАЮ ЛАЮ ЛА21 ЛА22 ЛА23*** ЛА24 К155 К555 К531 К155 К555 К531 К531 К531 ALS-КР/КФ 1533**** А 48 24 60 48 24 60 60 20 30 15 15 30 В 15,5 2 36 8,5 2 36 18 5 1.6 0,8 1,6 1,2 С 54 12 80 54 12 80 44 10 7,8 3.9 7,8 5,8 D 22 24 6.5 22 32 6,5 6,5 6 7 7 12 7 Е 15 24 6.5 18 28 6.5 6,5 7 8 8 33 8 Выходы с открытыми коллекторами Буферная микросхема Буферная микросхема, выходы с открытыми коллекторами КФ-корпус SMD 5В В2 А2 Q2 15
Микросхемы ТТЛ ИЛИ /ИЛИ Серия ИЛИ 1 2 4 ИЛИ Номер микросхемы 1 2 3 4 5 6 7 10 11 К155 КМ 155 К555 КМ555 К531 КР531 КР1533 КР1531 лл + + + + ЛЕ + + + + + + + + + + + + + + + + + + + + 74 — 75 32 Ю32 453 02 23 25 27 28 128 260 1002 Микросхемы ИЛИ кроме стандартных исполне- ний имеют и умощненные варианты ЛЕ5 и ЛЕ6 (ток нагрузки до 70 мА). Имеются микросхемы с выво- дами разрешения приема данных, а также с выво- дами Р для расширения (наращивания) числа вхо- дов. Микросхемы ИЛИ — ЛЛ1 и ЛЛ2. Последняя — с мощными выходами ОК. 1/4 ЛЕ 1.5.6 AI+B1+C1 5В А1 СЗ ВЗ АЗ АЗ + ВЗ + СЗ К531ПЕ7 5В Bl А1 02 С2 В2 А2 С1 01 El Е2 Ql Q2 К155ЛЛ1 К1533ПЛ1 иЛЛ4 5| 64 А4 А4 + В4 ВЗ АЗ АЗ+ВЗ Ml Bl Al+<3l AZ BZ Д2+В2 Микросхемы ТТЛ ИЛИ ЛЕ1 ЛЕ2* ЛЕЗ* ЛЕ4 ЛЕ5 ЛЕ6** ЛЕ7 __ . ЛАЖ ЛГИ ЛЛ4**' К155 К555 К531 К155 К155 К155 К555 К155 К155 Х531 К155 К555 К531 КР/КФ1533 |Свьи. мА 16 8 20 16 16 16 8 48 48 20 16 8 20 30 30 1 пот. МА 16 3,2 29 16 16 16 4 21 21 29 22 6,2 32 4 5 1 пот» мА 27 5.4 45 19 19 26 6.8 57 57 45 38 9,8 68 4.9 10,6 ^здр, НС 15 15 5,5 22 22 15 15 15 15 55 15 22 7 12 9 11,Озд.р, НС 15 15 5,5 15 15 11 15 18 18 6 22 22 7 14 12 Со входами разрешение w Буферные микросхемы “ Без инверсии 16
К155ЛРЗ Микросхемы ТТЛ И/ИЛИ Серия Обозначение Номер микросхемы 1 1 3 4 9 10 11 13 К155 + + + КМ 155 + + + К555 + + + + КМ555 ЛР + + К531 + + + КР1533 КР1531 + + + + 74 — 50 53 55 64 65 51 54 Сложная логическая функция И/ИЛИ выполня- ется микросхемой ЛР, либо двумя элементами И, имеющими вспомогательные выводы К и Э. Соеди- нив одноименные выводы К и Э_двух_элементов, получим два логических выхода Q1 и Q2 с функ- цией И/ИЛИ. К531ПР9 К531ЛР10 Параметр ЛР1* ЛРЗ* ЛР4* ЛР9 ЛР10“ К155 К155 К555 К155 К555 К531 К531 1°.«, мА 16 16 8 16 8 20 20 1 пот» мА 8 8 1,6 6 0,8 12.5 11 1 пот» мА 14 9,5 2 12 1.3 16 16 10,1зд.р, нс 22 22 20 22 20 5,5 7,5 11'°зд.р, НС 15 15 20 15 20 5,5 8,5 * Со входами расширения ** Выходы с открытыми коллекторами К555ЛР11 Элементы с очень большим числом входов (не- обходимы не часто) можно сделать из специаль- 17
А 001 К155ЛН1 CI 1600 ------------1--------- R1 220 " f = (RiCO/з DD1 К155ПАЗ D12 3 ООП 11ч. 2 013 5 |Ч^6 Выход 1 мГц R5 1.8 к ___ -CD- 5В R4 510 и“*1 ЛЛ-Г ___ и,и2 R1 220 R2 560 R3 220 V01Kfl521 -w- 0012 5. АВТОГЕНЕРАТОРЫ НА МИКРОСХЕМАХ ТТЛ На логических элементах ТТЛ можно делать генераторы с выходной частотой, превышающей 30 МГц. Линейка ЛЭ должна быть неинвертирую- щей, хотя бы один ЛЭ надо вывести в линейный режим. Два инвертора в схеме А образуют простейшее кольцо. Элемент DD1.3 — буферный, DD1.1 — ли- нейный усилитель. Схемы Б, В — автогенераторы с пьезорезонатором Схема Г снабжена RS - защел- кой. Генерация в линейке DD1.1 ... DD1.3 разреше- на, если на ЕО записать ноль. Импульс "единица” переключит триггер, генерация выключится. Каскад Д — это усилитель-инвертор, схемы Е - 3 показы- вают его применение. Можно сделать двухконден- саторные мультивибраторы И, К, где различие но- миналов С1 и С2 позволит изменить скважность. Конденсаторы выбираем с номиналами 50 мкФ ... 10 пФ, частота будет в пределах 1 Гц ... 10 МГц Инфрачастотные генераторы удачнее получатся на ЛЭ серии КР1533. Автогенератор Л, снабженный LC - контуром, дает Uc Кц ® - Roc/Rc хорошую стабильность частоты. 001 К155ПАЗ R1 220 R2 560 R3 220 R4 560 Выход DI 2 ZQ1 Cl 5 -30 Е ООП ------Юь DD11 4 R2 560 3 R1 220 DD1 155ЛАЗ R4 560 Выход DDL) DO 1.2 Cl R3 220 Cl R1 Ik Ж 24 24 DD1 К155ЛАЗ UBM<1 И R2 2к С1 100 С2 100 Um.2 001 К155ЛАЗ 2МГц DD1 1 Cl К С2 DD1.2 U,^.i U.MX.2 R2 2к R1 82 L1 10мкГ 001 1 Гч. 2 1 F= ,_____________ 2kVL(C,IIC7) 3 Ьч. 4 Выход 1МГц DD1 К155ЛАЗ С1 0,047 мк _L С2 т зооо DD1.2 Л DD1 К155ПН1 6. ТРИГГЕРЫ ШМИТТА Микросхемы ТТЛ особенно "мощных" серий К131 и К531 дают в провод питания большие по- мехи ("борода" на питании). Многие из этих мощ- ных схем поэтому очень критичны к чистоте такто- вого импульса (например К531ИЕ16 и ИЕ17). Для очистки сигнала от помех и калибровки цифрового импульса необходимо применять ЛЭ — триггеры Шмила. Особенность схемы — двухпороговая гис- терезисная переключательная характеристика, обусловленная слабой внутренней положительной связью. Скорость импульсных перепадов на выходе Микросхемы ТТЛ (логические элементы- триггеры Шмитта) Серия Обо- значе- ние Номер микросхемы 1 1 2 3 К155 КМ155 К555 КМ555 К531 КР1533 тл + + + + + + 1 + 74 — 13 14 132 18
ТШ никак не зависит от скорости изменения вход- ного сигнала. Моменты срабатывания и отпускания однако определяются уровнями входного сигнала иСрб и (Join. Порог включения составляет 1,7 В, от- пускания — 0,9 В. Итого гистерезис составляет 0,8 В, зона его симметрична относительно порога обычных ТТЛ, т.е. 1,3 ± 0,4 В. Помехи, не выходящие за эти пределы, триггер Шмитта игнорирует. Время задержки для фронта и среза составляют 20... 30 нс (К555). Триггеры Шмитта — основа ДЫШУ. Без ТШ триггеры, счет- чики и регистры ТТЛ не запускаются на инфрача- стотах (менее 1 Г ц). м] 1з| ы| 11| io] э| е| К555ТП2 К1533ТП2 5В 7. ИСКЛЮЧАЮЩЕЕ ИЛИ Логический элемент "Исключающее ИЛИ” (exclusive OR, сокращенно EX-OR) выполняет т.н. суммирование по модулю 2. Его используют в им- пульсных устройствах как фазовый компаратор, об- наружитель импульсных перепадов, генератор строго сфазированных последовательностей. ЛЗ EX-OR на своем входе дает 0 в двух случаях: три- виальном 0 ф 0 = 0 и при 1 ф 1 = 0. Полная сум- ма была бы 10 (т.е. 2), но старшая единица этой схемой полусумматора не генерируется. Полный сигнал суммы с единицей переноса дает схема Б. Встречаются микросхемы инверсные EX - OR. Мик- росхемы ЛП5 и ЛЛЗ дают прямую полусумму двух переменных А © В. Микросхема ЛЛЗ имеет откры- тые коллекторы. Эти микросхемы — средней интег- рации, поэтому их токи потребления значительны: 75, 50 и 10 мА по сериям К531ЛП5, К155ЛП5 и К555ЛП5 (74S86, 7486 и 74LS86). Микросхеме К555ЛП12 соответствует 74LS136. Неприятная помеха при "импульсном” примене- нии EX-OR "клыки" на выходе, возникающие, если фронты входных сигналов А и В не сформированы (например, с помощью триггера Шмитта). Видно, что в моменты А и Б выполняются условия О ф 1 = 1 и 1 ф 0 - 1. и» Ub К155ПП5. К555ПП5 К1533ПП5 К531ЛП5 К555ЛЛЗ. К555ПП12 3* 19
Однако данный паразитный эффект удачно испопьзуется дня выделения фронта и сре- за импульса. Элементы DD1.1... DD1.3 задержива- ют входной импульс на тройное время задержки. Такую длительность имеют и выходные импульсы. Задержки для ЛП5 EX-OR составляют: 10, 30 и 22 нс (серии К531, К155 и К555 соответственно). Q=A+B+C Полусумматор многих переменных делается из нескольких двухвходовых ЛЭ EX-OR. 8. ТРИГГЕРЫ RS и D В микросхеме ТР2 четыре RS-триггера, число входов у них различное. Если на входах все уровни высокие, триггер хранит предыдущее состояние. На время, когда все выходные уровни Н, выход Q = В. Такая входная комбинация не защелкивается. Состояния триггера из К555ТР2 Вход SI S2 R Выход Q Н Н х В В Н х Н В В В В В н Без изменения K555TP2.74LS279. KP1533TP2.74ALS279 5В S4 R4 Q4 S31 S3 2 R3 Q3 После снятия сигнала Н,Н, состояние Q — неопре- деленно. Время задержки выходного сигнала на- ходится в пределах 15 ... 25 нс при токе потребле- ния 7 мА (К555ТР2) и 5,5 мА (КР1533ТР2). D-тригтеры ТТЛ Серия Обозначение Номер микросхемы 2 5 7 8 9 К155 + + + + КМ 155 + + + К555 ТМ + + + + КМ555 + + + + К531 + + + КР1533 + + + КР1531 + + 74 — 74 77 75 175 174 20
DD1.1 , 0012 Триггер ТМ2 имеет полный набор входов и вы- ходов. Входы R и S — асинхронные с активным уровнем Н. Уровень на входе D надо зафиксиро- вать перед приходом тактового перепада. Защит- ный интервал должен быть больше времени задер- жки в триггере. Для КР1533ТМ2 это время 18 нс, потребление тока питания 1,8 мА. Входы С и D от- ключены, если на R и S противоположные уровни В и Н. Если дано Н,Н — на выходах неопределен- ность, когда В,В — в триггер загружается D. Состояния триггера из ТМ2 Режим работы Вход Выход S R с D Q Q Асинхронная установка Асинхронный сброс Неопределенность Загрузка 1 (установка) Загрузка 0 (сброс) н в н в в в н н в в X X X t т X X X в н В Н В В Н н в в н в Q1 141 К155ТМ5 1| 2| 3| 4] 5| б| 7| 01 02 Е13.4 ' 03 04 5В Ql Q2 Q2 EI1.2 1б| 15| 14) 13| 12 ТМ5 и ТМ7 содержат по две пары триггеров с упрощенным входом разрешения загрузки EI. Пока Ef = Н вход D = х (безразличен), а на выходе Q ос- тается предыдущее состояние. Перепад на EI от В к Н загружает от входа D "1" или ”0” в ячейку. _________Состояния триггера из микросхем ТМ5 и ТМ7 Q3 Q4 °1 91 К155ТМ7 Q1 Ql Q1 02 Q2 01 02 EI34 5В 03 04 Q4 Один D-триггер из DD1.1 микросхем ТМ5 и ТМ7 Q3 Q3 Q4 Q4 Режим работы Вход Выход В D Q Q Разрешение передачи данных на вход в Н Н в в в в н Защелкивание данных н X q q ТМ8, ТМ9 линейки из 4 и б D-триггеров. Входы С и R общие. Когда R = Н, входы С и D безразлич- ны к сигналам, а выходы Q = Н (сброс в ноль). Для записи в триггеры на _их входах D надо подготовить байт, зафиксировать R = В и подать положительный скачок на вход С. Микросхемы ТМ8 потребляют то- ки 96, 45 и 18 мА (серии К531, К155 и К555 со- ответственно), время задержки 22, 28 и 35 нс (в том же порядке). Микросхемы ТМ9 потребляют то- ки в 1,5 раза большие, тактовые частоты 75, 30 и 25 МГц (К531, К155 и К555). 21
Состояния триггера ТМ8 и ТМ9 Режим работы Вход Выход R С Dn Qn Qn Сброс Н X X н В Загрузка 1 В т в В Н Загрузка 0 В т н Н В 9. ТРИГГЕРЫ JK Серия Обозначение Номер микросхемы 1 6 9 10 11 15 К155 + + КМ155 + К555 ТВ + + К531 + + + КР1533 + КР1531 + + + 74 — 72 107 112 113 114 109 В К155ТВ1 имеется один универсальный JK- триггер с тройными^ и_К входами И. Они принима- ют данные, когда S = R = В. Триггер здесь двухсту- пенчатый: для тактового входа требуется полный импульс. Положительным фронтом комбинация JK загружается в триггер-мастер. Она переносится в триггер-помощник отрицательным срезом и появ- ляется на выходах Q и Q. Во время вершины так- тового импульса С = В сигналы JK менять нельзя. Состояния JK-триггера К155ТВ1 13 3 Т Q Режим работы Вход Выход >R & л тт S R с J к Q Q 5 J2 J3 6 Асинхронная установка н в X X X В н 12^ Асинхронный сброс в н X X X Н в Неопределенность н н X X X В в 10 & К1 0 Переключение в в J7L в в q q 11 К2 КЗ Загрузка 0 (сброс) в в _П_ н в н в 2 Загрузка 1 (установка) в в _гL в н в н S —J Хранение (нет изменений) в в _П_ н н q q 22
Микросхемы ТВ6, ТВ9 и ТВ10 содержат по два JK-триггера. В ТВ9 есть полный комплект входов и выходов. В ТВ6 входы установки S отсутствуют. Ноль и единица, подготовленные на входах J и К, загружаются в триггер во время положительной вершины импульса С, срез которого от В к Н пере- носит их на выходы. Для ТВб сбросу Q = H соот- ветствует R = Н (остальные входы в этот момент безразличны). 12 л 13 в 9 10 ТВб л т — Q1 KI J2 К2 ► R2 2 — Q2 6 _ — Q2 л Л li56 Q1 — Л Bi Q1 — <5 Л С1 К1_£ оэ сп Л кг Q2« — R2 Q2 — 7 — С2 — J2 Режим Вход Выход R С J К Q Q Асинхронный сброс Н X X X Н в Переключение В 1 в в q q Загрузка 0 (сброс) В н в н в Загрузка 1 (установка) В в н в н Хранение (нет изменений) В 4 н н q q а- I6 58 Ki - - R1 л — 24R2 SI — 5 13 5 <31 - -ч сс “К2 QI — — J2 7 10- Q2 — — S2 8 -Q2 В триггере ТВ9 есть вход S. Он дает два до- полнительных режима: асинхронный сброс и клас- сическую неопределенность RS-триггера. К555ТВ9 работает с тактовой частотой до 30 МГц. Состожия JK-триггера из микросхемы ТВ9 K555TB9 ^Ssi л Режим работы Асинхронная установка Асинхронный сброс Неопределенность Переключение Загрузка 0 (сброс) Загрузка 1 (установка) Хранение: нет изменений Вход Выход S R с J к Q Q Н В X X X В н в н X X X н в Н н X X X в в В в 1 в в q q в в 1 н в н в В в 4 в н в н в в 4 н н q q К531ТВ10 -- вариант ТВб, однако входы R за- менены на S, поэтому первая строка в таблице со- стояний не сброс Q = Н, а асинхронная (Q = B). К531ТВ10 потребляет 50 мА. .6 установка —Q1 9 ---02 —Q! 6 10 п К531ТВ10 11 8 13 12 Л С1 К1 J2 С2 К2 9 ----Q2 К1 |Д1 IS2 ,,ГР С2 К2 1| г| з| 4| 5| С1 К1 Л SI <31 DD1.2 X 001.4 Состояния JK-триггера из микросхемы К531ТВ1О Режим Вход Выход S с J К Q Q Асинхронная установка н X X X В н Переключение в 4 в в q q Загрузка 0 (сброс) Загрузка 1 (установка) в в 4 4 н в в н н в в н Хранение: нет изменений в 4 н н q q 23
_К53П ВП — вариант ТВ9 с общими входами С и R. Таблица ТВ9 верна К155ТВ15 содержит два триггера с полным комплектом входов. Особенности: вход J — прямой, а К — инверсный, запись происходит-Положитель- ным перепадом С Если входы J и К соединить, получим D-вход. К155ТВ15 потребяет ток 30 мА, максимальная частота переключения — 25 МГц. R-L К1 — л-2 si-2 Q1 — 01 — 7 — 5В д с — К2 21 J2 — S2 2- 02 8 _ — Q2 _ 1 RJ ---- 2 Л ----- К1 — CI — S1 — 01 — 7 Q1 — 8 — 58 2^ — 12 13 --К2 —С2 — S2 10 — О? 9 _ — 02 Состояния JK-триггера К155ТВ15 Режим работы S R Вход Выход С J К Q Q Асинхронная установка Асинхронны • сброс Неопределенность Переключение Загрузка 0 (сброс) Загрузка 1 (установка) Хранение: нет изменений н в н в в в в В н н в в в в X х х Т t Т t X х х в н в н X X X н в н в в Н В q н в q н в в q в н q 10. СЧЕТЧИКИ Микросхемы ТТЛ, делящие входную частоту или подсчитывающие число импульсов, различают- ся не только по числу разрядов, но и вариантами цепей управления: асинхронные, синхронные, дво- ичные, двоично-десятичные, однонаправленные, реверсивные. Коэффициент деления может быть постоянным или переключаемым согласно коду ус- тановки. Сброс накопленных счетчиком данных в ноль у одних микросхем асинхронный, у других — синхронный с тактовым импульсом. Счетчики ТТЛ Серия Обо- зна- чение Номер микросхемы 2 4 5 6 7 8 9 10 11 13 14 15 16 17 18 19 К155 4- + 4- 4- 4~ + + + КМ 155 + + 4- + + К555 ИЕ + 4- 4- + т 4. + 4- + 4- КМ555 К531 КР1533 4 + + + + + + 4- КР1531 т 74 — 90 92 93 Г192 193 97 i60 161 162 191 196 197 168 169 163 393 К155ИЕ2 — счетчик четырехразрядный, двоич- но-десятичный. Путь тактового сигнала у него асинхронный: вход С последующего триггера при нимает выходной импульс предыдущего триггера. Каждый триггер вносит в эту последовательность свою долю задержки, поэтому разряды появляются на выходах Q0 ... Q3 неодновременно и несинхрон- но с тактовыми импульсами. В схеме четыре триг- гера. Первый из них ОО1.3-самостоятельный дели- тель на 2 (вход СО, выход Q0) Остальные тригге- ры — самостоятельный делитель на 5 (такт С1). 24
Е ыбор режима работы счетчика К155ИЕ2 Последовательность дкиич ни-десятичного счета С1 — S1 - 3 S2 — 4 5 5В — 6 R1 — 7 R2 " <_л <_л к.» -со 13 - Q0 -Q3 10 -Q1 - Q2 Входе R1 броса R2 пуста S1 новки S2 Q0 Вы Q1 <од Q2 Q3 В В Н в ми Счет 0 1 2 3 4 5 6 7 8 9 кросхеме К155ИЕ2 Выход Q0 Н В Н В н в н в н в Q1 Н Н В В н н в в н н Q2 Н Н Н Н В В В В н н Q3 Н Н В н н н н В JU В В Н Н X Н X В В Н X Н X Н н X В н X X Н X Н В X Н н X В В н Н Н н С1 Н Н II ет » » Запускает — отоипательный соез. Сбоос R — асин- хронный. Чтобы счетчик делил на 10, соедините 0000 до 1001 происходит, если S = R = H. От Q0 выводы 12 и 1, входную частоту подайте на С0(14). Установка S — асинхронная. При S = Н, R = В в счетчик записывается 1001 (т.е. 9). Счет от (вывод 12) можно получить симметричный меандр £ частотой f/Ю, еспи подать вводную частоту 1 на Cl (1) и соединить Q3 (11) с СО (14). К155ИЕ4 — четырехразрядный делитель на 2 (вход СО- 14, выход Q0 12) и па 6 (вход Cl -- 1, выход Q3 — 8). На выходах Q1 (11) и Q2 (9) име- ется частота f/З. Замкнув выводы 12 и 1, получим делитель на 12 (на СО — f, на Q3 — f/12, здесь импульсы симметричные). Сбросу асинхронному в 0000 соответствует R1 - R2 - В. Режим работы счетчика К155ИЕ5, ИЕ4 Вход сброса Выход R1 R2 Q0 Q1 Q2 Q3 В В Н н Н Н Н В Счет В н » Н н » Последовательность К155ИЕ5 составлен из делителя на 2 (от СО до Q0) и делителя на 8 (от С1 до Q1 ... Q3). Соединив 12 и 1, получим f/16 на Q3. К155ИЕ2, ИЕ4 и ИЕ5 потребляют 53 мА, часю та счета — до 10 МГц. 14 — СО 13 --Q0 И -Q3 Ю ~Q1 -02 Последовательность счета К155ИЕ5 быход Q0 Q1 Q2 Q3 0 Н Н н Н 1 В Н н Н 2 Н В н Н 3 В В н Н 4 Н Н в Н 5 В Н в Н 6 Н В в Н 7 В В в н 8 н н н в 9 Б н н в 10 Н в н в 11 В в н в 12 Н н в в 13 В н в в 14 н в в в 15 в в в в 4 Зак 37326 25
К155ИЕ6 и ИЕ7 — счетчики синхронные, четы- рехразрядные, реверсивные: ИЕ7 — двоичный, считает от О до 15 и до О, а ИЕ6 — двоично-де- сятичный О ... 9 ... О. Положительный перепад на увеличение Сир (или уменьшение Cdown) прибавля- ет (или вычитает) 1. Если R = PE= Н можно асинх- ронно загрузить счетчик байт DO ... D3, который по- явится на выходах QO... Q3 с задержкой 40 нс. Соединив оба выхода окончания счета ТСи, ТСо непосредственно с Си. Со следующей микросхе- мы, получите 8-разрядный счетчик, но он не пол- ностью синхронный. Направление счета изменяйте во время плоской вершины такта Си.о • При Си = Н или Со = Н счетчик не переключается. Эти счетчи- ки серии К155 потребляют 102 мА, варианты К555 — 34 мА. Тактовая частота — свыше 20 МГц. Режимы счетчиков ИЕ6 Режим Вход Выход R РЕ Си Со DO D1 02 D3 QO QI Q2 Q3 ТСи ТСо Сброс В х х Н В х х В X X X X X X X X Н Н Н Н Н Н Н Н В Н В В Параллельная загрузка Н Н х Н Н Н х В Н Н Н х Н Н В х Н Н Н Н Н Н Н Н В Н Н В В н н В Н Н Н Н Н Н Н Н Qn= Dn Qfl = Оп В Н В В Н В В В Счет на увеличение Н В ? В X X X X Счет на увеличение В В Счет на уменьшение Н В В т X X X X Счет на уменьшение В В тс, Режимы счетчика ИЕ7 Режим Вход Выход R РЕ Си Со DO DI D2 D3 QO QI Q2 Q3 ТСи ТСо Сброс В х х Н В х х В X X X X X X X X Н Н Н Н Н Н Н Н В н в в Параллельная загрузка Н х Н Н Н Н х В Н Н Н х Н Н В х Н Н Н Н Н Н Н Н В В В В В В В В Н Н Н Н н н н н В В В В в в в в в н в в н в в в Счет на увеличение н В т В X X X X Счет на увеличение в в Счет на уменьшение Н В В Т X X X X Счет на уменьшение в в 26
Диаграмма работы счетчика ИЕ7 К155ИЕ8 — шестиразрядный счетчик со входа- ми разрешения ЕО ... Е5 для задания числа выход- ных импульсов, которые появятся на выходе Q в период от начала счета до 63-го тактового им- пульса. Требуемое число импульсов представьте в двоичном коде и зафиксируйте перед счетом уров- ни В на входах Е5 ... ЕО. Пример: 40 = 32 + 0 + 8 + 0 + 0 + 0, набираем код ВНВННН. Получим на выходе 40 импульсов. При S = В деление останав- ливается, при R = El = S = Н счет разрешен. Вход СЕР нужен для последовательного соединения счетчиков ИЕ8. Состояния счетчика ИЕ8 Вход Выход R El S Е5 Е4 ЕЗ Е2 Е1 ЕО Число импуль- сов на входе С СЕР Число им- пульсов Свьм(— 63) Q Q В х В Н Н Н Н Н Н Н Н Н Н Н Н Н Н Н н н н н н н н н н н н н X X X X X X н н н н н н н н н н н в н н н н в н н н н в н н н н в н н н н в н н н н в н н н н н в в в в в в ВНВННН X 64 64 64 64 64 64 64 64 64 В В В В В В В В В В Н Н 1 2 4 8 16 32 63 40 В В 1 2 4 8 16 32 63 40 в 1 1 1 1 ! 1 1 1 К155ИЕ9 — счетчик двоично-десятичный с синхронной загрузкой байта DO... D3 при условии РЕ = Н. Все разряды выходного байта Q0 ... Q3 по- явятся одновременно с положительным С. К555ИЕ9 более устойчив к помехам, имеет пороги Шмитта ± 400 мВ. Сброс перепадом т.к. логика ноль при в 5В ТС QO Ql Q2 Q3 СЕТ РЕ 1б| 15| И| 13| 12| 11| Ю| 9| R = Н — асинхронный. Для синхронного каскадиро- вания есть два входа разрешения СЕР (параллель- ный) и СЕТ (трюковый), а также выход ТС (счет за- кончен). Выход ТС будет В, когда выходной байт стал ВННВ (т.е. 9), а на входе СЕТ был В. Выход ТС обслуживает входы СЕР всех счетчиков линей- ки. Трюковый вход СЕТ используется лишь с треть- Режим работы счетчиков ИЕ9, ИЕ10, ИЕ11 и ИЕ18 (SR) К155ИЕ9 К1533ИЕ11 (Synchro Reset) 015-012 D11-D8 07 - 04 03 - 00 ТС ♦ 3 Режим Вход Выход R с СЕР СЕТ РЕ Dn Qn ТС Сброс Н X X X X X н н Параллельная В t X X н н Н н загрузка В Т X X н в В в Счет В t в в в X Счет в Хранение В X н X в X Чп н В X X н в X Чп н 27
его каскада. Этим способом расширяется десяти- кратно длительность выходного импульса, который редко появляется на экране осциллографа. Дан- ный многодекадный счетчик полностью синхрон- ный. Ошибочные режимы. При СЕР = СЕТ = В и С = Н на вход РЕ нельзя давать положительный перепад. При С = Н такой перепад, принятый на СЕТ и СЕР, наложит байт загрузки на внутренний код. Если на выходах "все нули”, К155ИЕ9 потребляет 101 мА, а К555ИЕ9 •— 32 мА. Для этих серий время сброса 40 и 30 нс, а задержка от С до ТС — 40 и 20 нс. Скорость счета более 20МГц. К555ИЕ10 — двоичный вариант ИЕ9. Отличие его логики лишь в том, что результат счета ТС = В появится, когда выходной байт Q0... Q3 стал ВВВВ, т.е. 15. Остальные режимы, параметры и схема каскадирования совпадают. К555ИЕ18 — это ИЕ10 с синхронным входом сброса SR. Перед сбросом фиксируем SR = Н. Все остальные входы сигналы не принимают. Сброс НИНЫ совпадает с моментом ближайшего положи- тельного скачка С. Ток питания как у ИЕ9. К555ИЕ13 — счетчик реверсивный, синхронный четырехразрядный. Имеет синхронный вход записи РЕ. Это вариант ИЕ7 с единым тактовым входом С и входом управления U/D — больше/меньше. 5В DO С ТС Мах/Mm РЕ 02 D3 1б| »| 141 1з| 121 111 Ю | 9, К555ИЕ13 Т *1 >1 1 d «| d »| 01 Q1 00 СЕР U/D Q2 Q3 | 28
К531ИЕ14 — двоично-десятичный асинхронный счетчик пульсаций. Это вариант счетчика ИЕ2, но с параллельными входами записи байта DO... D3. Здесь также есть делители на 2 и на 5. Режим де- ления на 10 симметричный: даем такт на С1 (6), соединяем Q3 (12) и СО (8). Выход Q0 (5) дает ча- стоту f/Ю со скважностью 5O9L Сброс асинхрон- ный через 15 нс после события R = H. Если РЕ = В, действие тактового входа запрещено, байт DO... D3 загружается в счетчик. Этот регистр удобен как за- щелка байта. Выборка из переменного кода DO... D3 появится_на выходах Q0 ... Q3 через 20 нс по- сле того как РЕ станет Н. Счетчик потребляет 88 мА, тактовая частота 80 МГц на входе СО и 40 МГц на С1. ИЕ15 — двоичный вариант. 5в R Q3 D3 DI Q1 СО 14| 13 | |2| 11| 101 9 1 8| К155 ИЕ14.ИЕ15 1 | 2| 3| 4| 5 I б | ?! QZ Й DO QO Cl Последовательность счета дляК531ИЕ14 Выбор режимов счетчика К531ИЕ14 Режим работы Вход Выход R РЕ с Dn Qn Сброс Н X X X Н Параллельная загрузка В н X Н Н В н X В В Счет В в 1 X Счет К531ИЕ16 и К531ИЕ17 — счетчики синхрон- ные, реверсивные. ИЕ16 — двоично-десятичный, ИЕ17 — двоичный. Это дальнейшее развитие мик- росхем ИЕ6, ИЕ7 и ИЕ13. Вход С работает с по- ложительным перепадом. Для входов СЕР и СЕГ активные уровни Н. Если U/D = В, счет увеличива- ется, при U/D = Н — уменьшается. После оконча- ния счета выход ТС = Н. Из-за того, что активные уровни — Н, схема каскадирования отличается от ИЕ6, ИЕ7. ИЕ16 заканчивает счет ТС = Н, когда накопился код ВННВ т.е. 9. Для ИЕ17 максималь- ный ВВВВ= 15. Счет на уменьшение идет до нуля НННН. Счетчики потребляют более 100 мА и весь- ма чувствительны к помехам. Последовательность С полезно сформировать триггером Шмитта. Двоично-десятичная Число Q3 Q2 QI Q0 0 Н н н н 1 Н н н в 2 Н н в н 3 Н н в в 4 Н в н н 5 Н в н в 6 н в в н 7 н в в в 8 в н н н 9 в н н в Симметрия Число Q0 Q1 Q2 Q3 0 Н н н н 1 Н н н в 2 Н н в н 3 Н н в в 4 Н в н н 5 В н н н 6 В н н в 7 В н в н 8 В н в в 9 В в н н 29
Состояния счетчиков К531ИЕ16 и К531ИЕ17 Режим Вход Выход С U/D CEP СЕТ РЕ Dn On ТС Параллельная t X X X н н Н в загрузка t X X X н d В в Счет на увеличение t в H н в X Увеличение н Счет на уменьшение t H H н в X Уменьшение н Хранение t X в X в X qn в t X X в в X А» в 58 С R QO Ql Q2 Q3 10{ 13| 12| 11| 10| 9| 8| Счетчик 1 ---------------------КМ555ИЕ19---------------- Сменим 2 Т 2! 31 «I 51 б1 7| С Я QO Ql Q2 Q3 X 30
11. РЕГИСТРЫ В регистровую схему соединено последова- тельно несколько триггеров. Группа регистров сре- ди микросхем ТТЛ самая широкая. Их используют для последовательного накопления, параллельного хранения и отображения цифровых слов на выхо- дах. Подготовке байтов помогают режимы: ревер- сирования (сдвиг влево или вправо), а также Z-вы- ходов. Универсальные "короткие" регистры, имею- щие полный комплект входов и выходов, соединяются последовательно, причем многие "длинные" регистры могут работать синхронно. Ре- гистры портовые уменьшают в два раза число про- водников шины данных. Существуют регистры спе- циализированные, например, для АЦП и регистро- вой памяти. Регистры ТТЛ * Аналог DM 2504 ** Аналоги из серии AM 25S К155ИР1 01 00 РЕ С1 si -1 2 do- о. 2 02 — 5 D3 — — 6 РЕ —I и Q2 □ Я □ Я □ Серия Регистры ИР 25 26*** 27 28 30 33 34 35 37 38 40 41 К155 КМ 155 К555 КМ555 К531 КР1533 КР1531 К533 + + К533 + + + + + + + + + + + 74 395 670 377 322 259 573 873 273 14 5В 2qo — Q1 11 — Q2 10 — Q3 9 _ — Cl 8 — С2 О 'Я О я ;в К155ИР1 — четырехразрядный регистр сдвига с последовательным входом SI. Если РЕ = В, разре- шен тактовый вход С2. В момент отрицательного перепада входное слово а ... d (от 00... D3) поя- вится на выходах Q0 ... Q3. Когда РЕ = Н, разре- шен вход С1: по каждому перепаду на нем от SI в регистр загружается 1 или 0. Накапливаемое слово движется вправо от Q0 к Q3. Можно сдвигать слово влево, соединив попарнр Q3_^ 02, Q2 - 01, QI - DO. При этом РЕ = В. Если Cl = С2 = Н, перепад напря- жения на входе РЕ не влияет на слово Q0...Q3. I_Н К155ИР1 потребляет 63 мА, тактовая частота — до *°А 25 МГц. 31
С ст гяния hi тра К155ИР1 хо Выход Пос !- 1 ллольные С2 ' Г ДО1 \ ,1И DO D2 D3 QO Qi Q2 Q3 В х хх | а с d 1 Qb з Qc d HI x xx X lx XX X lx XX HI x XX HI x XX HI x XX Bl x.xx El x c x x Qao a Qbo Qao В H Qao Qao Qao Qao Qao Qbo b Qcn Qbo Qao QAn Qbo Qbo Qbo Qbo Qbo Qco c Qon Qco Qbo Qbr Qco Qco Qco Qco Qco Qdo d d Qdo Qcn Qcn Qdo Qdo Qdo Qdo Qdo гр 1К531ИР11 DSL Выход DST X X X X н в X in or ?p< ini — 12 r <ci >oi nt nc ej при >! >, 1 ae Bt бор xf h< int (S = H, S ы кр ни :я pc цыд5 SI = I ; 5ai (0 ... < D н; вь юл x X)... В; ат в» да DS _) и F oi вх да DS R). Г 1 бр »c) KE 1IIP11 L ст та до J0 МГц бг ни 6 и 3 iA, Тс ;5; И ’К — ег тетр 1в га и л ipanr бр зо ан я. 1е эед С 5а ты да гы с Dn <hi б 1ть то( от твле» тр <и ак б • / аннь । < (hi эч1 э!й D- гход. д\ н< С сг вс сдв во »>' )0 Q , Е зли F аб га, т е е 1 rrt ре тр х н X X X X 0„ X X X X X X dn QO Qi Q2 Q3 H H H H qo qi q? qs qi q2 qa H qi q2 qs в H q<> qi q? в qo qi q2 do d! dz Ьз 5J 02 т синхронного ревер- яртого слова. Время Н >бор сигналов надо (см 4-перепада на С. or) из четырех режи- Н: входы индиффе- е слово), загрузки (SO пс является от входов |,»двига влево (SO = В, зи а вправо (SO = В, R = Н выходной байт рс бляет 135 мА, так- эр 1анты К155 и К555: Вс т частота — 25 МГц. синхронного накопле- >н> -последовательного ix< дом 4-перепада на правления R, РЕ, J и К К гда РЕ = В,_входы J Ос эдинив J и К , лолу- эп асно каждому 4-пе- эт :я на одну позицию = Ь. от 4-перепада такта ер э и байт DO ... D3 по- явится на выходах. Для сдвига влево от входа D3 к выходу Q0 надо соединить внешними леремыч- ками каждый выход Qn со входом Dn - 1, а РЕ = Н зафиксировать. Сброс в ноль — при R = Н. Для на- ращивания соедините выходы Q3 — Q3 предыду- щего счетчика со входами J и К следующего. Вы- воды РЕ, R. С — включите параллельно. К531ИР12 потребляет 109 мА, частота — до 70 МГц (вариант LS - 21 мА, 30 МГц).
Состояния регистра К531ИР12 Режим работы Вход Выход R С РЕ J К Dn QO Ql Q2 Q3 Q3 Асинхронный сброс Сдвиг и установка по первому каскаду Сдвиг и сброс по первому каскаду Сдвиг и переключение первого каскада Сдвиг и хранение в первом каскаде Параллельная загрузка Н х х В t в В Т в В t в В Т в В t н X X в в н н в н н в X X X X X X X dn К Н Н Н В В qo qi Цг Ц2 Н qo qi q2 Цг qo qo qi q2 q2 qo qo qi q2 q2 do d; dz ds ds .— 1 К155ИР13 — синхронный регистр сдвига. При- годен как буферный накопитель байта для ЗУ (операция — 20 нс). Режимы переключаются бай- том SO, S1. Хранение — SO = SI = Н. Параллельная загрузка от входов DO ... D7, если SO = S1 = В. Сдвиг влево поразрядно от входа DSL, когда SO = Н. Сдвиг вправо от DSR, если SO = В и SI = Н. Три последние операции выполняются после 4-пе- репада на_тактовом входе. Сброс в ноль асинхрон- ный при R = H. Потребление — 116 мА, тактовая частота 25 МГц. Состояния регистра К155ИР13 SI DSL 07 Q7 Об Q6 05 Q5 04 Q4 R 2<| 2з| 22| 21, 2о| 19, 18| 17| 16, 15, 14, 13| К155ИР13 1| г| з| 4, 5| 6, 7| в| 9| ю| 11| 12|_ SO DSR 00 QO 01 Ql 02 Q2 03 Q3 С Режим работы Вход Выход С R SI so DSR DSL D„ QO Q1-Q6 Q7 Сброс Хранение Сдвиг влево Сдвиг вправо Параллельная загрузка х Н t В т в т в т в t в т в X X н н в н в н н в н в в в XXX XXX х н х X в X Н X X В X X в х dn Н qo qi ft в do Н-Н qi-qe q2-47 q?- q? qo-qs и H q7 H в q6 s 5Б R 00 01 02 03 PE2 Р£1 16| 15, 14| 13| 121 11| 10| 9| К155ИР15 Д М з| 4) 5, б| 7| £01 £02 QO Ql Q2 Q3 С К155ИР15 — четырехразрядный регистр с Z- выходами, потребляет 72 мА и работает с частотой до 25 МГщ_0н защелкивает 4 бита от входов DO... D3, если PEI = РЕ2 = Н, синхронно с 4-переладом на входе С. Если на РЕ1 или РЕ2 уровень В, в ре- гистре хранится предыдущее слово. Сброс в ноль происходит при R = В. Инверторыдазрешения выхо- дов работают независимо: если Е01 = В (или Е02 = В), выходные провода Q0... Q3 размыкаются (Z-co- стояние). 5 Зак. 37326 33
Состояния регистра ИР15 Режим Вход Выход Qn R С РЕ1 РЕ2 D„ Сброс В X X X X н Параллельная Н т н н н Н загрузка Н т н н в В Хранение (без Н X в X X Чп изменения) Н X X в X Чп Режимы выходов с тремя состояниями регистра ИР15 Режим Вход Выход Dn Ё01 Е02 Q0-Q3 Считы- вание Запрет Н В X X Н Н В X Н Н X В Н В Z Z К155ИР16 — регистр сдвига с Z-состоянием, он потребляет ток 20 мА и работает с частотой до 30 МГц. Выходы Q0... Q3 переходят в состояние Z, если ЕО = Н независимо от других входов. При РЕ = Н после прихода +перепада на С через вход SI в регистр загружаются 0 или 1 последовательно. Если РЕ = Н, сразу во все 4 триггера загружается слово от DO ... D3 и появляется на выходах Q0... Q3. Стекающий ток выходного каскада более 30 мА. 5В QO QI Q? Q3 С ЕО 1<| 13| 1?| 11| 10| Э| В| К555ИР16 -----о ЕО’ К555ИР16 SI 00 01 02 03 РЕ Режимы выходов регистра К555ИР16 Режим Вход Выход ЕО Dn(ре- гистр) Q0-Q3 Считывание Разомкнут В В Н Н В Н в Z Состояния регистра К555ИР16 Режим работы Вход Выход С РЕ После- дова- тельный SI Парал- лель- ный Dn Q0 Q1 Q2 Q3 Сдвиг вправо 1 1 н н Н в X X Н В Чо Чо 41 41 42 42 Параллельная 1 в X н н Н Н Н загрузка 1 в X в в В В В К155ИР17 — двенадцатиразрядный регистр, специализированный как основа логики аналого- цифрового преобразователя АЦП. Можно включить кольцевым счетчиком или преобразователем по- следовательного кода в параллельный. Можно ис- пользовать как укороченный цикл, так и последова- тельное наращивание. В регистре ИР17 — 12 оди- наковых ячеек — разрядов. DI — это вход поразрядного приема байта, ячейки Qll ...Q0 за- полняются поочередно. Биты синхронно со сдвигом 34
на один такт транслируются через последователь- ный выход DO. В АЦП ко входу DI подключается выход компаратора, сравнивающего входной сиг- нал и эталонный уровень, набранный в ЦАП. Если последний меньше, решением компаратора 1 ос- тавляется в текущем старшем разряде. Вход EI не- обходим для остановки преобразователя. Для окончания набора, например, на 8-разряде присо- едините Q7 к EI. Неиспользуемый EI заземляем. Набор кода идет от Q11 до Q0. Выход Q11 ^_это старший разряд, половина шкалы. Есть выход Q11, его используют как знаковый при двухквадрантной шкале кода: байт Q0 ... Q10 можно будет считать или положительным, или отрицательным словом. Завершает преобразование низкий уровень на вы- ходе QCC (conversion complete). По окончании им- пульса И (см. линию Q0) параллельно на выходах Q7... Q0 накоплен и зафиксирован входной байт DI: 01010101. Регистры можно наращивать. Кроме полностью 24-разрядного регистра, показан 16-разрядный (здесь DD2 работает с укороченным циклом). DOI K155HPJ7 D02 АЦП составляется из следующих частей: ком- паратора АЗ; ЦАП (А2 — стандартная микросхема, например К1108ПА1); РПП ИР17 (А4, РПП ИР17 с дополнительным автогенератором удвоенной час- тоты преобразования). Источник опорного напря- жения А1 должен "держать” точность самой млад- шей градации (здесь стабильность должна быть 0,01% в полном диапазоне внешних воздействий). КМ555ИР8 — 8-разрядный регистр сдвига. Сброс всех выходов в Н при R = Н — синхронный, все входы запрещены. Последовательных входов DS два (по логике И). Тактовый перепад С — поло- жительный, бит сдвигаем вправо от Q0 до Q7. По- требляет ток 27 мА, стекающий ток выхода не ме- нее 15 мА. 5* 35
К555ИР9 — 8-разрядный регистр задержки байта на 8 тактов. У регистра полный комплект вводов, но только последовательный выход Q7 (Q7). Загрузка DO... D7 асинхронная, по разреше- нию РЕ = Н. Когда РЕ = В, работает последова- тельный вход SI: синхронно по +перепаду на вхо- де С ранее загруженные биты сдвигаются вправо. СЕ и С — логически_£авноправные, их можно ме- нять местами. При СЕ = В трансляция кода оста- навливается, биты хранятся в регистре. К555ИР9 потребляет 63 мА, частота работы свыше 20 МГц. Состояния регистра К555ИР9 Режим работы Вход Внутреннее состояние Выход RE СЕ с SI D0-D7 Q0 Qi-06 Q7 Q7 Параллельная Н X X X Н Н н-н Н в загрузка Н X X X В В В-В В Н Последовательный В н Т н X Н qo-qs q6 qe сдвиг В н Т в X В qo-qs qe qe Хранение В в X X X qo qi-qe q? JLJ 58 СЕ D3 02 01 DO SI Q7 К555ИР9 58 Q5 05 04 Q4 03 Q3 С 16l 15i 141 131 121 ”1 101 п К531ИР18 11 Л з] Л 5] б| 7, 8j Й Q0 00 01 Q1 02 Q2 К531ИР18 — шестиразрядный регистр-защелка параллельного байта DO... D5 по +перепаду на входе С, если входы разрешены El = Н. При EI = В (или El = Н, а С = В) данные Qn хранятся в реги- стре. Ток потребления 75 мА, но время задержки исполнения 8... 10 нс. Применение: распределе- ние по параллельным шинам (в данном случае по четырем) байтов, которые последовательно прихо- дят из общей ШД. Один из четырех адресов нуж- ной шины выбирается дешифратором К531ИД14, управляемым кодом АО, А1. К531ИР19 — короткий, 4~разрядный аналоги- чен ИР18. ^Освободившиеся” выходы дают инверс- ное слово Q0 ... Q3. Параметры и применения как у ИР18. Состояния регистров ИР18 и ИР19 Вход Выход 1 Ё1 Di Сл+1 Qi Qi в X X Qn Qn Н X В Qn Qn н X Н Qn Qn н Н т н В н В т В H 5в Q3 Q3 D3 02 Q2 Q2 С 1б| 15[ 14| 13 | 12 | И | 10| 9| К531ИР19 71 21 з! «Г 51 б] Г) 8 б QO Q0 00 01 QI Q1 - 36
К531ИР20 — 4-разрядный. У него два пучка А и В по четыре входа DO .. D3. Пучок называется зарубежным словом port — дверь, открывающаяся и внутрь, и наружу. Порт А выбираем одноразряд- ным кодом S = Н, порт В — S = В. На выбранном порте А или В готовим байт, он появится на выхо- дах Q0_... Q3 после отрицательного перепада на входе С. ИР20 принимает коды от двух внешних шин А или В и может посыпать их в одну. Допол- нительный мультиплексор не нужен. Он имеется на входе D каждого триггера. Ток потребления 120 мА, задержка байта QO . Q3 8 .. 12 нс после прихо- да - С. 5В Q3 D,7 ОвТ De? Da? Q? С 1б| 15| И| 13| 121 11| 101 9| К531ИР20 1| Я 5| 6| 7| 8| S Q0 ОдО ОйО Del 0в1 Ql J- К555ИР22 — peinCip_3aille,1Ka входного байта DO... D7. По команде ЬО- В, выходные провода разорваны (Z). ЕО = Н — выходы разрешены. При РЕ = В слово DO . D7 можно отобразить на выхо- дах. Когда РЕ = 11, входное слово будет защелкну- то в регистр. Ток потребления 40 мА, время пере- ключения режимов от 20 до 30 нс , переход в Z- состояние — 45 нс. Выходной ток — до 30 мА. ________ Состотмия регистра ИР22 Режим работы Разрешение и считывание из регистра Защелкивание и считывание из регистра Защелкивание в регистр, разрыв выходов ЕО Вход Выход триггера Q Выход Q0Q7 ЕО PL Dn И в н Н Н Н в в В В Н н н Н Н н н в В В в н н Н Z в н 8 В Z Состояния регистра ИР2О Вход Выход S с Dia D,b Q. н 1 н X Н н в X В в 1 X К н в 1 X В В Dl 02 03 04 О') 06 РЕ ИР22 DO Dl D2 D3 04 OS з|_____ _4_ 7 I 8 [1з||4| Ql Q2 Q3 Q4 Q5 06 Q6 QS № t>4 Q4 37
К555ИР23 — аналогичен ИР22, но вместо ста- тического входа параллельной загрузки РЕ устроен положительный тактовый вход С для синхронного отображения байта Q0 ... Q7. Вход С имеет гисте- резис Шмитта ± 400 мВ. Ток потребления — 45 мА, ток выхода — 30 мА, задержка вход-выход 40 нс. Состояния регистра ИР23 Режим работы Вход Выход триггера Q Выход Q0-Q7 ЕО с Dn Загрузка и Н т н Н н считывание н т в В В Загрузка регистра в т н Н Z и разрыв выходов в т в В Z 00 01 о? оз О* OS Об О? 5B Q7 07 06 Q6 Q5 05 04 Q4 С 20| 19| 18[ 17| 161 15| 14| 13[ ]2[ 11| К555ИР23 1| 21 31 4| 5| б| 7| в| 9| 1о| ЁО QO DO 01 QI Q2 02 03 Q3 X К531ИР24 — регистр 8-разрядный универсаль- ный как сдвиговый (влево-вправо), так и накопи- тельный. Выходные провода (4 ... 7, 13 ... 16) — это порт данных, управляемый по двум входам вы- бора SO, S1 и парой входов разрешения Z-состоя- ния Е01.2. При SO = SI = Н порт работает на вы- ход. Если S0 = S1 = В, провода порта принимают слово. Сброс R = Н — асинхронный. Вход DSO при- нимает последовательное слово слева (сдвигаем вправо), DS7 — прием справа (сдвиг влево). Если использовать последовательные выходы кода Q7 (справа) и Q0 (слева), можем соединять регистры ИР24 последовательно. Для кольцевой рециркуля- ции соедините Q7 с DSO (или QO с DS7). К531ИР24 потребляет 60 мА, работает на частоте 35 МГц, выход принимает стекающий ток 30 мА. Существу- ет вариант 74LS323 снабженный входом синхрон- ного сброса SR = В по +перепаду на входе С. Вх/Вых 7 Вх/Выхб Вх/Вых 3 Вх/ВыхО Вх/Вых 5 Вх/Вых 4 Вх/Вых2 Вх/Вых1 SO SI DSO R С Е01 ЕО2 К531ИР24 so — sb _ 2 Е01 — 19 — S1 Ё02 — 18 . — DS7 Вх/Выхб — 22 Q7 5 Вх/Вых4 — К531 ~ Вх/Вых 7 , 6 Вх/Вых2 — ИР24 15 —. Вх/Вых 5 Вх/Вых 0 — 22 Вх/Вых 3 ооЛ 22 Вх/Вых 1 - 9 R — Нс t 10 22 DSO Режимы работы регистра К531ИР24 Режим работы Вход Выход Вход SR ДЛЯ 74LS323 R с so S1 DSO DS7 Вх/ Вых Q0 Внутри регистра Q1-Q6 Q7 Сброс Н X X X X X X Н Н-Н Н н В t в н н X X Н Ц0-Ц5 Я6 в Сдвиг вправо В t в н в X X В 4о-45 Об В В t н в X н X 41 42-47 в Сдвиг влево В t н в X в X 41 42-47 в в Хранение в т н н X X X 4о та 47 в Параллельная в t в в X X н Н Н в загрузка в t в в X X в В В-В В в 38
Управление выходами регистра К531ИР24 • Режим работы Вход Выводы Вх/ВыхО— Вх/Вых7 ЕО1 ЕО2 SO S1 Qn(B регистре) Считывание из регистра Н н и н Н н н н н н X X X X Н Н Н В Н В Н В Выходы В данных Загрузка в регистр X X в в Оп=Вх/Вых Входы данных Разрыв выводов Вх/Вых X В В X X X X X X X Z Z 20| 19| 18| 17| 1б| 15| 14| 13| 12| 11| 74LS323 l| z£ з£ 51 б| 7I Bl 9l_io| SO EO1 £02 Ю ™ О QO SR-i* X X X X 3 3 3 3 m cq co co > > > > CQ CO CO Cd 56 Si DS7 Q7 m m m m C OSO К533ИР25 — регистр сдвига. Выходы Q0 ... Q3 с Z-состоянием, если ЕО = Н. Выход Q3 не комму- тируется, от него берут сигнал на последователь- ный вход DS следующего регистра. Этот вход, вхо- ды DO ... D3 и РЕ — синхронные. При РЕ=В за- грузка параллельная, РЕ=Н — синхронная после — перепада на С Сброс в ноль при R = Н — асин- хронный. Ток потребления — 34 мА, ток выхода — 30 мА, тактовая частота — 30 МГц 5В QO Ql Q2 Q3 Q-з с ЁО 1б| 15| 13| »2| Щ 10| 9| il г! з! «I si б! Й OS DO 01 02 03 Режимы выходов регистра К533ИР25 с тремя состояниями Режим работы Вход Выход ЕО Состояния выходов QnB регистре QO- 03 Q3 Считывание Н н н Н из регистра н в в в Разрыв в в Z н выходов в в Z в К533ИР25 К533ИР25 Состояния регистра К533ИР25 Режим работы Вход Выход R с РЕ DS Dn Q0 Q1 Q2 Q3 Сброс Н X X X X Н Н Н Н В 1 н В X Н qo qi qz Сдвиг вправо В н н X В qo qi qz Параллельная В 1 в X н Н Н н н загрузка в 1 в X в В В в в К555ИР27 — регистр сдвига на 8 разрядов, отклик на+перепад С. Потребление — 27 мА, так- Параллельная загрузка синхронная при РЕ=Н как товая частота до 30 МГц. 5В Q7 D7 D6 Q6 Q5 05 04 С Состояния регистра К555ИР27 Режим Вход Выход С РЕ Dn Q0-Q3 Загрузка 1 Т н в. В Загрузка 0 t н н н Хранение т в X Без изменения X В X Тоже 39
5В IRES! Q7 Q6 Q5 Q4 lb| 1л| 1з| 12| 11| ю| э| К555ИР30 Регистр накопления 1| г| з| д| б| б| в SO si S2 QO Ql Q2 Q3 Адрес КР1533ИРЗЗ — 8-разрядный регистр отобра- жения без входа С. Совпадает по цоколевке и при- менению с микросхемой К555ИР22. Ток потребле- ния 10 мА. 5В QO Ql Q2 Q3 Q4 Q5 Q6 Q7 ₽£ 20| 19| 1б| 171 1б| 15| 141 13| 121 11[ K1533MP33 11 г1 з| д| s| el ?| s| si ioj ЕО DO Dl D г D3 D4 D5 D6 D7 К1533ИР34 содержит два_ четырехразрядных регистра без входа С. Сброс R при уровне Н. Вы- ходы разомкнутся в Z-состояние, если ЕО = В. За- пись в регистр разрешена, если РЕ = Н. Потребле- ние — 10 мА, задержка записи — 40 нс. 5В И QO QI Q2 Q3 • QO Q1 02 03 РЕ R 241 2з| 221 21| 2о| is| jis| 1?| 1б| 151 141 1з| I КР1533ИР34 i 1 Регистр! I Регистр? I d ’I *1 si ‘I Н “I 91 ,о1 п1 ,г| R Ё5 DO Dl 02 D3 | DO Dl D2 03 П5 1 К555ИР35 — 8-разрядный регистр отображе- нияи сдвига со входом на С (вместо РЕ для ИРЗЗ) и R (вместо ЕО для сходного по цоколевке ИР23). Ток потребления 12 мА, задержка — 40 нс. ИР34 Режим Вход Выход Q ЕО РЕ R D Сброс Н X н X Н Запись Н В в в В Н В в н н Хранение Н н в X Qn_ Разрыв Z В X X X Z 5? Q7 D7 06 Q6 Q5 D5 D4 Q4 С 1Ц 1В| 17| .«| »| 1<| »| 12[ "| К555ИР35 П ,1 <1 si б| т| »| s| ю| ft QO DO 01 Ql Q2 02 D3 Q3 | КР1533ИР37 — 8-разрядный регистр отобра- жения/сдвига, сходный по цоколевке и таблице состояний с регистром ИР23. При статическом уровне С = Н или С = В и ЕО = Н, предыдущие дан- ные Qn в регистре сохраняются. Ток потребления — 12 мА. 5В QO Q1 02 Q3 Q4 Q5 Q6 Q7 С 20| 191 181 17 I 16 | 15 | 14| 13| 12 [ 11 | КР1533ИР37 1 I 21 3 | 7| Г] б] в| з| 1о| Ёб 00 01 02 03 04 05 06 07 ~* ИР34, но оба входа разрешения параллельной за- писи РЕ заменены входами тактовыми С. По 4-пе- репаду С бит данных В или Н вносится в регистр. Условия хранения как в ИР34. ИР37 Входы Выход ЕО С D Q Н г в В Н t н Н Н н/в X Qn В X X Z ИР38 Входы Выход ЕО R с о Q Н Н X X Н Н В г в В Н В t н н Н В н/в X Qn В X X X Z 40
12. ДЕШИФРАТОРЫ И ШИФРАТОРЫ Дешифратор принимает двоичный код на своих параллельных входах. Активным становится только один выход, номер котооого соответствует принято- му коду. Например, входной код 1001 выбирает выход с номером 9. Остальные выходы — пассив- ны. Шифратор принимает команду только по одно- му входному проводу (например, по девятому) и выставляет двоичный код на параллельных выхо- дах (здесь: выходов 4, код 1001). Входы шифра- тора сделаны приоритетными. Если по ошибке ак- тивизированы кроме входа 9 еще 5 и 3, то сраба- тывает приоритет старшего, девятого. Каналы 5 и 3 игнорируются Код на выходах: 1001. Дешифраторы (ИД) и шифраторы (ИВ) Серия Обозначение Номер микросхемы 1 3 4 5 6 7 10 14 18 1 2 3 К155 КМ155 К555 + + + + + + + + + + + + КМ555 К531 КР1533 КР1531 ИД + + + + + + * + + + К555 КМ555 ив + + 533 + 74 — 141 154 155 156 42 138 145 139 247 148 348 147 Состояния дешифратора К155ИД1 К155ИДЗ — преобразует полный двоичный че- тырехразрядный код в активный сигнал Н на выхо- де_с нужным десятичным номером. Два вывода ЁО и Е1_спужат для запрета приема кодов (при ЁО = В или_Е1 = Е) или для разрешения дешифрации, ког- да ЕО = El = Н. ИДЗ можно использовать как ком- мутатор-демультиплексор последовательных слов на любой из 16 выходов. Даем на входы АЗ... АО байт нужного нам адреса (1001 - это 9). Входы ЕО, Е1 (или оба) принимают последовательные сло- ва, которые появятся без инверсии на выходе (у нас: 9). Ненужный вход Е заземляем. Если входной байт АО ... АЗ генерируется асинхронным счетчи- ком, с помощью входов Е0,1 его можно синхрони- зировать, устранив ’’подмаргивание" выходных ин- дикаторов в ответ на ложные входные коды. Для К155ИДЗ ток питания 56 мА, задержки "вход — выход’’— 35 нс. Вариант К1533 потребляет пример- но 7 мА. 6 Зак 37326 41
Состояния дешифратора К155ИДЗ Вход Выход ЕО Е1 АЗ А2 А1 АО 0 1 2 — 13 14 1b Н н н Н Н Н Н в В В в в Н н н Н Н В В н В В в в Н н н Н В н в в н В в в Н н н Н в в в в в В в в Н н н В н н в в В В н в Н н н В н в в в в в в в Н н н В в н в в в в в в Н н н в в в в в в в в в Н н в н н н в в в в в в Н н в н н в в в в в в в н н в н в н в в в в в в н н в н в в в в в в в в н н в в н н в в в в в в н н в в н в в в в н в в н н в в в н в в в в н в н н в в в в в в в в в н н в X X X X в в в — в в в в н X X X X в в в — в в в в в X X X X в в в _ в в в К155ИД4 — два дешифратора 2-разрядного кода АО...А1. У них разные полярности входов разрешения (вход Еа — прямой, остальные — ин- версные). Оба дешифратора кроме обычного вклю- чения можно использовать как демультиплексоры (один вход Еа — четыре выхода Y1 ... Y4 или один вход Еа + ЕЬ — 8 выходов Y1 ... Y8) и как дешиф- ратор с 8 выходами: соедините Еа и Еь — теперь это вход старшего разряда А2 для входного слова, замкните Еь с Еа — вход разрешения. К155ИД4 по- требпяет 40 мА, вариант К555 — 10 мА. Время за- держки не бопее 32 нс. К555ИД5 — вариант ИД4, имеет выходы с ОК. 5® Ь> b Al Y8 1б| 15) 14| 13) 12) К155ИД4 ii "2i з1 л 5i 6i Е» Ё, AO Y4 Y3 Y2 Y1 Y7 ¥6 Y5 21L_!£LJL А1 АО ------*AJ -T-Lyi -L^-Y3 -LJ-Y4 ИД4 I 9 —I—— Y7 4-£y8 J Состожия дешифраторов К155ИД4 (дешифратор: два входа, четыре выхода; демультиплексор: один вход четъре выхода) Вход Выход Адрес Разрешение Данные АО А1 Ё. Еа У1 У2 УЗ У4 X X В X в В в в н Н Н в н в в в н В Н в в н в в в Н Н в в в н в в В н в в в в н в X X н в в в в 42
5В Ёь Ёь Al Y3 Y2 VI Y0 161 15 | 141 13 | 12 | 111 ю| э| Дешифратор 2 ОК ----------- К155ИД5 ------------------- Дешифратор 1 ок 4 *| з| 4| 5| б| ?| в| Ел Ёл АО Y3 Y2 VI ТО I Состояния дешифратора И Д4 (дешифратор: три входа, восемь выходов; демультиплексор: один вход восемь выходов) Вход Выход Адрес Разрешение или данные 0 1 2 3 4 5 6 7 ЬиЕь АО А1 ЁаиЁь У5 Уб У7 УВ У1 У2 УЗ У4 X Н Н н н в в в в X Н н в в н н в в X Н В н в н в н в В н н н Н н н н н В Н В В В В В В В в в н в в в в в в В В В Н В в в в в в в в в н в в в в в в в в в н в в в В в в в в в н в в в в в в в в в н в в в в в в в в в н К555ИД7 — дешифратор 3-разрядного_кода АО ... А2 в уровень Н на одном из 8 выходов: 0... 7. .Входов разрешение — три. Дешифрация происхо- дит при ЕЗ = В, El = Е2 = Н. Другое сочетание уровней дает В на всех выходах. Прибор работает как мультиплексор с 8 выходами: данные поступа- ют на любой вход Е, на остальные — даем уровень разрешения выхода, выбранного байтом адреса АО ... А2. Неполный, на 24 выхода дешифратор 5- разрядного кода АО ... А4 получается простым сое- динением одноименных входов трех микросхем. Вход АЗ — общий провод Е, А4 — Е. Оставшийся вход Е — разрешение. Добавив инвертор, получим от четырех ИД7 32 выхода. К531ИД7 потребляет 74 мА, К555ИД7 — 10 мА. Время задержки — 12 и 39 нс соответственно. 2 3 ?— У— 5 2. 8 s СП СП ё сг> ё 2*58 —АО 21 А1 12 — АЗ 11 _ — 9 10 _ — 8 9 - Состояния дешифратора И Д7 Вход Выход Е1 Е2 ЕЗ АО А1 А2 0 1 2 3 4 5 6 7 Н X X Н Н Н н н н н н X В X Н н н н н н н н X X Н В В В В В В в в X X X Н В Н В Н В Н В X X X Н н в в н н в в X X X Н Н Н Н В В В В В В В Н В В В В в в в в в в в н в в в в в в в в в в в н в в в в в в в в в в в н в в в в в в в в в в в н в в в в в в в в в в в н в в в в в в в в в в в н в в в в в в в в в в в н К555ИД6 и К555ИД10 преобразует двоично- десятичные разряды АО ... АЗ (1 - 2 - 4 - 8) в низ_- кий уровень на одном из десяти выходов 0... 9. Избыточные коды дают на всех выходах_уровни В. Можно дешифрировать 3-разрядный код АО ...J2 на 8 выходов. Вход АЗ = Н разрешит выходы 0... 7. Если на АЗ подать последовательный поток дан- ных, он появился на одном из 8 выходов, выбран- ном адресом АО... А2 (схема мультиплексора). Вы- ходы К155ИД10 имеют открытые коллекторы. Ток питания — 70 мА, стекающий ток — до 80 мА (сте- кающий ток для К555ИД6 — 8 мА, питания — для К555ИД6 и ИД10 — 13 мА). Для всех вариантов задержка исполнения не более 50 нс. 43
ЗиИДЮ Вход Выход АЗ А2 А1 АО 0 1 2 3 4 5 6 7 8 9 Н Н Н Н Н н н н в в Н Н Н Н В В в в н н н н в в н н в в н н н в н в н в н в н в н в в в в в в в в в в н в в в в в в в в в в н в в в в в в в В В В Н В в в в в в В В В В Н В В В В в В В В В в н в в в в в в в в в в н в в в в в в в в в в н в в в в в в в в в в н в в в в в в в в в в н в в в в в в н н в в в в в в н н в в н в н в н в Все уровни высокие К531ИД14 — в отличие от ИД4 и ИД5 содержит два дешифратора с независимыми адресными_вхо- дами АО...А1. Разрешен выбор выхода, если Е = Н (это вход данных в режиме мультиплексора на 4 выхода). Потребление: К531ИД14 — 90 мА, КР1531ИД14 - 15 мА. К555ИД18 — декадный дешифратор двоично- десятичного кода DO ... D3 На семи его выходах а... f появляется семисегментый код зажигания цифр одного разряда от 0 до 9. КМ555ИВ1 — приоритетный шифратор. Прини- мает активный сигнал Н на один из входов II ... 18, генерирует 3 разрядный байт АО...А2. Высший приоритет у входа 18 Шифрация разрешена, если EI = В, на всех выходах — В Выходы GS (группо- вой сигнал) и QF. (разрешение от выхода) необхо- димы для соединения нескольких шифраторов. КМ555ИВ1 потребляет 60 мА, задержка до выхода А — 19 нс, до выхода GS — 30 нс. 165В - QE — GS 13 . — И 12 . — 13 — 12 l°il — АО К533ИВ2 44
Состояния шифратора КМ555ИВ1. Вход Выход Е1 11 12 14 13 15 16 17 18 GS АО QF А1 А2 X в X X х . 12 АО К555ИВЗ 18 19 11 2| з1 5| б) ?| 8j_ И 15 16 17 18 А2 А1 5В АЗ 13 12 11 19 АО 161 15| 14| 131 12| 11| 101 9| в н н н н н н н н н х В х X X X X X Н В х В х X X X X Н В В х В х х х х Н В В В х В х X X Н В В В В х В х X Н В В В В В х В х Н В В В В в в х В Н В В В в в в в в в н н н н н н н н в в н в н в н в н в в в н н в в н н в в В В н н н н в в в в В Н В В в в в в в в К555ИВЗ — декадный шифратор, он дает на четырех выходах АО ... АЗ двоично-десятичный код от 0001 (11 = Н) до 1001 (19 = Н). Десятого входа Ю для кодирования нуля нет. Код на выходе 0000 (ВВВВ) появится, если на всех девяти входах уров- ни В. К555ИВЗ потребляет 70 мА, время задержки до 20 нс. Состояния шифратора К555ИВЗ Вход Выход 11 12 13 14 15 16 17 18 19 АЗ А2 А1 АО в в в в В в В В В В В В в X X X X X X X X Н Н В В н X X X X X X X Н В Н В В в X X X X X X н В В В Н Н н X X X X X н в В В В Н н в X X X X н в 8 В В В Н в н X X X н в в В В В В Н в в X X н в в в в В в В В н н X н в в в в в в в В В н в Н в в в в в в в в в В в н 45
13. МУЛЬТИПЛЕКСОРЫ Мультиплексор — это коммутатор нескольких цифровых входов на один выход. Мультиплексор имеет входы выбора такого канала передачи. На- пример, для 16-входового мультиплексора, четы- рехразрядный код выбора 1001 соединит с выхо- дом вход №9, который будет транслировать на вы- Мультмллексоры ход последовательные цифровые байты. Остальные 15 входов не выбраны и с выходом не связаны. Способность выбирать канал связи отра- жается в двойном названии таких микросхем: се- лекторы-мультиплексоры . Серия Обозна- чение Номер 1 2 5 7 11 12 13 14 15 16 17 1В 19 20 К155 + + + + КМ155 + + + К555 + + + + + + + + КМ555 КП + + К531 + + + + + + + + КР1533 + + + + + + + + + + + КР1531 + + + + + + + 74 — 150 153 152 151 257 253 298 258 251 157 353 158 К155КП1 — 16-канальный цифровой переключа- Общий для всех каналов И/ИЛИ вход И вместе с тель с инверсным выходом. Входы адреса SO... S3 инвертором образует вывод разрешения Е. Если Е = — могут принять 16 четырехразрядных байтов, что- В, уровень на выходе Y = В. При Е = Н коммутации бы выбрать независимо любрй канал от II до 116. разрешены. Ток потребления 68 мА, время выбора ,_________________________, канала 35 нс. 9 Ё 8 II 12 13 14 112 113 IM- US' 116 • 15 16 17 18 19 - 110 lib & & & Т & & Т Т Т Т & т & &" т ю КП1 12 — 8 11 — _ 9 Ei- 10 Y — 11 S3— 12 18 — 172 № 2 4 15 — 14-1 6 13— КП1 Вход Выход Y Выбор Разрешение Ё S3 S2 S1 SO 23 X X X X В В * 22 н н н н Н 11 — по 21 н н н в Н 12 — 111 н н в н Н 13 20 — 112 н н в в Н 14 74 19 — 113 н в н н н 15 СП — сл ж Z3 18 —114 н в н в н 16 17 — 115 н в в н н 17 16 н в в в н 18 — 116 * 15 в н н н н 19 — SO 14 в н н в н 110 —SI 13 в н в н н in —S2 в н в в н 112 в в н н н 113 в в н в н 114 в в в н н 115 в в в в н 116 15'so 14 S1 13 S2 11 S3 5 В Ь SO Иь 161 15 | 14 | 13 | 13ь 12ь Пь Ть 12 | 11 | 10 | 9 | К155КП2 Ё. S1 14. 13. К531КП2 — два четырехвходовых мультиплек- сора с общими входами выбора_50, S1, но с соб- ственными входами разрешения Е. Выходы Y — без инверсии. Если Е = В, коммутация не разрешается, на выходе Y = Н. Микросхему можно использовать как два функциональных генератора переменных 1,А и 1,В. Ток потребления — 70 мА. 46
КП2 Выбор входа Вход данных so S1 Ё 11 12 13 14 X X В X X X X н н Н н X X X н н н в X X X в н н X Н X X в н н X В X X н в н X X н X н в н X X в X в в н X X X н в в н X X X в Выход Y Н Н В Н В Н В Н В К155КП5 — согласно числу входов 8 считать половиной от КП1. Управляется восемью трехразрядными байтами SO...S2. Выход Y с ин- версией. Ток потребления 43 мА, выходной стека- ющий ток — до 20 мА. Входа Enable — нет. К155КП7 — в отличие от КП5 есть вход разре- шения Е и дополнительный комплементарный вы- ход Y. Если Е = В, коммутации нет и Y = Н! a Y = В. Токи потребления: 12 мА (К1533), 10 мА (К555), 48 мА (К 155) и 70 мА (К531). КП7 Вход Выбор Разрешение S2 S1 so Ё X X X В н н н н н н в н н в н н н в в н в н н н в н в н в в н н в в в н Выход Y Н 11 12 13 14 15 16 17 18 Y "в и 12 13 14 15 16 17 18 । ЕО 12а Yd 11с |2С ус 1б| 151 121 13) 12) 11) 10) 9| К555КП11 1| 2| 3| 4| 5| б| 7| 8| S II. 12, Y. 11ь |2ь Yb -L. 5В — . ЕО Ila I2d Yd llc I2C Yc 16| 15( 14| 13| 12| 11| 10| 9 | К555КП14 1 | 2| 3| 4j 5| 6| 7| Bl s 11. 12. Y. lib 12ь yb _L 15 — □ 2 12- II - _ 6 V - 50 51 52 25 5В I3 16 2? 17 ” 18 Iе so 2 51 8 — 52 КП5 Выбор входа Вход данных Выход Y S2 S1 SO 11 12 17 18 Н н н н н н н н в в в в в в в в н н н н в в в в н н н н в в в в н н в в н н в в н н в в н н в в н в X X X X X X X X X X X X X X X X Н В X X X X X X X X X X X X X X X X X X X X X X X X н в X X X X X X X X X X X X X X X X н в в Н В Н В Н В Н В н в н в н в н К531КП11 и К531КП14 содержат по четыре одинаковых двухвходовых мультиплексора. КПП имеют выходы Y без инверсии, КП 14 — с инвер- сией. Вход разрешения ЕО — общий; все выходы разомкнуты Z, если ЕО = В. Вход управления S — одноразрядный. Сходный мультиплексор имеется внутри регистра ИР20. Наибольшее потребление — во время состояния Z: 99 мА (К531), 23 мА (КР1531). 19 мА (К555) и 14 мА (КР1533). Время задержки: 7, 5... 9, 18 и 25 нс соответственно. 47
КП11/КП14 55.-1 Вход Выход ЕО S 11 12 для КПП.У для КП14:У В X X X Z Z Н Н Н X н В н Н В X в н н В X Н н в н В X В в н S1 — 16 — 5B -ЕОь 15 10 — 11ь 13 — I4b 11 — I2b -so и. 2 12 — 13ь 12 । 13. К555КП12 — сходен с мультиплексором КП2, од- нако выходы переходят в Z-состояние, если ЕО = В. Сигналы ЕОа и ЕОь не должны перекрываться по времени. Ток питания 14 мА (К555) и 12 мА (КР1533). Время перехода в Z-состояние 23 и 21 нс соответственно. КП12/КП17 К555КП13 — мультиплексор с регистром памя- ти. Сходен с двухпортовым регистром К531ИР20. ЕОь Иь 13ь 12ь Иь SO S1 и. 13. 101 Порт А или В выбираем сигналом Н или В, давае- мым на вход S. Байт А или В попадает в регистр синхронно с -перепадом на входе С. Ток потреб- ления 21 мА, время отклика от сигнала до выходов Q0 ... Q3 - 32 нс. Ы >! U ь2 1*3 1*3 м М QI Q2 Q3 ОИ IB 17 16 15 14 О 12 II К555КП15 — модификация КП7, снабженная выходами с Z-состоянием (при ЕО = В), что позво- ляет объединить (мультиплексировать) до 128 вы- ходов КП15.Получим 1024 цифровых входа. В схе- ме генератора, дающего 128 импульсов, между импульсами ЕО = В, следует предусмотреть защит- ные паузы, чтобы не было взаимных замыканий выходов Y. Токи: потребления — 85 мА, выходной - 40 мА (К531), 12 и 30 мА (К555\ 10 и 20 мА (КР1533). Задержка до выходов Y + Y: (12 + 7) нс, (28 +15) нс и (10 + 4) нс по порядку этих серий 48
КП 15 Выбор Вход Выход КП15 ЕО S2 S1 so 11 12 — 17 18 Y Y В X X X X X — X X Z Z Н н н н н X — X X в н Н н н н в X — X X Н в Н н н в X Н — X X В н Н н н в X В — X X Н в н н в н X X — X X В н н н в н X X — X X Н в н н в в X X — X X В н н н в в X X — X X н в н в н н X X — X X в н н в н н X X — X X н в н в н в X X — X X в н н в н в X X — X X н в н в в н X X — н X в н н в в н X X — в X н в н в в в X X — X н в н н в в в X X — X в н в _ 1 ЕО.----- 2 S1 ---- 14. J. B.J. 12. Л 6 II._____ 8 16 ----- 5B 15 _ ---- ЕОь 14 -----SO 21 I4b 2£_i3b 11 ----12b 10 ---- lib 9 _ -----Yb EI 13b is 12b ft lib ж 1ь MUX в 9 Y. КР1533КП17 — вариант КП12 с инверсными выходами. Ток потребления — 12 мА, задержка — 13 ... 18 нс по информационным входам и 20 ... 25 нс по входам выбора. КР1533КП16 и КП18 - сходны с КПП и КП14 соответственно, однако вместо режима Z-выходов, организовано входное разрешение EI. При EI = В входы индифферентны, выходные уровни зафикси- рованы. Ток питания 11 мА, задержки — 15 ... 25 нс в разных 12.21 s_L 11,2, 12.1 Y.J* 11ь— 12ь— 16 ---- 58 15 _ ---- El режимах. КП16 КП18 Вход Выход EI S 11 12 КП16У КП18У в X X X Н В н н н.в х,х н.в в.н н в х,х н.в н,в В.Н 14 ---- lid 13 — 12 а 12 ---- Yd 11 — Ис 10 — 12c 12. 10 He- ll I2b 6 12.1 И. г Yb- 8 9 — Yc мих 15 so SI ----- SO ---- SI КП17 14 Id г— $ 4 El |М5С El | MSB lb 1— S ф н |msa s s КП16 g MSP 2 SO S1 Ё. SI -1 Yd 12 s—- 2158 21.58 15 _ ---Еь КР1533КП19 — аналог КП12, но раздельные входы разрешения при Еа = Ев = В дают y = В. Микросхема потребляет 10 мА, задержки от 13 до 24 нс в зависимости от режимов. 12. 11, J. и. _1 12. -2 Y.-1 21 iid 2112d в. Л. 12. 2 14 — SO 21.4b Л. 13Ь 12b s lid 12. lid — 12с -£ I2d — s — 2 Yd — II. Л. ip “ь 7 Зал 37326 lib Л § 12 3 — Td 6 11. — 16 58 21 Y. — 11е — 12ь illlb 5 <1 12 lib •— ._ 14 л. 12. Ли. Yb — 12ь— В 9 - — Yb 12 „ — lib Л- 12b 10 v — Yb lc Y. Л 12, Вход Выход S с Yd Yc YB Ya н t li li h li в t 1г 1г >2 <2 X н Защелка К555КП20 — соответствует КП 13, однако байт от порта II или 12 защелкивается в регистр ♦пере- падом на входе С. Ток потребления 13 мА, задер- жки — 32 и 27 нс при включении и выключении. 49
14. СУММАТОРЫ Сумматор — складывает числа, представ- ленные в двоичном коде. Пример — сложение двух одноразрядных чисеп: 0 + 0 = 0, 1+0=1, 0+1 = 1 и 1 + 1 = 10 (т.е. 2). Это число двухразрядное, состоит из суммы и старшего разряда — едини- переноса Сп+1. Простейший генератор едини- схеме сумматора по модулю два т.е. к исключаю- щему ИЛИ. Полный сумматор имеет вход приема единицы переноса Сп от предыдущего каскада (п — число ной код (т.е. 3). разрядов в суммируемых словах). Выход- полного одноразрядного сумматора — 11 цы цы Сп+1 — это элемент И, его надо добавить к . Слово А(5яроводов) А4 АЗ А2 А1 АО В4 I АЗ I ВЗ i_L А В -n+i Сп * Слово В(5лроводов) В4 ВЗ В2 В1 ВО В2 Полные многоразрядные двоичные сумма- торы составляются из одноразрядных. Способов выполнения сложения два: параллельный и после- довательный. В параллельном пульсирующем сумматоре каждый разряд АО.ВО ... А4,В4 прохо- А В -л+1 Сл •— |ао I во А в Сл+1 Сп "• г5 Сл+1 Выход г Гз г 12 ь° 1° дит через свой одноразрядный блок. Получаются суммы: У 0 ... У 4. Единицы переносим влево от Вход переноса О блока к блоку от Сп к Сп + 1. Максимальная сумма — это 5+1, т.е. шесть единиц (эквивалент 63). л Время получения этой суммы определяется скоро- переноса Выходы суммы (5 проводов) стью переноса. Достоинство: несложный способ наращивания. Применив схему ускоренного пере- носа СУП, получим разряд Сп одновременно с суммой. Скорость суммирования здесь наиболь- шая. Сумматор синхронный, последовательный со- стоит из трех регистров, одноразрядного сумматора и триггера задержки. Суммируемые байты А и В загружаем в регистры. При поразрядном сдвиге происходит поразрядное суммирование. Единица переноса запоминается на один такт и добавляет в регистр суммы разряд Сп + 1. Достоинство: фикси- рованное время суммирования. 50
Сумматоры ТТЛ сные входы А* и В*, входы управления А** и В**, а также принимает разряд переноса Сп. Выход пе- реноса — инверсный Сп + 1. На основе ИМ1 мож- Состояния сумматора К555ИМ1 вспомогательными входами. К155ИМЗ — полный сумматор двух 4-разряд- ных байтов с приемом единицы переноса Сп. На этом кристалле есть СУП, поэтому на выходах раз- ряды суммы и переноса появляются одновременно. Для сокращения времени сумматор можно исполь- зовать как с высокоуровневой логикой (В = 1), так и с низкоуровневой логикой (Н = 1). Цифровые ре- зультаты суммирования после переименования уровней окажутся различными. Если В = 1. необхо- Состояния сумматора К555ИМ2 Вход Выход АО во Al В1 На Сп напряжение На Сп напряжение низкого уровня высокого уровня So Сп+1 10 S1 Сп+1 Н н н н н н н В н н В н н н в н н Н в н Н в н н в н н Н в н В в н н н в н В в н Н н в н н в н В в н В н в н в в н Н н в Н в в н в в н н н в В в в н н н в в н в н н н в н в н в в н в н н в в в н н н в н в н в в в н н н в в в н в н н в в н в н н в в н н в в н в в н в в в н в н в в н в в в в н в н в в _в_ ja_ _И_ _В_ в. димо дать Сп = Н. ВЗ £з С, во АО 16| 151 И| 131 12| 11 | 10| 9 I К155ИМЗ 1 I 2 I 3 I 4 I 5 | 6 I 7 I В I A3 £ 2 А2 В2 5В £ 1 Bl А1 Примеры суммирования чисел микросхемой К155ИМЗ Данные на входе Сп АО Al А2 АЗ ВО Bl В2 ВЗ 20 21 £2 S3 Сп+1 Цифровой результат Электрические уровни Активный уровень В Активный уровень Н Н 0 1 Н В Н В 0 10 1 10 10 В Н Н В 10 0 1 0 110 в в н н 110 0 0 0 11 в 1 0 10+9=19 Сп+5+6=12 51
К555ИМ7 — четыре последовательных сумма- тора-вычитателя. Цепи сброса CLR и тактовая С — общие. ИМ7 применяется совместно с перемножи- телем К555ИП9. Вход S/A (subtractor/adder т.е. вычитатель/сумматор) — управляющий; А, В входы данных; У — последовательный выход суммы. Асинхронный сброс происходит_без импульса С при CLR = H, вычитание — при S/A = B, а суммирова- ние, когда S/А = Н. После сброса по 4-перепаду С на внутренние входы переноса заносятся_уровни Н (для последующего суммирования, S/А = Н или для начала вычитания, S/А = В). Положительным перепадом С активизируется триггер переноса (см. последовательный сумматор). Вслед за каждым тактом С на -выходе появляется результат сло- жения А, В и внутреннего предыдущего переноса. Состояния микросхемы К555ИМ7 Режим Вход Внутренний вход переноса Ш.1ход 2n+L (после Т) г CLR S/A А в с А Сп+1ж (после Т ) Сброс н н X X X Н н II II в X X X В в н Сумми- рование 9 10 в II II II f н II II в и н н t в н в в н н в т II н в в II II в т в в н в и в н t н н в в н в и f в в н в II в в t II в н в II в в f в в в Вычи- тан ис в в II и t II II в в в II II т в в II в в н в t II II II в в II в t в II в в в в н t II в н в в в н т в в в в в в в t н II в в в в в в в и 5“ 24 (S/АЯ 84 А4 АЗ 83 ($/МЗ £ Э OR 5В А В Си C„i £ Ч 13 | 12 | 11 1 101 91 81 Сумматор 2 —|---------- К155ИМ5 -------- Сумматор 1 "if 21 3 I «I 51 б! 7J А В Сп Сп., £ JL 5.В В2 А2 X2 АЗ ВЗ 2 3 С„.1 1б| 15 1 131 12| И| Ю1 *1 I К555ИМ6 11Г г| зГ/1 5Гб1 7| вТ' 2 1 Bl Al J О АО ВО с„ *** К155ИМ5 — два независимых одноразрядных сумматора. Из нескольких ИМ5 можно собрать многоразрядную линейку параллельного суммиро- вания. К155ИМ6 — сумматор двух четырехразрядных слов. Как и сумматор И М3 можно использовать с высокоуровневой или низкоуровневой логикой. Он потребляет ток 34 мА, перенос появляется через 17 нс, разряды — через 24 нс. 52
Состояния микросхемы К555ИМ7 Пример. В строке 9 таблицы: А = В, В = В. Сп = Н. Результат 1 + 1 + 0= 10. Отображение: пере- нос Сп+1 = В (т.е. 1), сумма п+1) = Н (т.е. 0). В строке 10: А + В + Сп = 1 + 1 + 1 = 11. Ре- зультат: Сп + 1 = В=1, п + 1) = В = 1, т.е. 11. К555ИП9 — цифровой перемножитель 8-раз- рядного множимого ХО ... Х7 поразрядно (по одному биту) на последовательное слово-множитель, по- ступающего на вход Y. Результат копится внутри в 8 триггерах-защелках. При сбросе даем CLR = Н, входы X готовы к приему байта-множимого. Для перемножения даем CLR = В, открывается на при- ем вход Y, множитель подается, начиная с млад- шего разряда. Произведение X (параллельное сло- во) на Y (поток) поразрядно появляется на выходе + 1) после каждого +перепада С. При пораз- рядном перемножении слово X (m-разрядов) на Y (п-разрядов) (сумма) содержит (т+п) тактовых +перепадов С. Вход М (mode) позволяет изменить режим работы. Состожия микросхемы К555ИП9 Вход Внутренний сигнал Y-l Выход 2 Функция CLR С Xi Y Н X Данные X Н Н Загрузка нового множимого. Сброс регистров суммы и переноса В t X H Н Qn+i Сдвиг в регистре суммы В t X H В Qo+i Прибавление множимого к сумме в регистре и сдвиг В t X В н Qn+i Вычитание множимого из суммы регистра и сдвиг В t X В в Qn+i Сдвиг в регистре суммирования 15. ОПЕРАТИВНЫЕ И ПОСТОЯННЫЕ ЗАПОМИНАЮЩИЕ УСТРОЙСТВА Серия Обозначение Номер микросхемы 1 1 2 3 3 5 6 7 8 9 26 К155 КМ 155 К531 РУ + + + + + + + + К155 РП + + К155 ПР + + К555 ИР + 74 — 81 170 89 84 172 130 184 185 189 289 670 К155РУ1 и К155РУЗ — статические ОЗУ на 16 , бит. Это матрицы триггеров 4x4. Нужный триггер выбирается уровнем В, поданным одновременно по А2 ~ адресам ряда А и колонки В. Ноль записывается А| - по входу W0, единица — W1. РУЗ отличается sb—£ двойными входами W. Для считывания фиксируем Bi 2 нужные адреса А и В. Содержимое ячейки появпя- _б ется на отдельных выходах R0 и R1 с открытыми 7 коллекторами. вз ” К155РУ1 А4 -1 24А4 дз 2 - W1 а? - — R1 А1 Л — ro 5е_1 bi 6 -WO В2 2 — В4 ВЗ 2 К155РУЗ 26w>a 2?WiB - ri 1? RO 12 11 WoA JPwoB 2. 64 53
DI QI 02 Q2.D3 Q3 04 Q4 К155РУ2 — ОЗУ на 64 бит без разрушения со- держимого памяти при считывании. Матрица имеет 16 рядов и 4 колонки и снабжена 4-разрядным де- шифратором 16 адресов _выбора слов от первого до 16-го. Выходы Q1... Q4 с ОК, слово на этих выходах имеет инверсный код относительно запи- санного в памяти. Для считывания: фиксируем байт адреса А1 ... АЗ, даем WE = В, а на вход вы- бора кристалла CS = Н. Для записи: готовим байт D1 ... D4, устанавливаем WE = CS = H. Чтобы не было ложной записи при считывании, уровни на входах D надо зафиксировать перед сменой уров- ней WE и CS. К155РУ2 потребляет ток 100 мА. Ток ОК - 24 мА. К155РУ5 — матричное ОЗУ (256 слов х 1 биг) имеет 16 рядов х 16 колонок одноразрядных яче- ек. Любой из 256 адресов с помощью дешифрато- ров формируется двумя байтами Al ...А4 (выбира- ем ряд) и В1 ... В4 (выбираем колонку). Вход запи- си и выход последовательные DBx и Y. Входы CSl.2,3 открывают доступ к содержимому матрицы памяти. Запись в выбранную ячейку разрешена, если WE = H. Состояния ОЗУ К155РУ5 Вход Выход Y Режим CS1 CS2 CS3 WE Dex в X X Н Н Н X В X Н Н н X X н в в в X X X Н Н В X X X н в X В В В В В Овьк Ячейка не выбирается То же » Запись 0 в ячейку Запись 1 в ячейку Считывание данных из ячейки, выбран- ной адресом КР531РУ8, РУ9 — статические ОЗУ на 64 бита: байт DO... D3 можно записывать по 16 адресам АО ... АЗ. При CS = В кристалл выбирается. Чтение: Состояния ОЗУ К155РУ2 Режим работы Вход Выход Qn CS WE Dn Запись н н Н Н н в В н Считывание н В X Dn Запрет в н н В записи в н в В Отключение выходов в в X В А1 — _ 2 CS — WE — 4 D1 — _ 5 Q1 - D2 — Q2 — 8 165В 1* А2 1%3 13А4 12 — 04 - Q4 — 03 9 _ -Q3 байты DO ... D3. Выходы Q0 ... Q3 у РУ8 переходят в Z-состояние. Выходы РУ9 — с открытыми коллек- торами. 54
К155РП1 — матрица памяти; 4 байта х 4 бита, содержит 4 файп-регистра. Такая организация по- зволяет независимо и одновременно одно слово записывать, а другое — считывать. Слово D1 ... D4 хранится в одной из четырех вертикальных колонок и записывается параллельно. Байт адреса записи WA... WB имеет четыре состояния, каждое из них разрешает одному из четырех столбцов D-тригге- ров защелкнуть байт D1 ... D4. В это же время можно выбрать любой другой файл байтом незави- симого адреса считывания RA... RB и отобразить его содержимое на выходах Q1... Q4 (через внут- ренние мультиплексоры). Данные появляются со- гласно коду адреса С1Б1 — слово 1, бит 1 ... С4Б4 — слово 4, бит 4. Если WE = H разрешена Выбор режимов считъвания из памяти К155РП1 запись байта D1 ... D4. Он читается на выходе в этот момент в прямом коде. Предыдущий код — Q0. При WE= В запись запрещена. Для считыва- ния даем RE = Н и нужный адрес колонки RA ... RB. Мультиплексоры выбирают выходы нужной колонки. При RE=B на всех выходах Q = B. Выходы Q1 ... Q4 с ОК;можно соединять непосредственно до 256 микросхем РП1. Получим 1024 байта по 4 бита. Шину каждого выхода Q1 ... Q4 надо снабдить оконечным коллекторным резистором. Можно па- раллельно соединить входы разрешения и адреса- ции п-микросхем, за счет чего увеличится длина байта (D1 ... D4) х п. К155РП1 потребляет 150 мА, наибольшее время задержки от D к Q — 45 нс. 02 — 03- 04 1 R* 2 Q4 - Q3- 8 - RE — Q1 - Q2 Состояния ОЗУ К155РП1 при считъвании Вход считы- вания Выход RB RA RE Q1 Q2 Q3 Q4 Н н в в х Н В н в х н н н н в С1Б1 С2Б1 СЗБ1 С4Б1 В С1Б2 С2Б2 СЗБ2 С4Б2 В С1БЗ С2БЗ СЗБЗ С4БЗ В С1Б4 С2Б4 СЗБ4 С4Б4 В ИР26 Чтение RE=H Q=H/B Запрет RE=B Z £5В 15 - D1 14 — WA 13 — WB 12 __ — WE Режим работы Вход Выход Qn RE Внутренняя защелка Считывание Н н Н данных Н в В Запрет считывания В X В Состояния ОЗУ К155РП1 при записи Выход записи Слово WB WA WE 2 Н Н В в х Н В Н В х Н Н Н Н В l=D 5о 20 20 S) 00 00 Q0 l=D 20 20 Q0 00 Q0 Выбор режимов записи в память К155РП1 Режим работы Вход Состояние внутренней защелки WE Dn Запись данных Защелкивание данных Н Н В Н В X н в Без изменения К555ИР26 — развитие РП1. Выходы у этой микросхемы имеют Z-состояние при RE = В. Допу- стимо объединить выходными шинами 128 микро- схем ИР26, что объясняется меньшей нагрузочной способностью серии К555. К555ИР26 потребляет ток 50 мА, время задержки — 45 нс. 02 ~ 03 — 3 04---- RB — RA — 6 Q4 — Q3 — 8 16 5В -11D1 -15-WA — WB — WE —RE -1° Ql — Q2 55
К155РПЗ — ЗУ на 16-разрядном файл-регист- ре. Организация: 8 слов х 2 бита. Регистр обслу- живают 3 порта: независимые — порт А (входной), порт В (выходной) и двухсекционный порт С, име- ющий единую адресацию. Каждый байт адресов: АаО ... Аа2, АЬО ... АЬ2, АсО ... Ас2 — трехразряд- ный. Это дает 8 адресов записи/считывания через каждый порт для 8 байтов. Можно пользоваться сразу тремя адресами: записываем DO... D1 че- рез порт A (WEa = Н, запись после +перепада С1), читаем Q0 ... Q1 через В (REb = Н, от такта незави- симо), через порт С читаем или записываем. Нель- зя одновременно по одному адресу записывать че- рез А и С, но через В и С можно читать два слова. _ Триггеры регистра — двухступенчатые. При WEa,c = H, триггер-мастер принимает бит D и пе- редает его помощнику по +перепаду С1. При WE = Cl = Н адрес менять нельзя, чтобы слово D не по- пало к мастерам по_новому адресу. При хранении перед приходом -С 1-перепада зафиксируйте WE = В, чтобы не сбить данные в регистре. К155РПЗ Режим Вход Выход Qn RE Адресовано в регистр Чтение Н н Н Н в В Отключение В X Z К155ПР6 и К155ПР7 — одинаковые кристаллы ПЗУ с программами взаимного преобразования двоично-десятичных (ДДК) и двоичных кодов (ДК). Организация кристалла 32 х 8 бит, дешифратор адресов — 5-разрядный (входы АО... А4). ПР6 по адресам АО ... А4 принимает ДДК с весом разрядов 1-2-4-5-10 и генерирует ДК. При RE = Н пре- образование разрешено, при RE = В — запрещено (на выходах Q0 ... Q4 - В). Выходы Q5 ... Q7 ис- пользуются для комплементарных кодов. Q0- Q1- 02- о<- Q5- Q67- 8 U65B -RE 14 - А4 13 - АЗ 12 - А2 11 — А1 —’ АО 9 - Q7 К155ПР6 и К155ПР7 Двоичный код рядного ДДК 5В Ад? 0й0 DcO WEc Ac? Acl AcO REc REb QbO QcO WEc Ac° Лс1 Ac2 REc К155РПЗ Режим Вход Адресовано в регистр С WE Dn Запись данных Хранение t Н Н В Н В X н в Без изменений ПР7 no AO ... A4 принимает 5-разрядный байт и преобразует его в ДДК, если RE=H. При RE = B выходы Q = В. На Q6, Q7 всегда В. Цифровой вес разрядов ДДК: QO = 1, Q1 = 2, Q2 = 4, Q3 = 5, Q4 = 10, Q5 = 20. Шестиразрядный преобразователь принимает ДДК и имеет вес МЗДР: 1,2,4,5 (младшие знача- щие десятичные разряды) и старших СЗДР: 10 и 20. Максимальная их сумма на входе 42, поэтому выходной двоичный байт 6-разрядный 2°... 25’ Младший разряд 2° = 1 можно давать напрямую. Код с большим числом разрядов получается каска- дированием ПР6. Вес ДДК старших микросхем на- до увеличить на декаду. Преобразователь ДК в ДДК на одной ПР7 принимает 6-разрядный код. Длину байта увеличивают каскадированием микро- схем ПР7. СЗДР МЗДР Шестиразрядный ДК 56
Логические уровни при преобразовании двоично-десятичных слов в ПЗУ К155ПР6 Номер слова Вход Двоичный код на выходе А4 АЗ А2 А1 АО RE Q4 Q3 Q2 Q1 Q0 0 Н н н н н н Н н н н н 1 Н н н н в н Н н н н в 2 н н н в н н н н н в н 3 н н н в в н н н н в в 4 н н в н н н н н в н н 5 н в н н н н н н в н в 6 н в н н в н н н в в н 7 н в н в н н н н в в в 8 н в н в в н н в н н н 9 н в в н н н н в н н в 10 в н н н н н н в н в н 11 в н н н в н н в н в в 12 в н н в н н н в в н н 13 в н н в в н н в в н в 14 в н в н н н н в в в н 15 в в н н н н н в в в в 16 в в н н в н в н н н н 17 в в н в н н в н н н в 18 в в н в в н в н н в н 19 в в в н н н в н н в в Любое X X X X X в в в в в в Состояния при преобразовании двоичного кода в двоично-десятичньм в ПЗУ К155ПР7 Номер слова Вход Двоично-десятичный код на выходе А4 АЗ А2 А1 АО RE Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 0 Н н н н н н В в н н н н н н 1 Н н н н в н В в н н н н н в 2 Н н н в н н В в н н н н в н 3 н н н в в н В в н н н н в в 4 н н в н н н В в н н н в н н 5 н н в н в н В в н н в н н н 6 н н в в н н в в н н в н и в 7 н н в в в н в в н н в н в н 8 н в н н н н в в н н в н в в 9 н в н н в н в в н н в в н н 10 н в н в н н в в н в н н н н 11 н в н в в н в в н в н н н в 12 н в в н н н в в н в н н в н 13 н в в н в и в в н в н н в в 14 н в в в н н в в н в н в н н 15 н в в в в н в в н в в н н н 16 в н н н н н в в н в в н н в 17 в н н н в н в в н в в н в н 18 в н н в н н в в н в в н в в 19 в н н в в н в в н в в в н н 20 в н в н н н в в в н н н н н 21 в н в н в н в в в н н н н в 22 в н в в н н в в в н н н в н 23 в н в в в н в в в н н н в в 24 в в н н н н в в в н н в н н 25 в в н н в н в в в н в н н н 26 в в н в н н в в в н в н н в 27 в в н в в н в в в н в н в н 28 в в в н н н в в в н в н в в 29 в в в н в н в в в н в в н н 30 в в в в н н в в в в н н н н 31 в в в в в н в в в в н н н в Любое X X X X X в в в в в в в в в 8 Зак. 37326 57
16. ЭЛЕМЕНТЫ ВЫЧИСЛИТЕЛЬНЫХ УСТРОЙСТВ Оцифровка несложных и дешевых приборов — задача не простая, поскольку хотя бы неполный микропроцессорный набор потребует применения нескольких периферийных БИС и устройств. Ариф- метико-логический алгоритм простого аппарата ча- сто выгоднее выполнить на наборе простых микро- схем: АЛУ, СУП сумматоры и другие. Микросхемь1,вьполняющиеарифме1тческиеоперации Серия Обозначение Номер микросхемы 1 2 3 4 5 К155 КМ 155 К555 КМ555 К531 КР1533 ИП + + + + + + + + + + + + + К555 К531 КР1533 СП + + + 74 — 85 180 181 182 280 К155ИП2 — 8-разрядная схема проверки чет- ности/нечетности суммы единиц байта. Это про- стой и самый эффективный способ обнаружить ошибку, возникшую при передаче по линии. Входов разрешения два: четный ЕЕ (even enable), нечет- ный ОЕ (odd enable). Логические уровни на них обязательно противоположные. Результат проверки четности/нечетности на выходах JE и^ 0 зависит от выбранной логики: 1 = В (высокоуровневая), 1 = Н (низкоуровневая). Если объединить (ЕЕ + ОЕ), на выходах получим (ЕЕ + ОЕ). Длину входного слова для одной микросхемы можно нарастить до 9 раз- рядов (два варианта: В=1 или Н=1). Несколько ИП2 можно каскадировать (16 и более разрядов). К155ИП2 потребляет 56 мА, задержка 50 ... 70 нс. Состояния в схеме проверки четности К155ИП2 Сумма высоких уровней на входах 10-17 Вход Выход ЕЕ ОЕ ^Лчетная) ^О(нечетная) Четная Нечетная Четная Нечетная X X В В Н н в н Н Н В В В н В Н Н В Н В Н В В Н Н В «мм 18 2° ЕЕ 14 *? 13 16 — 17 L 3 ЕЕ — ОЕ± 5 -5В 13 — ь Д214 2* 13 1°12 -’ll - 10 К531ИП5 — 9-разрядная схема проверки чет- ности суммы единиц входного байта. Входы 10 ... 18 образуют одинаковые узлы А, Б, В, имеются выхо- ды ^}Е (even, четная сумма) и ^0 (odd, нечет- ная). Число входов проверки можно наращивать. Десять ИП5 дадут 81 вход (это девять входных микросхем; десятая своими девятью входами со- бирает их решения 2Е1...^Е9 и формирует окончательное решение на своих выходах ЕЮ и £010). Двухступенчатая проверка занимает 40 нс. ИП5 потребляет 105 мА. 16 - 2 17 — _3 4 18 — 14 — 5В 13 - 15 12 — 14 2? 13 1°12 9 II — 10 58
Выбор функций АЛУ ИПЗ при низких активных уровнях Выбор функции Выходные данные при активных низких уровнях S3 S2 S1 so Логические функции (на входе М - напряжение высокого уровня) Арифметические операции (на входе М- напряжение низкого уровня) Сп=Н (без переноса) С п=В (с переносом) Н н н н А А-1 А н н н в АВ АВ-1 АВ н н в н А+В АВ-1 АВ н н в в 1 -1 _ 0_ н в н н А+В А+(А+В) А+(А+В)+1 н в н в В АВ+(А+В) АВ+(А+В)+1 н в в н АФВ А-В-1 А-В н в в в А+В А+В (А+В)+1 в н н н АВ А+(А+В) А+(А+В)+1 в н н в АФВ А+В А+В+1 в н в н В АВ+(А+В) АВ+(А+В)+1 в н в в А+В А+В (А+В)+1 в в н н 0 А+А (А+А)+1 в в н в АВ АВ+А АВ+А+1 в в в н АВ АВ+А АВ+А+1 в в в в А А А+1 D01 К155ИПЗ Выбор функций АЛУ ИПЗ при высоких активных уровнях Выбор функции Выходные данные при активных низких уровнях S3 S2 S1 so Логические функции (на входе М - напряжение высокого уровня) Арифметические операции (на входе М - напряжение низкого уровня) СП=В(без переноса) СП=Н (с переносом) н н н н А А А+1 н н н в А+В А+В (А+В)+1 н н в н АВ А+В (А+В)+1 н н н в 0 -1 0 . . ^=-—J н в в н АВ А+АВ А+АВ+1 н в н в В (А+В)+АВ (А+В)+АВ+1 н в в н АФВ А-В-1 А-В н в в в АВ АВ-1 АВ в н н н А+В А+АВ А+АВ+1 в н н в А+В А+В А+В+1 в н в н В (А+В)+АВ (А+В)+АВ+1 в н в в АВ АВ-1 АВ в в н н 1 А+А А+А+1 в в н в А+В (A+BJ+A (А+В)+А+1 в в в н А+В (А+В)+А (А+В)+А+1 в в в в А А-1 А К531ИП4 — СУП, применяется для каскадиро- Cn+z дают сигналы входам Сп остальных трех вания АЛУ, начиная с 4 бит. Принимает сигналы от АЛУ. Вспомогательные выходы Р и G используются выходов G,P четырех АЛУ ИПЗ. Для этого есть 4 для АЛУ более высокого порядка. К531ИП4 по- согпасоьанных пары входов G1.P1 ... G4.P4. Есть требляет 109 мА, вариант К155 — 72 мА. Соответ- вход приема единицы переноса Сп от входа пер- вого АЛУ . Три выхода переноса Сп+х, Сп+у и ственно время задержки: 10 нс и 22 нс. 60
Определение соотношения операндов _ А и В с помощью СУП ИП4 Данные на выходе G СУП ИП4 Вход Со Выход переноса Сп+4 Активные уровни низкие Активные уровни высокие В в АгВ А<В В н А<В А>В н в А>В А<В н н А<В А>В Данные на выходе Р СУП ИП4 Вход Выход Р Р4 РЗ Р2 Р1 Н н Н н Н Любые другие входные уровни В Вход Выход G G4 G3 G2 G1 Р4 РЗ Р2 Н X X X X X X Н X Н X X Н X X Н X X Н X Н Н X н X X X Н Н Н Н н Любые другие входные уровни в Данные на выход е С„47 СУП ИП4 Данные на выходе Сп« СУП ИП4 Вход Выход Сп+х G1 Р1 Сп Н X X В X Н В в Любые другие входные уровни н Вход G2 G1 Р2 Р1 Сп Н X X х Н х X Н Н х X Н X X В В В в Любые другие входные уровни Выход Данные на выходе Cn+Z СУП ИП4 Вход Выход Сп+2 G3 G2 G1 РЗ Р2 Р1 Сп Н X X X X X X В X Н X н X X X В X X Н Н Н X X В X X X Н Н н В в Любые другие входные н уровни 5В АЗ В2 А2 Al В| АО ВО 16| 15| ы| 13| 12| 11| 10| 9| К555СП1 11 г1 з| 7] si б| ?| зГ ВЗ КА«8) l(A»B) А = в X ЦА-В) А» В А«=В К531СП1 — 4-разрядный цифровой компаратор с 11 входами: два четырехвходовых байта АО ... АЗ и ВО .. ВЗ и три входа наращивания I (а <в), I (а=в), I (а> в). Результаты анализа получаются по трем вы- ходам А>В,А=ВиА<В. Шесть последних строк таблицы отображают последовательный или парал- лельный способ наращивания. В первом случае одноименные выходы младшей микросхемы и вхо- ды старшей следует соединить (два компаратора СП1 могут сравнить два 8-разрядных байта). На каждую ступень анализа придется задержка 15 нс. На входы "больше’’ "меньше" первой микросхемы надо подать В, на вход "равно" — Н. Параллельная структура — всегда двухступенчатая. Показан ком- паратор для пары 24-разрядных слов. I I I 61
Состояния цифрового компаратора СП1 Вход сравнения данных Вход наращивания каскадов Выход АЗ.ВЗ А2.В2 А1.В1 АО.ВО 1(А>В) 1(А<В) 1(А=В) А>В А<В А=В АЗ>ВЗ X X X X X X В н Н 1 АЗ<ВЗ X X X X X X Н в н 1 АЗ=ВЗ А2>В2 X X X X X В н н 1 АЗ=ВЗ А2<В2 X X X X X Н в н АЗ=ВЗ А2=В2 А1>В1 X X X X В н н АЗ=ВЗ А2=В2 А1<В1 X X X X Н в н АЗ=ВЗ А2=В2 А1=В1 АО>ВО X X X В н н АЗ=ВЗ А2=В2 А1=В1 АО<ВО X X X Н в н АЗ=ВЗ А2=В2 А1=В1 АО=ВО в Н Н В н н АЗ=ВЗ А2=В2 А1=В1 АО=ВО Н В Н Н в н АЗ=ВЗ А2=В2 А1=В1 АО=ВО Н Н В н н в АЗ=ВЗ А2=В2 А1=В1 АО=ВО X X В н н в АЗ=ВЗ А2=В2 А1=В1 , АО=ВО В В Н н н н АЗ=ВЗ А2=В2 А1=В1 АО=ВО Н Н Н в в н 17. МУЛЬТИВИБРАТОРЫ И АВТОГЕНЕРАТОРЫ Ждущие и управляемые напряжением мульти- вибраторы ТТЛ необходимы для формирования синхронных тактовых последовательностей, расши- рения коротких импульсов, формирования интерва- лов до единиц минут, построения петель ФАП. К155АГ1 (74121) — ждущий мультивибратор. Содержит внутреннюю ячейку памятис выходами Q и Q. Входов запуска три: инверсные Al, А2 и пря- мой В. Правильное исходное состояние выходов АП показывают первые четыре строки таблицы. От этих состояний АП может один раз ’’выстрелить” (one shot), если хотя бы на один_из входов посту- пил перепад: отрицательный на А1,2, положитель- ный— на В. На неиспользуемые входы надо дать статические уровни согласно таблице. На вход В можно давать запрещающий сигнал Н или разре- шающий — В. Окончание импульса (внутренний сброс) определяется внешним конденсатором Ст и резистором Rt (есть и внутренний резистор RBH=2 кОм). Если его номинал достаточен, Rr не устанав- ливаем, а выводы 9 и 14 соединяем. Получим твых= CTRrln2 = О, 7CTRT. Если Rr и Ст вообще от- сутствуют, длительность выходного импульса — 35 нс. Фильтр в цепи питания АП обязателен. Пока не закончился выходной импульс АП не чувствите- Управление и сигналы мультивибратора К155АГ1 Вход Выход 1 Вход Выход А1 А2 В Q Q А1 А2 В Q Q н X в Н В 1 В В X Н в Н в 1 1 в х X н н в н X т в В X н в X Н т в 1 В л ы лен к сигналам Al, А2 и В. Вход В имеет порог Шмитта, поэтому здесь запуск надежен даже при медленном фронте запуска 1В/с. Длительность выходных импульсов: 35 нс... 0,28 с, пределы: Rr = 2 ... 40 кОм, Ст = 10 пФ ... 10 мкФ. 5В DD1 К155АГ1 А1 А2 *-о 62
К155АГЗ — два ждущих мультивибратора. В от- личие от АП нет входов А2 и внутренних резисто- KI 55 АП ров 2 кОм. Однако есть возможность перезапуска: 16 1 до истечения времени импульса можно сделать новый запуск и выходной импульс продолжится^ Выходной импульс обрывается после подачи на R +перепада. Без внешних R.C генерируется импульс до 40 нс. Можно использовать низковольтные элек- тролитические конденсаторы очень большого на- минала, ограничив напряжение с помощью диода. К155АГЗ (74123) потребляет 66 мА, К555АГЗ (74LS123) — 20 мА. Ток выходов — до 40 мА. Ва- риант данной микросхемы К555АГ4 (74LS221) от- личается формирователями Шмипа на входах. Ли- нейка из двух мультивибраторов дает задержанный импульс, а соединение в кольцо — автогенератор. 5В (R./Cr)l Crl 15 1 М I Q1 13 В2 А2 Ю 1 9 I Q2 R2 12 | 11 Al R1 5 I Q2 4 Q1 5В 1б1 2 В1 6 । 7 Cr2 (Rr/Cr)2 5B Rt К555АГ4 16 14(6) Ct 15(7) A I М 4 В R i 5B 9 5 АГ34 1/2 Д_ 1/2 U“* АГ34 К155АП 001.1 (DD1.2) 5B 16 1/2 АП 4 9 1/2 АГ3.4 Ri И Сг 15(7)У DD1.1 (001.2) 14(6) DD1 1 1/2АГ3.4 Сигналы управления для мультивибратора из микросхемы АГЗ Вход Выход Вход Выход Сброс R А В Q Q Сброс R А в Q Q Н X X X В X X X Н Н Н Н В В В В В Т н н f в в _TL т_г Ell Q1 _ 31 К531ГГ1 (74LS124) — два мультивибратора, имеющих входы управления частотой УЧ и диапа- зоном частоты Д. Если подано: Д = В, УЧ = Н, а к выводам 4 — 5 (или 12 — 13) присоединен конден- сатор С. на выходе 7 (или 10) получаем меандр с постоянной частотой fo в диапазоне 1 Гц... 60 МГц. Для маломощного исполнения этой микросхе- мы 74LS124 fo меньше в 5 раз. Выход меандру за- прещается при EI = В. Если El = Н, генератор стар- тует. К531ГГ1 потребляет от ПО до 150 мА, поэ- Un.nl Un.n2 DD1.1 DO1.2 Уч1 Уч2 Д2 6 7 81 Й2 Q2 32 ГУН1 ГУН2 К531ГГ1 63
тому сделано 4 вывода питания: 16 и 9 — для выходных буферных каскадов двух мультивибрато- ров сразу, 15 и 8 — питание их малосигнальной части. Реально, эта развязка питания недостаточ- на, поэтому желательно, чтобы один генератор ра- ботал с фиксированной частотой fo (лучше на пье- зорезонаторе), другой может работать как ГУН. Для управления частотой зафиксируйте центр диа- пазона, подайте на выводы 3 (или 14), например, 3,5 В. Согласно графику, изменяя напряжение У2 (или У1) в пределах 1,5 ... 4 В, получаем девиацию частоты на +20%. Максимальная частота может превысить 60 МГц. На ГГ1 можно построить очень простой автогенератор сигналов от НЧ до радиоча- стот. Выходной сигнал — "квазисинусоидальный", ограничиваемый диодами до амплитуды ± 0,7 В. Для синтеза частот дробно-кратных опорной, квар- цованной применяются петли фазовой автоподст- ройки ФАП. Данные схемы отличаются фазовыми компараторами ФК: в первом случае — это "исклю- чающее ИЛИ" например, ЛП5, во втором — компа- ратор параллельных слов СП1 или ИПЗ. В схемах есть два делителя частоты в М — и в N — раз. По- сле захвата петлей режима, частоты, приходящие на ФК уравниваются с точностью до фазы (EX - OR держит фазу захвата — 90°, для компаратора — эта фаза 0°). Если fi/M = fo/N, получаем, что ГУН надо "загнать" в диапазон слежения вокруг центральной частоты fo = (N/M)fi. При недоста- точном быстродействии ФК можно попытаться уве- личить и fo и fi в К раз. Решение с параллельным компаратором для высоких частот предпочтитель- нее, т.к. EX - OR склонен давать "клыки”— помехи. Литература 1. Аналоговые и цифровые интегральные микросхемы /Под ред. С.В.Якубовского. - 3-е изд. перераб. — М.: Радио и связь. 1990. — 496 с. 2. ВЛ.Шило. Популярные цифровые микросхемы: Справочник.— 2-е изд., — М.: Радио и связь. 1989 —352 с. 3 А.В.Прибыльский. А.И.Сухопаров, С В.Якубовский С А Ельцова. Быстродействующие маломощные микросхемы ТТЛ /Электронная промышленность. — 1990. — N2.3.4.5.7.8.10 4. Integrated circuits catalog.—Texas Instruments, 1980.