Текст
                    СПРАВОЧНИК
МИКРОПРОЦЕССОРЫ
и
МИКРОПРОЦЕССОРНЫЕ
КОМПЛЕКТЫ
ИНТЕГРАЛЬНЫХ
МИКРОСХЕМ
В ДВУХ ТОМАХ
ТОМ 2
Под редакцией В. А. ШАХНОВА
Scan Pirat
МОСКВА «РАДИО И СВЯЗЬ»,
1988

ББК 32.852 М59 УДК 681.325.5—181.4 :621.3.049.771.14(03) Рецензент: Чл.-корр. АН СССР Л. Н. Преснухин Редакция литературы по электронной технике Микропроцессоры и микропроцессорные комплекты М59 интегральных микросхем: Справочник: В 2 т. / Н. Н. Аве- рьянов, А. И. Березенко, Ю. И. Борщенко и др.; Под ред. В. А. Шахнова.— М.: Радио и связь, 1988. — Т. 2.— 368 с.: ил. ISBN 5-256-00373-9 Приведены классификация микропроцессоров и микропроцессорных комп- лектов больших интегральных микросхем и сведения о микропроцессорных комплектах универсального назначения. Приводятся данные о структуре н системах команд микропроцессоров, временных соотношениях сигналов, при- меры использования микропроцессоров в аппаратуре Для иижеиерио-техиическнх работников, разрабатывающих электронную управляющую и вычислительную аппаратуру 2403000000—048 М 046(01)—88 106~87 ББК 32.852 ISBN 5-256-00373-9 (т. 2) ISBN 5-256-00371-2 © Издательство «Радио и связь», 1988
Содержание тома 1 Предисловие редактора Глава 1. Общие сведения о микропроцессорных микросхемах 1.1. Терминология 1.2. Система обозначений 1.3. Условия эксплуатации 1.4. Система параметров 1.5. Классификация микропроцессоров и мик- ропроцессорных комплектов микросхем Глава 2. Микропроцессоры серий К145ИК13, К145ИК19 2.1. Микросхема К745ИК1801-2 2.2. Микросхема К145ИК1807 2.3. Микросхемы К145ИК1809 и К145ИК1810 2.4. Микросхема К145ИК1812 2.5. Микросхема К145ИК1814 2.6. Микросхема К145ИК1901 2.7. Микросхема К145ИК1906 2.8. Микросхема К145ИК1907 2.9. Микросхема К145ИК1908 2.10. Микросхема К145ИК1914 2.11. Микросхема К145ИК1915 Глава 3. Микропроцессорный комплект серии КР580 3.1. Микросхема КР580ВМ80А 3.2. Микросхема КР580ВВ51А 3.3. Микросхема КР580ВИ53 3.4. Микросхема КР580ВВ55А 3.5. Микросхема КР580ВТ57 3.6. Микросхема КР580ВН59 3.7. Микросхема КР580ВВ79 3.8. Микросхема КР580ВА93 3.9. Микросхема КР580ВГ75 3.10. Микросхема КР580ВК91А 3.11. Микросхема КР580ГФ24 3.12. Микросхемы КР580ВК28 и КР580ВК38 3.13. Микросхемы КР580ИР82 и КР580ИР83 3.14. Микросхемы КР580ВА86 и КР580ВА87 3.15. Рекомендации по применению Глава 4. Микропроцессорный комплект серии КР581 4.1. Микросхема КР581ИК1 4.2. Микросхема КР581ИК2 4.3. Микросхемы КР581РУ1, КР581РУ2 и КР581РУЗ 4.4. Микросхема КР581ВЕ1 Глава 5. Микропроцессорный комплект серии К583 5.1. Микросхема К583ВС1 5.2. Микросхема К583ИК1 5.3. Микросхема К583КП1 5.4. Микросхема К583ВМ1 5.5. Микросхема К583ХЛ1 5.6. Микросхема К583ВГ1 5.7. Микросхема К583ВА1 5.8. Микросхема К583ВА2 5.9. Микросхема K583BA3 5.10. Микросхема К583ВА4 5.11. Рекомендации по применению Глава 6. Микропроцессорный комплект серии К584 6.1. Микросхема К584ВМ1 6.2. Микросхема К584ВУ1 6.3. Микросхема К584ВГ1 6.4. Микросхема К584ВВ1 6.5. Рекомендации по применению Глава 7. Микропроцессорный комплект серии КР587 7.1. Микросхема КР587ИК2 7.2. Микросхема КР587ИК1 7.3. Микросхема КР587ИКЗ 7.4. Рекомендации по применению Глава 8. Микропроцессорный комплект серии К588 8.1. Микросхема К588ВС2 8.2. Микросхема К588ВУ2 8.3. Микросхема К588ВР2 8.4. Микросхема К588ВГ1 8.5. Микросхема К588ВА1 8.6. Микросхема К588ИР1 8.7. Микросхема К588ВГ2 8.8. Микросхема К588ВТ1 Глава 9. Микропроцессорный комплект серии К589 9.1. Микросхема К589ИК02 9.2. Микросхема К589ИК03 9.3. Микросхема К589ИК01 9.4. Микросхема К589ИК14 9.5. Микросхема К589ИР12 9.6. Микросхемы К589АП16 и К589АП26 9.7. Микросхема К589ХЛ4 3
Глава 10. Микропроцессорный комплект серии К1800 10.1. Микросхема К1800ВС1 10.2. Микросхема К1800ВУ1 10.3. Микросхема К1800ВБ2 10.4. Микросхема К1800ВГЗ 10.5. Микросхема К1800ВА4 10.6. Микросхема К1800РП6 10.7. Микросхема К1800ВА7 10.8. Микросхема К1800ВР8 10.9. Микросхема К1800РП16 10.10. Рекомендации по применению Приложение 1. Корпуса микропроцессор- ных микросхем Приложен ие 2. Краткие сведения о мик- ропроцессорных микросхемах, не вошедших в том 1 справочника Содержание тома 2 Предисловие Глава 11. Микропроцессорный комплект серии К1801 (Ю. И. Борщенко, В. Л. Дшхунян, Э. Е. Иванов, П. Р. Машевич, В. В. Теленков) 11.1. Микросхема К1801ВМ1 ... 7 11.2. Микросхема КМ1801ВМ2 ... 14 11.3. Микросхема КМ1801ВМЗ ... 15 11.4. Микросхема К1801ВП1-30 ... 21 11.5. Микросхема К1801ВП1-33 ... 23 11.6. Микросхема К1801ВП1-34 ... 31 11.7. Микросхема К1801ВП1-35 ... 34 11.8. Микросхема КР1801РЕ2 ... 38 11.9. Микросхема К573РФЗ . . . 40 11.10. Рекомендации по применению 42 Глава 12. Микропроцессорный комплект серии КР1802 (А. И. Березенко, С. Е. Калинин, Л. Н. Корягин) 12.1. Микросхема КР1802ВС1 ... 44 12.2. Микросхема КР1802ИР1 ... 53 12.3. Микросхема КР1802ВР1 ... 57 12.4. Микросхема КР1802ВР2 ... 61 12.5. Микросхема КР18О2ВРЗ ... 71 12.6. Микросхема КМ1802ВР4 ... 79 12.7. Микросхема КМ1802ВР5 ... 84 12.8. Микросхема КР1802ИМ1 ... 89 12.9. Микросхема КР1802ИП1 97 12.10. Микросхема КР1802ВВ1 107 12.11. Микросхема КР1802ВВ2 . 111 12.12. Микросхема КР1802КП1 . 118 12.13. Микросхема КР1802ВВЗ . 125 Глава 13. Микропроцессорный комплект серии КМ1804 (И. Е. Лобов, В. Т. Никифоровский, Б. Л. Толстых, И. И. Тонких, В. С. Хорошунов) 13.1. Микросхема КМ1804ВС1 . . . 132 13.2. Микросхема КМ1804ВС2 . . . 136 13.3. Микросхема КМ1804ВР1 . . . 145 13.4. Микросхема КМ1804ВР2 . . . 146 13.5. Микросхемы КМ1804ВУ2 КМ1804ВУ1 и . . . 154 13.6. Микросхема КМ1804ВУЗ . . . 158 13.7. Микросхема КМ1804ВУ4 . . . 159 13.8. Микросхема КМ1804ВН1 . . . 164 13.9. Микросхема КМ1804ВРЗ . . . 169 13.10. Микросхема КМ 1804В А1 . . . 171 13.11. Микросхема КМ1804ВА2 . . . 174 13.12. Микросхема КМ 1804 ВАЗ . . . 177 13.13. Микросхема КМ1804ИРЗ . . . 177 13.14. Микросхема КМ1804ГГ1 . . . 182 13.15. Микросхема КМ1804ВУ5 . . . 186 13.16. Микросхема КМ1804ВЖ1 . . . 191 13.17. Микросхема КМ1804ИР1 . . . 196 13.18. Микросхема КМ1804ИР2 . . . 198 13.19. Рекомендации по применению . 200 Глава 14. Микропроцессорный комплект серии КА 1808 (В. В. Горовой, В. А. Евдокимов) 14.1. Микросхема КА1808ВМ1 . . . 205 14.2. Микросхема КА1808ИР1 . . . 211 14.3. Микросхема КА1808ВВ1 . . . 213 14.4. Микросхема КА1808ВУ1 . . . 215 14.5. Рекомендации по применению . 217 Глава 15. Микропроцессорный комплект серии К1809 (С. К. Иванова, Р. А. Лашевский, О. И. Хмельницкая) 15.1. Микросхема К1809ВВ1 .... 219 15.2. Микросхема К1809ВВ2 .... 225 15.3. Микросхема КМ1509КП1 ... 230 4
Глава 16 Микропроцессорный комплект серии КМ 1810 (А В Кобылинский, А И Заика, Г П Липовецкий, И П Обуховский, В М Калатинец, Н Н Аверьянов, В А Темченко, Л В Проценко, Г В Литвинский, А Н Фионик, Н Л Трунина — § 161, 16 2, 166, Г Г Нестеренко, А В Поветин, А М Могилевский, А С Кусин, В И Фирсов, Г Ю Немеровский — § 16 3—16 5) 161 Микросхема КМ1810ВМ86 232 162 Микросхема КР1810ВН59А 264 163 Микросхема КР1810ВБ89 275 16 4 Микросхема КР1810ВГ88 285 165 Микросхема КР1810ГФ84 289 166 Рекомендаци и по применению 294 Глава 17 Микропроцессорный комплект серии К1811 (И Е Лобов, В Т Никифоровский, Б Л Толстых, Н Н 1 онких, В С Хорошунов) 17 1 Микросхема КН1811ВМ1 297 172 Микросхемы КН1811ВУ1, КН1811ВУ2, КН1811ВУЗ 300 17 3 Микросхема K.MI811BTI 304 17 4 Соединение микросхем серии КН 1811 307 Глава 18 Микропроцессор серии КМ1813ВЕ1 (А А Кузнецов, И Ю Луцкий, Г Ф Страутманис) Глава 19 Микропроцессоры серин К1814 (И Е Лобов, В Т Никифоровский, Б Л Толстых, Н Н Тонких, В С Хорошунов) Глава 20 Однокристальные микро-ЭВМ серии КР1816 (А В Кобылинский, А И Заика, Г П Липовецкий, И П Обуховский, В М Калатинец, Н И Аварьянов, В А Темченко, Л В Проценко, Г В Литвинский, А Н Фионик, Н Л Трунина) Приложение 1 Корпуса микропро цессорных микросхем (Л И Якушкина) 353 Приложение 2 Краткие сведения о дополнительных микропроцессорных комплектах микросхем, не вошедших в справочник (В А Шахнов) 360 Приложение 3 Краткие сведения о микросхемах запоминающих устройств, применяемых в микропроцессорных си стемах (В А Шахнов) 360 Приложение 4 Цифровые (логиче ские) микросхемы, применяемые в мик- ропроцессорных системах (В А Шахнов) 362
Предисловие Во второй том справочника включены описания микропроцессорных комплектов микросхем, широко применяемых в электронной и электронно-вычислительной аппара- туре, в порядке возрастания номеров серий, начиная с серии К1801. Во втором томе сохранена структура представления данных, принятая для первого тома. Вначале даются обшие сведения о микропроцессорном комплекте, его составе, условиях эксплуатации, особениостях применения, конструктивном исполнении. Затем приводится описание каждой микросхемы, входящей в комплект, которое включает в себя условное графическое обозначение микросхемы, назначение выводов корпуса, временные диаграммы работы, статические и динамические параметры, систему микро- команд. Описание каждого комплекта микропроцессорных микросхем заканчивается конкретными рекомендациями по его применению в аппаратуре. В приложениях приведены сведения о конструктивном исполнении корпусов микросхем, краткие сведения о новых микропроцессорных комплектах, освоенных про- мышленностью за время подготовки к изданию справочника, а также общая характе- ристика и перечни микросхем запоминающих устройств и цифровых микросхем, при- меняемых при проектировании микропроцессорных систем. Глава 11 Микропроцессорный комплект серии К1801 Микропроцессорный комплект серии К1801, микросхемы которого изготовляются по п-МДП-техиологии, предназначен для постро- ения широкого класса микроконтроллеров, мик- ро-ЭВМ, управляющих микропроцессорных си- стем. Высокая функциональная мощность, сравнительно высокое быстродействие при умеренной потребляемой мощности, совмести- Таблица 11.1 Тип микросхемы Функциональное назначение Тип корпуса К1801ВМ1 16-разрядиый микропроцессор, 500 тыс. операций «Ре- гистр — регистр» в секунду 429.42-5 КМ1801ВМ2 16-разрядный микропроцессор, 1 млн. операций «Ре- гистр—регистр» в секунду 2123.40-6 КМ1801ВМЗ 16-разрядный микропроцессор, 1,5 млн. операций «Ре- гистр—регистр» в секунду 2136.64-1 К1801ВП1-30 Управление динамическим ОЗУ 429.42-5 К1801ВП1-33 Контроллер интерфейса параллельного ввода/вывода 429.42-5 К1801ВП1-34 Устройство передачи информации 429.42-5 К1801ВП1-35 Устройство последовательного ввода вывода 429.42-5 КР1801РЕ2 Постоянное запоминающее устройство 239.24-1 К573РФЗ Репрограммируемое ПЗУ 21 ОБ.24-5 Т а бл и ц а 11.2 Продолжение Параметр Обозначение Значения параметров макс, (мнн ) Параметр Обозначение са о к Си X Н X «V — S • _ у те о ж и Си х X х те я S СО Е S'— Выходное напряжение низ- UOL 0,5 Входное напряжение низко- 0,7 кого уровня, В Выходное напряжение высо- U ОН (2,4) го уровня, В Входное напряжение высо- и1Н (2,2) кого уровня, В Ток утечки на входе, мкА 1 Ы 1 кого уровня, В Входная емкость, пФ Ct 10 Ток утечки на выходе, мкА 11.0 10 Выходная емкость, пФ со 15 6
Таблица 11.3 Параметр 1 Обозначение Значения параметров; макс, (мнн.) Напряжение питания, В Максимальное входное на- пряжение, В Минимальное входное на- пряжение, В Выходной ток низкого уров- ня, мА Выходной ток высокого уровня, мА Ёмкость нагрузки, пФ Температура окружающей среды, °C &СС 1 max / min 1OL !ОЧ Cl. т 5,25(4,75) 5,25 (-0,5) 3,2 (-1,0) 100 (-70 (-Ю) мость по системе команд с самыми массовы- ми в стране микроЭВМ семейства «Электро- ника» обеспечивают МПК серии К1801 прак- тически неограниченные области применения. В состав серии К1801 входят микросхемы однокристальных микропроцессоров, способ- ных вести обработку 16-разрядных операндов, периферийных контроллеров, созданных на основе базового матричного кристалла серии К1801ВП1 и микросхемы ПЗУ (табл. 11.1). Общие для всех микросхем комплекта элек- трические параметры приведены в табл. 11.2. Общие для всех микросхем комплекта пре- дельно допустимые значения электрических па- раметров приведены в табл. 11.3. ?7 ( 26 ( 31 ( 32 , 33 , 35 ( Z9 ( 30 ( 5 ( 3 ( г ( в ( 1 ( за ( Z1 >SP >SP >ииц JHQZ .IRQ3 tVIRC iBCLO >ACLO >HMR >SP 'SACK >SP >CLC >RPLY .онл CPU A1JO<. A2J1< AJJZt AU3< AUR-t AD5< AD6< AD7<. AUB< AJJ9< AOIOt AlJ11t AO1Z< AO13< АИШ AO15t lAKQt DNGOt BSY< 1НГГ t SELK SELZ< SYHC< OOUTt WTBTt Ucc J . ii izzs , n I 3d- , 37 )—s. Рис. 11.1. Условное графическое обозначение К1801ВМ1 кодирован полный набор микрокоманд для всех типов команд; блок прерываний, организующий приоритет- ную систему прерываний ОМП. Выполняет прием и предварительную обработку внешних и внутренних запросов на прерывание вычис- лительного процесса; интерфейсный блок, выполняющий обмены информацией между ОМП и устройствами. 11.1. Микросхема К1801ВМ1 Микросхема К1801ВМ1 —однокристальный 16-разрядный микропроцессор (ОМП), пред- назначен для обработки цифровой информации в системах управления технологическими про- цессами, в контрольно-измерительной аппара- туре и системах связи, а также решения в со- ставе ЭВМ инженерно-технических и экономи- ческих задач. Условное графическое обозначение микро- схемы приведено на рис. 11.1, назначение вы- водов— в табл. 11.4, структурная схема пока- зана на рис. 11.2. В состав микросхемы входят следующие ос- новные функциональные блоки, объединенные информационно-управляющнмн связями: 16-разрядный операционный блок, выполня- ющий операции формирования адресов команд и операндов, логические и ариф'метические, хра- нения операндов и результатов; блок микропрограммного управления, выра- батывающий последовательность микрокоманд на основе кода принятой команды. В нем за- Рис. 11.2. Структурная схема KJ801BM1 7
Таблица 11.4 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 CLC Вход Синхронизация 2 SACK Вход Подтверждение выборки 3, 6, 26, 27 SP — Резервные 4 DMGO Выход Предоставление прямого доступа 5 DMR Вход Требование прямого доступа 7 SEL1 Выход Выборка первого регистра вво- да/вывода 8 SEI.2 Выход Выборка второго регистра ввода/ вывода 9—20, 22—25 ADO AD15 Вход/вы- ход Разряды адреса/данных 21 GND — Общий 28 BSY Выход Сигнал занятости канала 29 DCLO Вход Авария источника питания 30 ACLO Вход Авария сетевого питания 31 IRQ1 Вход Первый запрос радиального пре- рывания 32 IRQ2 Вход Второй запрос радиального преры- вания 33 1RQ3 Вход Третий запрос радиального пре- рывания 34 INIT Вход вы- ход Установка исходного состояния 35 VIRQ Вход Требование прерывания 36 IA KO Выход Предоставление прерывания 37 DOUT Выход Вывод данных (запись данных) 38 DIN Выход Ввод данных (чтение данных) 39 RPLY Вход Синхронизация пассивного устрой- ства (ответ) 40 WTBT Выход Вывод байта (запись/байт) 41 SYNC Выход Синхронизация активного устрой- ства (обмен) 42 Ucc — Напряжение питания расположенными на системной магистрали. Осуществляет арбитраж при операциях пря мого доступа к памяти. В интерфейсном блоке формируется последовательность управляющих сигналов системной магистрали; блок системной магистрали, связывающий внутреннюю магистраль ОМП с внешней. В нем производится управление усилителями приема и выдачи информации на совмещен- ные выводы адресов и данных; схема тактирования, обеспечивающая синх- ронизацию внутренних блоков. 8 Основные параметры К1801ВМ1: Разрядность........... 16 двоичных разрядов Представление чисел . . Дополнительный код с фиксированной запятой Система команд . . Безадресная, одно- адресная, двухадрес- ная
Виды адресации Регистровая, кос венио-регистровая автоинкрементная, косвенно автоинкре ментная, косвенно автодекрементная, индексная, косвенно индексная Число регистров общего назначения (РОН) 8 Число линий запросов на прерывания 4 Системная магистраль Типа МПИ с совме- щенными шинами для передачи адреса и данных Адресное пространство 64К байт Тактовая частота От 100 кГц до 5 МГц Максимальное быстро действие выполнения команд сложения в со ставе ЭВМ при регистре вом методе адресации До 500 тыс операций/с Потребляемая мощность До 1,2 Вт Сигналы AD0—AD15 определяют адреса и данные, которые передаются по совмещенной системной магистрали Группы сигналов SYNC, DIN, DOUT, WTBT, RPLY управляют передачей информа- ции по системной магистрали Сигнал SYNC, вырабатываемый процессором, означает, что адрес находится на выводах системной маги- страли Этот сигнал сохраняет активный уро вень до окончания текущего обмена инфор мацией Сигнал RPLY означает, что данные приня ты или установлены на информационных вы водах Этот сигнал вырабатывается пассивным устройством в ответ на сигналы DIN и DOUT Сигнал DIN предназначен для организации двух процедур обмена информацией по маги страли ввода данных — ОМП вырабатывает DIN во время действия сигнала SYNC, когда он готов принять данные от пассивного устрой ства, ввода адреса вектора прерывания—сигнал DIN вырабатывается совместно с сигналом [АКО при пассивном уровне сигнала SYNC Сигнал DOUT означает, что данные, выда ваемые ОМП, установлены на выводах систем ной магистрали Сигнал WTBT предназначен для организа ции двух процедур обмена информацией вырабатывается в адресной части цикла для указания о том, что далее следует вывод данных (слова или байта), формируется при выводе данных из ОМП для указания о выводе байта Сигнал VIRQ вырабатывается внешним устройством для информирования ОМП о том, что оно готово передавать адрес вектора пре рываний В ответ на этот сигнал (если преры вание разрешено) ОМП вырабатывает сигна- лы DIN и IAKO Сигнал IRQ1 определяет положение внеш него переключателя «Программа — пульт» Низкий уровень этого сигнала означает, что переключатель должен находиться в положе нни «Пульт» Этот сигнал переводит ОМП в состояние, аналогичное состоянию после вы полпения команды HALT Сигналы IRQ2 и IRQ3 вызывают прерыва- ние программы, выполняемой процессором, по фиксированным адресам 000100 * и 000270 со- ответственно Прерывание происходит при пе реходе сигналов из высокого уровня в низкий Сигнал 1АКО ОМП вырабатывается в от- вет на внешний сигнал VIRQ Этот сигнал яв ляется выходным для ОМП и входным для первого устройства, подключенного к систем ной магистрали (электрически ближе распо ложенного к ОМП и, следовательно, имеющего более высокий приоритет) Если это устройст во не требовало прерываний (не устанавлива- ло сигнал VIRQ), то оно транслирует сигнал IAKO к следующему устройству Устройство, требующее прерывания ОМП, запрещает рас- пространение этого сигнала Сигнал IAKO, последовательно проходя через все устройства, обеспечивает их поочередный опрос и различ- ный приоритет обслуживания Сигнал DMR вырабатывает внешнее актив ное устройство, требующее передачи ему си- стемной магистрали Сигнал DMGO процессор устанавливает в ответ на внешний сигнал DMR Этот сигнал последовательно проходит через внешние уст ройства и предоставляет системную магист- раль устройству с наивысшим приоритетом, запросившему прямой доступ к памяти Это устройство прекращает трансляцию сигнала DMGO и устанавливает сигнал SACK, кото- рый вырабатывается устройством прямого до- ступа к памяти (ПДП) в ответ на сигнал ОМП DMGO Сигнал SACK означает, что устройст- во ПДП может производить обмен данными, используя стандартные циклы обращения к системной магистрали Сигнал BSY предназначен для управления устройствами умощнения магистрали Низкий уровень этого сигнала означает, что ОМП на чинает обмен по магистрали Переход сигнала из низкого уровня в высокий означает окон чание обмена Сигнал аварии источника питания DCLO вызывает установку ОМП в исходное состоя ние и появление сигнала 1NIT Сигнал аварии сетевого питания ACLO означает переход ОМП на обработку прерывания по сбою питания Высокий уровень этого сигнала означает, что сетевое напряжение питания в норме При появлении одного из сигналов обра щения к внешним регистрам расширения вво да/вывода SEL1, SEL2 адрес на выводах си- стемной магистрали соответствует адресу од * Значения адресов, векторов прерываний, кодов команд приводятся в восьмеричной си- стеме счисления 9
ного из внешних регистров расширения вво- да/вывода. Сигнал SEL1 устанавливается при обращении по фиксированному адресу 177716, сигнал SEL2— по адресу 177714. Совместно с сигналами DIN или DOUT происходит соответственно ввод данных в ОМП из регистров или вывод из ОМП на регист- ры. Установка сигнала RPLY от регистров расширения ввода/вывода не требуется. По длительности сигналы SEL1 н SEL2 совпадают с сигналом BSY. Для режима пуска ОМП при включении питания необходимо обеспечить временную последовательность сигналов DCLO н ACLO, представленную на рис. 11.3. После включения напряжения питания ОМП устанавливает сигнал INIT и ожидает снятия сигнала DCLO. После снятия сигнала DCLO происходят ввод информации из реги- стра расширения ввода/вывода по адресу 177716 и формирование значений счетчика команд (СК). В разряды 0—7 СК загружает- ся нулевая информация, в разряды 8—15 — информация из соответствующих разрядов ре- гистра ввода/вывода, обращение к которому происходит по сигналу SELI. Регистр состояния процессора загружается константой 340. Микросхема анализирует со- стояние запросов на прерывания. Если неза- маскированных запросов нет, то происходят ввод первой команды и ее выполнение. Сигнал INIT является ответом ОМП на сигнал DCLO. Его используют для установки периферийной части системы в исходное со- стояние. При вводе этого сигнала в ОМП про- исходит сброс триггеров запроса радиальных прерываний и блокирования сигнала DMR. Системная магистраль позволяет адресо- вать 64К байта. Верхние 8К байт адресного пространства резервируются для управления периферийными устройствами н регистрами Рис. 11.3. Временная диаграмма режима на- чального пуска К1801ВМ1 при включении пи- тания данных. Системная магистраль ОМП позволя- ет организовать канал обмена информацией, аналогичный каналу ЭВМ «Электроника-60», в котором связь между двумя устройствами осуществляется по принципу «активный — пас- сивный». Активное устройство управляет прохожде- нием информации по системной магистрали, разрешает прерывания, обеспечивает предо- ставление прямого доступа к памяти. Пассив- ное устройство передает информацию только под управлением активного устройства. При обращении ОМП к памяти или внеш- ним устройствам возможны следующие виды обменов (циклов) информацией по системной магистрали: «Ввод» (чтение), «Вывод» (запись), «Ввод — пауза — вывод» (Чтение — модификация — запись). Цикл «Ввод — пауза — вывод» включает ввод данных, выполнение арифметико-логиче- ских операций и вывод результата без повто- рения передачи адреса, т. е. результат записы- вается по адресу последнего выбранного опе- ранда. Ниже иллюстрируются некоторые виды об- мена информацией по системной магистрали. При выполнении цикла «Ввод» данные пе- редаются от пассивного устройства к активно- му. Временная диаграмма этого цикла приве- дена на рис. П.4. Черта иад обозначением сиг- нала указывает, что активным уровнем явля- ется низкий уровень сигнала. Порядок выполнения операций следующий; процессор на выводах системной магистра- ли устанавливает адрес и выдает сигнал BSY; синхронно с выдачей и снятием адреса ОМП устанавливает и снимает сигнал синхро- низации SYNC, по которому происходит запо- минание адреса пассивным устройством; после установки сигнала SYNC ОМП сни- мает адрес, устанавливает сигнал DIN и ожи- дает поступление сигнала RPLY от пассивного устройства. Если в течение 64 тактов частоты синхронизации сигнал не появился, то ОМП переходит к обслуживанию внутреннего пре- рывания по ошибке обращения к системной магистрали; после приема сигнала RPLY ОМП прини- мает данные от пассивного устройства н сни- мает сигнал DIN; после снятия сигнала DIN пассивное уст- ройство снимает сигнал RPLY, завершая опе- рацию передачи данных; после снятия сигнала RPLY пассивным уст- ройством ОМП снимает сигналы SYNC и BSY. Если системная магистраль не предоставляется устройству ПДП, то ОМП сразу после сня- тия сигнала SYNC может начать новый цикл обращения к магистрали. В этом случае сиг- нал BSY не снимается. Во время цикла «Ввод» сигнал WTBT не вырабатывается. Кроме ОМП активным устройством на си- стемной магистрали может быть устройство ПДП. В режиме ПДП обмен информацией происходит без вмешательства ОМП под уп- равлением устройства ПДП. Последнее выпол- 10
WlfaaoS) Рис. 11.4. Временная диаграмма цикла «Ввод». Заштрихована область прихода сигнала RPLY, для которой соблюдается данная диаграмма: /1 = 404-70 нс; /г = 504-80 не Рис. 11.5. Временная диаграмма цикла прямого доступа к памяти няет адресацию, синхронизацию, вырабатыва- ет управляющие сигналы для организации стандартных циклов обращения к системной магистрали. Временная диаграмма ПДП приведена на рис. 11.5. Устройство ПДП устанавливает сиг- нал DMR, в ответ на который ОМП формиру- ет сигнал DMGO, затем, получив сигнал DMGO, вырабатывает сигнал SACK и снима- ет сигнал DMR. Микросхема снимает сигнал DMGO и ожидает завершение операции ПДП. Устройство ПДП начинает выполнять циклы передачи данных, аналогичные циклам «Ввод», «Вывод» или «Ввод — пауза — вывод». После окончания обмена данными устройство ПДП снимает сигнал SACK, возвращая управление магистралью процессору. Регистры общего назначения (РОН) ис- пользуются в качестве индексных и накопи- тельных регистров автоинкрементной и авто- декрементной адресаций. Среди восьми РОН два регистра (R6 и R7) имеют специальное на- значение. Регистр R6 используется в качестве указателя стека (УС) и содержит адрес по- следней ячейки стека, R7 является счетчиком команд (СК) и содержит адрес очередной вы- полняемой команды. Кроме РОН программно доступным регист- ром является регистр состояния процессора (РСП), содержащий информацию о текущем приоритете ОМП, значения кодов условий ветвлений программы, состояние Т-бита, ис- пользуемого при отладке программы и вызы- вающего прерывание программы: 11
15 87654321 0 Таблица 11.5 I/O т N Z V с Приоритет Прерывание по Т-разряду Отрицательный результат Нулевой результат Арифметическое переполнение Перенос Если 7-й разряд РСП находится в состоя- нии 1, то внешние устройства ие могут вы- звать прерывание текущей программы, в про- тивном случае внешние устройства вызывают прерывание. Установка отдельных разрядов кодов ветв- ления выполняется в следующих случаях: Z—1, если результат равен 0; Л/=1, если результат отрицателен; С=1, если в результате выполнения опе- рации произошел перенос из самого старшего разряда или если при операциях сдвига впра- во или влево из самого младшего или самого старшего разряда была выдвинута 1; У=1, если в результате выполнения опера- ции произошло арифметическое переполнение. При загрузке информации в РСП может быть установлен или очищен Т-разряд. Если он установлен, то после завершения выполне- ния текущей команды будет вызвано прерыва- ние программы с адресом вектора прерыва- ния 14. Используют Т-разряд в отладочных программах для организации такого режима выполнения отлаживаемой программы, при ко- тором исполнение интересующих пользователя команд вызывает прерывание программы и переход на программу связи с оператором. Для работы совместно с системным ПЗУ в РСП введены два дополнительных разряда (10 и 11), обеспечивающих изменение режима реак ции на прерывания. Система команд ОМП К1801ВМ1 (табл. 11.5) соответствует ЭВМ типа «Электрони- ка-60». Безадресные команды содержат только код операции. Формат одноадресных команд имеет внд коп Метод адресации РОН 15 6 5 3 2 0 Разряды 15—6 содержат код операции, оп- ределяющий исполняемую команду. Разряды 5—0 образуют 6-разрядное поле адресации операнда приемника, которое, в свою очередь, 12 Обозначе- ние коман- ды Код команды Команда HALT 000000 Останов WAIT 000001 Ожидание RTI , 000002 Возврат нз прерывания ВРТ 000003 Командное прерывание для отладки ют 000004 Командное прерывание для ввода/вывода RESET 1'00005 Сброс внешних устройств RTT 000006 Возврат из прерывания JMP 0001DD Безусловный переход RTS 00020/? Возврат нз подпрограм- мы JSR 004 ROD Обращение к подпро- грамме EMT Ю4000— 104377 Командное прерывание для системных программ TRAP Ю44ОО —104777 Командное прерывание NOP 000240 Нет операции Cl.C 000241 Очистка С CLV 000242 Очистка V CLZ 000244 Очистка Z CLN 000250 Очистка У SEC 0002b1 Установка С SEV 000262 Установка V SEZ 000264 Установка Z SEN 000270 Установка У see 000277 Установка всех разрядов (N, Z, V, С) COO 000257 Очистка всех разрядов W, Z, V, С) SWAB 0003DD Перестановка бантов CLR(B) *050/9 D Очистка COM (B) *№\DD Инвертирование INS (B) *052DD Прибавление 1 DEC (B) *053/90 Вычитание 1 NEG (B) *05400 Изменение знака ADC (B) •05500 Прибавление переноса SBC (B) *05600 Вычитание переноса TST (B) *05700 Проверка ROR (B) *06000 Циклический сдвиг впра- во ROL (B) *06100 Циклический сдвиг влево ASR (B) *06200 Арифметический сдвиг вправо ASL (B) *06300 Арифметический сдвиг влево MARK 00b 1 AW Восстановление указа- теля стека (УС) SXT 006700 Расширение знака MTPS 106435 Запись слова состояния процессора (ССП) MFPS 106700 Чтение ССП MOV IB) •ISSOO Пересылка CMP (B) *2SSDD Сравнение BIT (B) •3SSDD Проверка разрядов BIC (B) »4SSDO Очистка разрядов B/S *55500 Логическое сложение XOR 074BOO Исключающее ИЛИ ADD 06S5OO Сложение SUB I6SSDD Вычитание BR 0004XXX Ветвление безусловное BNE 0010XXX Ветвление, если не рав- но 0 BEQ 0014XXX Ветвление, если равно 0
ют метод адресации. Разряд 5 определяет пря- Окончание табл. 11.5 Обозначе- ние ко- манды Код команды Команда BGE 0020А‘ХХ Ветвление, если больше н равно 0 BLT 0024ХХХ Ветвление, если мень- ше 0 BGT 0030ХХХ Ветвление, если больше 0 BLE 0034ХХХ Ветвление, если меньше нлн равно 0 SOB 077PflAW Вычитание 1 и ветвление BPL 1000ХХХ Ветвление, если плюс BMI I004XXX Ветвление, если минус ВН1 10I0XXX Ветвление, если больше В LOS 1014А’ХХ Ветвление, если меньше или равно BVC I020XXX Ветвление, если нет арифметического пере- полнения BVS I024XXX Ветвление, если арифме- тическое переполнение BHIS, вес ЮЗОХХХ Ветвление, если больше нли равно BLO, BCS 1034ХХХ Ветвление, если меньше Примечание. В — байтовые команды; SS — поле адресации операнда источника; DD — поле ад- ресации операнда приемника; AW— смещение (6 раз- рядов); XXX — смещение (8 разрядов); Я — регистр общего назначения. * Разряд, принимающий значения; 0—для команд с операцией над словами; 1 — для команд с опера- цией над байтами мую или косвенную адресацию. Формат двухадресных команд имеет вид коп Метод адресации РОН Метод адресации РОН 15 12 11 9 8 6 5 3 2 О Поле адресации Поле адресации операнда источника операнда приемника Поле адресации операнда источника ис- пользуется для выборки операнда источника, поле адресации операнда приемника — для выборки операнда источника и занесения ре- зультата. Источниками прерываний, расположенными в соответствии с приоритетностью обработки (при одновременном появлении нескольких за- просов), являются: ошибка обращения к магистрали; резервный или запрещенный код в регистре команд; Т-бит в РСП; сигнал аварии сетевого питания ACLO; сигналы радиальных прерываний IRQ1, IRQ2, IRQ3-, сигнал векторного прерывания VIRQ. Установка 7-го разряда РСП в 1 позволя- ет игнорировать сигналы прерывания VIRQ, IRQ2, IRQ3. Прерывание текущего процесса и последу- ющая его обработка производятся после вы- состоит из двух полей: разряды 2—0 опреде- полнення очередной команды. Прерывание по Рис. 11.6. Временная диаграмма приема адреса вектора прерывания.' Заштрихована область прихода сигнала RPLY, для которой соблюдается данная диаграмма: /,=40-4-70 нс 13
Памяти или регистра внешнего устройства) мо- жет прервать выполнение программы на любой фазе исполнения команды. Временная диаграмма приема вектора пре- рывания показана на рис. 11.6. Последова- тельность операций при этом следующая. Уст- ройство, которому необходимо обслуживание, выставляет сигнал требования прерывания VIRQ. Если прерывание разрешено, то ОМП помещает в стек содержимое СК и РСП и последовательно устанавливает сигналы DIN и IAKO. Устройство принимает сигнал IAKO и запрещает его распространение к другим устройствам, помещает адрес вектора преры- вания на выводы системной магистрали, вы- рабатывает сигнал RPLY и снимает сигнал VIRQ. Процессор принимает адрес вектора преры- вания и последовательно снимает сигналы DIN и IAKO. Устройство завершает передачу век- тора и снимает сигнал RPLY. Микросхема ОМП загружает новое содер- жимое СК и РСП из двух последовательных ячеек, первая из которых определяется адре- сом вектора прерывания, после чего переходит к выполнению программы обслуживания дан- ного устройства. Основные параметры микросхемы К1801ВМ1 приведены в табл. 11.2 н 11.3. 11.2. Микросхема КМ1801ВМ2 Микросхема КМ1801ВМ2 — однокристаль- ный микропроцессор, является дальнейшим развитием ОП К1801ВМ1. Она обладает бо- Рис. 11.7. Условное графическое обозначение КМ1801ВМ2 лее высоким быстродействием, расширенной системой команд и рядом новых функциональ- ных возможностей. Основные параметры КМ1801ВМ2 Быстродействие выполне- ния регистровых команд ти- па «Сложение».................До 1000 тыс. операций/с Быстродействие выполне- ния команд типа «Умноже- ние» ........................ До 100 тыс. операций/с Максимальная тактовая ча- стота ........................ 10 МГц Мощность потребления . . До 1,7 Вт Условное графическое обозначение микро- схемы приведено на рис. 11.7, структурная схе- ма показана на рис. 11.8. Назначение выводов соответствует микросхеме К1801ВМ1 и имеет следующие особенности. Сигнал выходной тактовой синхронизации CLCO имеет частоту, равную половине часто- ты входной синхронизации CLCI. Он предна- значен для организации систем, обладающих повышенной надежностью, в которых несколь- ко процессоров должны работать синхронно. Микросхема КМ1801ВМ2 наряду с синх- ронной адресной частью обмена по системной магистрали имеет возможность асинхронной работы при передаче адреса. Это обеспечива- ется с помощью входного сигнала AR «Адрес принят». После выдачи адреса на системную магистраль ОМП ожидает появления сигнала AR. Низкий уровень входного сигнала AR свидетельствует о том, что пассивное устрой- ство приняло адрес и ОМП может продол- жать цикл обмена по системной магистрали. Микросхема ОМП обладает встроенной ло- гической схемой обращения в область адрес- ного пространства от 160000 до 163777 вклю- чительно. Для обращения в эту область ис- пользуются сигналы WRQ и WARI, которые могут применяться для организации обмена в многопроцессорных вычислительных системах. Сигнал SEL, устанавливаемый процессором в фазе чтения одновременно с сигналом DIN, определяет процедуру чтения внешнего регист- ра ввода/вывода. Обычно таким регистром яв- ляется регистр начальных условий пуска ОМП в составе ЭВМ. Если ОМП устанавливает сиг- нал SEL в фазе выдачи адреса, то это означа ет, что обмен идет с системной памятью, в качестве которой используется микросхема КР1801РЕ2. Системная память помимо специ- альных программ (начального пуска, резидент- ного тестового обеспечения, пультового терми- нала) позволяет расширять систему команд с помощью программной реализации в ней до- полнительных команд. Системная память при работе с ОМП яв- ляется «скрытой», т. е. ее адреса в программ- ном режиме не занимают адресного простран- ства ОЗУ. Тем самым она дополняет оператив- ную память. Системная память программно 14
9 ABO 8 AB! 7_ AB2* I AB3* 5_ ABA, 4* AB5* 3_ АВ? 2_ AB7, Z? AB8 38 ADO 37 АВЮ 30 ABU 35, AD1T .3AAB13 33 ABIA 32 AB/T Рис. 11.8. Структурная схема KM1801BM2 WRQ WflU 11 BMK 12 SACK 13 DM90 74 KBLY *17 BOUT !8 WTBT ff SMC 21 •DIM 22 AJ 23 IAKO 28 доступна с помощью специальных команд ОМП. Сигнал HALT аналогичен сигналу /RQ1, сигнал EVNT «Прерывание от таймера» ана- логичен сигналу IRQ2 в ОМП К1801ВМ1. По сравнению с К1801ВМ1 ОМП КМ1801ВМ2 может выполнять дополнитель- ные команды расширенной арифметики (табл. 11.6). Команды плавающей запятой (MUL, DIV, ASH, FDIV) выполняются на программном уровне с помощью системного ПЗУ КР1801РЕ2. Таблица 11.6 Обозначе- ние коман- ды Код Команда MUL 070/?SS Умножение DIV 071/?SS Деление ASH 072RSS Сдвиг на Л’ разрядов одного слова ASHC 073RSS Сдвиг на W разрядов двойного слова LADD 07500/? Сложение с плавающей запятой FSUB 07501R Вычитание с плавающей 07502/? запятой FMUL Умножение с плавающей запятой FDIV 07503/? Деление с плавающей запятой 11.3. Микросхема КМ1801ВМЗ Микросхема КМ1801ВМЗ— 16-разрядный однокристальный микропроцессор, включаю- щий операционный блок, блок микропрограмм- ного управления, блок прерываний, диспетчер памяти и блок управления системной маги- страли. Отличительной особенностью КМ1801ВМЗ являются большой объем адресуемой памяти, высокое быстродействие и возможность под- ключения сопроцессора арифметики чисел с плавающей запятой (СППЗ). Основные параметры КМ1801ВМЗ Разрядность чисел и 16 разрядов с воз- команд.................можностью представ- ления и обработки 32-разрядных слов Объем адресуемой памя- ти .......................... 4М байт Принцип управления . . Микропрограммный Число команд . . . . 72 с фиксированной запятой, 46 с пла- вающей запятой (при подключении СППЗ) Число регистров общего иазначення ............ 8 Производительность опе- раций «Регистр — ре- гистр» ................Сложение в потоке 1,5 млн. операцнй/с; умножение 100 тыс. операций/с; деление 50 тыс. операций/с Число линий запросов на прерывание ............ 4 Мощность потребления . 1,5 Вт Условное графическое обозначение микро- схемы приведено на рис. 11.9, назначение вы- водов— в табл. 11.7, структурная схема пока- зана на рис. 11.10. Микросхема содержит шесть регистров об- щего назначения (R0—R5), трн регистра — 15
52 4g DCLO > АМП CPU HLTM , 55 , 10 , 11 , 12 33 , 59 > cut ' wo ADO ADI A92 ADD AD4 47 r /4 > HIT ADS ADS AD7 15 4g >I/tQJ , 17 \iS , 19 51 'I8Q2 >IM1 ADS < ADS < AD1O UROO ADD ’~2? 1 IAN ADI2 AD13 ' 53 AD14 1 54 , 'FPPTRP AD15 1 i/W A16 * 61 ! 58 ' > EH A17 ' AIS 1 AIS • 57_ , > EL A20 > 56 >DRPADY A21/NS ' SYNC < DIN । , 3 4 , >SSYNC J 5 , < RPLY DOUT < WTST < , 2 DMR > SACK 7 , DUG < 6 50 ( CLC l/MAP‘ 32 > '6ND1 GND2 1 42 ucc Рис. 11.9 Условное графическое обозначение КМ1801ВМЗ 59 33 52 и 53Я 5! 501,9 Щ755 1011 2526 3031 Рис 11.10. Структурная схема КМ1801ВМЗ указателя стека R6 (SP), используемых в ре- жимах операционной системы (KSP), пользо- вателя (USP) и в пультовом режиме (HSP), и регистр R7. который служит также счетчи- ком команд (PC). Регистр SP содержит адрес последней заполненной ячейки стека, а PC- адрес команды, следующей за выполняемой. Программно доступным регистром является также регистр состояния процессора PSW. Ин- формация, содержащаяся в PSW, влияет на режимы выполнения команд и прерываний, оп- ределяет режим работы диспетчера памяти: режим Признак ветвления Предыдущий режима режим отладки Регистр PSW доступен также из процессо- ра по физическому адресу 17777776. Назначение разрядов регистра PSW: разряды 0—3 (N, Z, V, С) — признаки зна- ка, нуля, переполнения и переноса, описываю- щие результат последней выполненной команды; разряд 4 (Т) — признак режима отладки; разряды 5, 6, 7 — код приоритета внешних прерываний IRQ (3—0); разряды 13, 12 — предыдущий режим ра- боты МП; разряды 15, 14 — текущий режим работы МП (11—режим пользователя, 00 — режим операционной системы). В микросхеме используются три типа команд безадресные, одноадресные и двухад- ресные. В безадресных командах код команды содержит только код операции. В кодах од- ноадресных и двухадресных команд содержит- ся информация, определяющая; выполняемую функцию (код операции); регистры общего на- значения, используемые при выборке операн- дов, метод адресации. Система команд КМ1801ВМЗ включает команды МП КМ1801ВМ2 и дополнительные команды, которые приведены в табл. 11.8. Диспетчер памяти обеспечивает: расширение емкости адресуемой памяти с 64К до 256К или до 4М байт; преобразование виртуальных адресов в фи- зические и защиту памяти в системах с разде- лением времени; использование различных областей адресов для режима пользователя и режима операци- онной системы (ОС). Расширение емкости адресуемой памяти осуществляется преобразованием 16-разрядно- го виртуального адреса в 18- или 22-разрядный физический адрес. Преобразование выполняет- ся с помощью набора 16 регистров — адресов страниц PAR и 16 регистров — описателей страниц PDR 16
Таблица JI.7 Вывод Обозначение Тип вывода Функциональное назначение выводов 10—25 ADO—AD15 Вход,'выход Мультиплексирование во времени информации ад- ресов и данных 26—30 А16—А20 Выход Адресная информация 31 A21INS Выход Мультиплексирование во времени информации ад- реса А21 и информации о том, что считывается нз памяти: команда или данные 3 SYNC Выход Фронт сигнала. Указывает на то, что выдан адрес. Обмен по магистрали продолжается до тех пор, пока присутствует сигнал 1 DIN Выход Сигнал управления вводом данных 2 DOUT Выход Сигнал управления выводом данных 5 RPLY Вход Сигнал ответа. Указывает на то, что данные вы- ставлены на магистрали во время чтения или при- няты во время записи 63 WTBT Выход Во время фронта сигнала SYNC указывает на тип обмена: запись либо чтение. Во время записи ин- формации указывает на формат данных: байт или слово 4 SSYNC Вход Сигнал ответа при опознании адреса, вызывает снятие адреса с магистрали 6 DMG Выход Сигнал разрешения на захват магистрали по пря- мому доступу к памяти 7 дДС'Д Вход Сигнал подтверждения запроса прямого доступа к памяти 8 DMR Вход Сигнал запроса прямого доступа к памяти 9 GND — Общий 33 INIT Вход выход Сигнал установки периферийной части системы в начальное состояние 46 ACLO Вход Сигнал включения источника питания переменно- го напряжения 47 нет Вход Сигнал останова 48—51 IRQ3—IRQ0 Вход Сигналы запроса ца прерывание МП 43 11 cc — Напряжение питания 62 IAK Выход Сигнал разрешения прерывания 52 DCLU Вход Сигнал включения источника питания постоянно- го напряжения 53 FPPTRP Вход/выход Сигнал прерывания от СППЗ 54 FPPRD Вход Во время включения МП высокий уровень ука- зывает, что СППЗ подключен, далее низкий уровень указывает, что СППЗ готов начать вы- полнение следующей команды 55 HLTM Выход Сигнал указывает, что МП в пультовом режиме 56 DREADY Вход Сигнал указывает, что СППЗ выставил на маги- страль данные во время процедуры записи 57 FL Вход Сигналы длинного целого 58 FD Вход Сигналы двойной точности 59 WO Вход Сигнал режима включения МП 60 CLC Вход Тактовый сигнал 61 LIN Выход Сигнал стробирует в СППЗ загрузку команды 32 GN DI — Общий 64 Gcc На.пряжение питания Примечание. Выводы '№—41 не задействованы. 17
Таблица 11.8 Формат регистра PDR имеет вид Обозначе- ние ко- манды Код Команда MFPD 106555 Засылка данных в стек текущей моды по адресу предварительной моды MFPI 006555 Засылка команды в стек текущей моды по адресу предварительной моды MTPD 106655 Засылка данных из стека текущей моды по адресу предварительной моды MTPI 006655 Засылка команды из сте- ка текущей моды по ад- ресу предварительной моды Примечание, да источника. SS — поле адресации операн- Диспетчер памяти преобразует все адреса автоматически, поэтому пользователь работа- ет в области виртуальных адресов. Область виртуального адреса делится на восемь от- дельных страниц. Каждая виртуальная стра- ница имеет свой код защиты. Есть три вида защиты памяти: разрешены запись н чтение, разрешено только чтение, запрещен любой до- ступ. Все попытки запрещенного доступа вы- зывают прерывание МП. Диспетчер памяти обеспечивает три режи- ма работы: ОС, пользователя и пультовый. Для каждого режима работы существует свой набор регистров PAR/PDR. Регистры PAR/PDR включают два набора по восемь 27-разрядных регистров PAR/PDR для режимов ОС н пользователя и четыре 16-разрядных регистра адреса страницы PARH для обеспечения пультового режима. Физиче- ские адреса регистров PAR/PDR приведены в табл. 11.9. При 18-разрядном физическом адресе базо- вый адрес страницы в регистре PAR содержит- ся в разрядах 0—11, а при 22-разрядном — в разрядах 0—15. 15 14 7 6 5 4 3 2 1 0 PLF £ W ED ACF 7У Поле PLF определяет длину страницы в бло- ках (один блок—32 слова) и позволяет уста- новить ее от 0 до 1778. Разряд 3 (ED) — направление расширения страницы: при ED = 0 — расширение вверх, при ED=l — расширение вниз. При расширении вверх PLF устанавливается на 1 больше не- обходимой длины. При расширении вниз в PLF заносится дополнительный код длины страницы. Поле ACF определяет тип доступа к стра- нице памяти: 00—недоступна, прерывание при любом обращении; 01—доступна только по чтению, прерывание при попытке записи; 10 — не используется, прерывание при любом обра- щении; 11 — разрешены чтение и запись. Разряд 6 (W)—признак записи, указыва- ет, что в страницу произведена запись. Раз- ряд W очищается автоматически прн записи в PAR и PDR данной страницы. Установлен этот признак может быть только аппаратно логиче- ской схемой управления диспетчера памяти (ДП). Регистры состояния диспетчера памяти. Диспетчер памяти содержит три регистра со- стояний: SR0, SR2, SR3. Четвертый регистр со- стояния SR1 аппаратно не реализован, и при обращении по адресу этого регистра (17777574) всегда считываются нули. В случае нарушения условия защиты памяти процессор немедленно прерывается и переходит к про- грамме обработки прерывания по вектору 250g в области памяти ОС. Регистры состояния SR0 и SR2 служат для анализа возникшего прерывания. Регистр SR0 (адрес 17777572) содержит флаги ошибок, бит разрешения преобразования адреса в ДП и другую информацию, необхо- димую ОС для обработки ошибки и возврата к прерванной программе. Формат регистра SR0 имеет вид Таблица 11.9 Номер регист- ра Режимы ОС Режимы пользователя РДЯ PDR PAR PDR 0 17772340 17772300 17777640 17777600 1 17772342 17772302 17777642 17777602 2 17772344 17772304 17777644 17777604 3 17772346 17772306 17777646 17777606 4 17772350 17772310 17777650 17777610 5 17772352 17772312 17777652 17777612 6 17772354 17772314 17777654 17777614 7 17772356 17772316 17777656 17777616 Номер страницы---- Назначение разрядов регистра SR0: разряд 15 (VR)—ошибка по запрету до- ступа. Возникает при попытке обращения к недоступной или неиспользуемой странице; разряд 14 (PL)—ошибка по нарушению длины страницы; 18
разряд 13 (RO)—ошибка по записи. Воз- никает при попытке записи в страницу, доступ- ную только по чтению; разряд 8 (М) — бит, устанавливающий ди- агностический режим работы ДП; при уста- новленном М выполняется преобразование ад- реса только последнего обращения в память приемника; разряды 5, 6 — режим МП (пользователя или ОС), при обращении к которому произо- шла ошибка ДП; разряды 1, 2, 3 — номер страницы, при об- ращении к которой произошла ошибка ДП; разряд О (ЕА) — бит включения ДП. При EN — 1 выполняются преобразование адресов и защита памяти в ДП. Регистр SR2 (адрес 17777576) содержит виртуальный адрес первого слова выполняемой команды. Ои не изменяется, если в данной команде произошло прерывание по ошибке ДП. Доступен только по чтению. Регистр SR3 (адрес 1777757) содержит два разряда. Разряд 4 содержит бит ДЕ. При АЕ= 1 адрес физический, 22-разрядный, при ДЕ = 0—18-разрядный. Разряд 5 содержит бит UM. При UM = 1 устанавливается для внешней аппаратуры режим включения схем преобразования адресов. Формирование физического адреса в ДП изображено на рис. 11.11. В сумматоре выполняется сложение вир- туального адреса VA (разряды 6—12) с вы- бранным PAR (разряды 0—11) при 18-раз- рядном адресе и PAR (разряды 0—15) при 22-разрядном адресе. В результате полный фи- зический адрес содержит разряды 0—5 вирту- ального адреса и разряды 6—17 (21) — резуль- тат суммы. Система прерываний процессора. Прерыва- ния делятся на аппаратурные и командные. Часть аппаратных прерываний вызывает не- медленный переход, к их обработке. Это так называемые фатальные ошибки. Они возника- ют при ошибках системной магистрали, ДП. СППЗ. Анализ таких аппаратных прерываний, как авария источника питания, внешний сиг- нал HALT, прерываний IRQ (разряды 0—3), переполнения стека в моде операционной си- стемы производится после завершения очеред- ной команды в соответствии с приоритетом, указанным в табл. 11.10. К командам преры- вания относятся EMT, TRAP, ВРТ, ЮТ, а так- же (если учитывать алгоритм выполнения) и резервные коды. Вектора прерываний приведе- ны в табл. 11.11. Прн прерывании МП помещает в стек PC и PSW и считывает новые значения PC и PSW из ячеек, определяемых вектором прерывания, причем загрузка PC и PSW ведется нз обла- сти памяти операционной системы. Особый случай представляет собой возникновение фа- тальной ошибки в ходе выполнения микропро- граммы обработки прерывания, так называе- мой «двойной ошибки». В этом случае процес- сор переходит в пультовый режим. В пультовой режим процессор переходит при: выполнении команды HALT в моде ОС; Рис. '11.11. Формирование физического адреса возникновении «двойной ошибки»; возникнове- нии внешнего сигнала HALT — Q и отсутствии в этот момент других, более приоритетных прерываний. При входе в пультовый режим процессор загружает в стек HSP константу 100000s; за- гружает в стек регистры PSW и PC; заносит в Таблица 11.10 Приоритет Прерывание Высший Команда HALT Нечетный адрес Ошибка ДП Зависание Команды прерывания Прерывание по Т-биту Переполнение стека Сбой питания Самый низкий Внешний сигнал HALT IRQ3 IRQ0 Таблица 11.11 Вектор Прерывание 004 Зависание, нечетный адрес, пе- реполнение стека 010 Резервные и запрещенные ко- манды 014 Прерывание по Т-биту 020 Прерывание по команде 024 Сбой питания 030 Прерывание по команде МТР! 034 Команды прерывания 250 Ошибка диспетчера памяти 244 Прерывание СППЗ Из систем- ной магист- рали IRQ3- IPQ0 19
ar JirtnJTnJlJTJXnnJirUUinrU" Рис. 11.12. Временные диаграммы циклов «Чте- ние» (а), «Запись» (6) и «Чтение/модифика- ция запись» (в) Рис. 11.13. Временная диаграмма цикла «За- прос/предоставление прямого доступа» Таблица 11.12 Номер ре- гистра PARH Виртуаль- ный адрес : Значение адрес Назначение регистра PARH VA15 VA14 0 0 0 170000 Формирование на- чального адреса про- граммы пультового режима (ПЗУ) 1 0 1 167600 Формирование на- чального адреса ОЗУ программы пульто- вого режима 2 1 0 — Адресация ко всей области памяти 3 1 1 177600 Формирование на- чального адреса стра- ницы старшего банка регистр PSW значение 340», а в PC — 0; вклю- чает ДП на преобразование виртуальных ад- ресов в 22-разрядные физические и переходит к выполнению программы, расположенной по виртуальному адресу 0. В ДП с целью реализации пультового ре жима введены четыре регистра адреса стра- ницы PARH (табл. 11.12), адресация к кото- рым производится двумя старшими разрядами виртуального адреса. Регистр PARH2 позво- ляет осуществлять обращение ко всему адрес- ному пространству путем записи в него соот- ветствующего кода. Адрес регистра PARH2— 177512. В пультовом режиме существуют некото- рые отличия в выполнении команд и преры- ваний. Например, команды RT1 и RTT выпол- няются без учета значения Т-бита. При включении питания извне устанавли- вается сигнал DCLO и МП переходит в режим ожидания сигнала ACLO. При поступлении сигнала ACLO МП начинает выполнение од- ной из процедур начального пуска в зависимо- сти от сигнала W'O: WO = 0. МП загружает PSW содержимым 26-й ячейки памяти, PC — содержимым 24-й ячейки н начинает выполнение программы с этого адреса; 1ГО=1. МП загружает в PSW константу 340, а PC—173000 и начинает выполнение программы с этого адреса. При выполнении любой команды МП ос\ - ществляет хотя бы одно обращение к каналу, называемое циклом обращения к каналу. Пе- ред каждым циклом МП осуществляет проце- дуру захвата канала. Цикл «Чтение». Цикл разделен иа фазы пе- редачи адреса н приема данных. Адрес из МП сопровождается сигналом SYNC, снимается после приема сигнала SSYNC, и затем выстав- ляется сигнал DIN. Микросхема переключает элементы входа/выхода иа выводах AD0— 20
AD15 на прием и ожидает сигнал RPLY. Фаза приема данных. После приема сигнала RPLY МП принимает данные и снимает сигналы DLV и SYNC. Цикл «Запись». Передача адреса сопровож- дается сигналом WTBT, который снимается од- новременно со снятием адреса и указывает, что происходит цикл «Запись». После оконча- ния фазы передачи адреса МП выставляет на выводы ADO—AD15 данные и сигнал DOUT и ожидает сигнал RPLY После приема сигнала RPLY МП снимает сигнал DOUT, данные и сигнал SYNC. По снятию сигнала DOUT пас- сивное устройство снимает сигнал RPLY. Цикл «Чтение — модификация — запись». Цикл разделен на фазы передачи адреса, при ема и записи данных. Фаза записи начинается после того, как пассивное устройство сняло сигнал RPLY в ответ на снятие сигнала DIN. Временные диаграммы циклов «Чтение», «Запись» и «Чтение — модификация — запись» изображены на рис. 11.12, циклов «Запрос — предоставление прямого доступа» — на рис. 11.13. Основные параметры микросхемы КМ1801ВМЗ приведены в табл. 11.2 и 11.3. 11.4. Микросхема К1801ВП1-30 Микросхема К1801ВП1-30 построена на основе базового матричного кристалла микро- схемы К1801ВП1. Она предназначена для уп- равления ОЗУ (УОЗУ) динамического типа, выполненного на основе микросхем серии К565РУЗ и КР565РУ6, и выполняет: прием, хранение и преобразование адреса для накопителя ОЗУ; регенерацию памяти; связь накопителя ОЗУ и буферного регист- ра данных с каналом передачи информации ЭВМ типа «Электроннка-60»; специальные функции управления систем- ными областями памяти при работе с ОМП К1801ВМ1. Условное графическое обозначение микро- схемы приведено на рис. 11.14, назначение вы- водов— в табл. 11.13, структурная схема по- казана на рис. 11.15, временные диаграммы работы — на рнс. 11.16. В состав структурной схемы входят- счет- чик адресов регенерации (СЧАР), буферный регистр адреса (БРА), мультиплексор адресов (МПА), регистр режима (РР), компаратор ад- Таблица 11.13 Вывод Обозначение Тип вывода Функциональное назначение выводов 1, 2 AD5, AD4 Входы Разряды адреса'данных 3, 4 AD3, AD2 Входы/ выходы Разряды адреса'данных 5—7 ADI, AD14, ADO Входы Разряды адреса'данных 8 DIN Вход Чтение данных 9 DOUT Вход Запись данных 10 CLC Вход Синхронизация 11 RASO Выход Сопровождение адреса строки накопителя (полублок I) 12 LOCK Выход Блокировка 13 RPLY Выход Ответ 14 C Выход Сигнал стробирования записи в буферный регистр данных 15 DME Выход Выборка данных памяти 16 RAS1 Выход Сигнал сопровождения адреса строки накопителя 17 RSEL Вход Выборка регистра режима 18 WE Выход Сигнал сопровождения записи в накопитель 19 CASO Выход Сигнал сопровождения адреса столбца накопителя (полублок I) 20 CAS1 Выход Сигнал сопровождения адреса столбца накопителя (полублок II) 21 GND — Общий 22—28 A0—A6 Выход Разряды адреса накопителя 29 WTBT Вход Запись,байт 30 DCLO Вход Авария источника питания 31 AD15 Вход Разряд адреса/даиных 32 SYNC Вход Обмен 33 MSEL Вход Выборка памяти 34—41 AD13 —AD6 Вход Разряды адреса'данных 42 Ucc — Напряжение питания Рис. 11.14. Условное графи ческое обозначение К1801ВП1-30 7 1 . >0 АП >1 >2 >4- )4Г >е >7 >8 >9 >10 >11 >1Z >13 >14 >15 >SYMC >DOUT 1WT8T MSEL 'RSEL >DCLO CLC MCC AO A1 AZ A3 A4 A5 AS RASO' RASH CASO' CASK WE < DME < C LOOK RPLY' ORD'- Uce' J 1 < « -J к—i J i к i к .,„.U ‘ ’ LJ к-» к-* № Ъ to Й <х>
Рис, 11.15. Структурная схема К1801ВП1-30 ресов (КМПА), блок синхронизации (БС), бу- ферные усилители мощности (УМ). Счетчик адресов регенерации включает де- литель тактовой частоты (разряды 0—5) и счетчик адресов (разряды 6—13). В режиме регенерации содержимое разрядов 7—13 через МПА поступает на выводы АО—А6 и является адресом регенерации (АР). Буферный регистр адреса содержит 16 раз- рядов и предназначен для хранения адреса, по- ступающего по системной магистрали. Содер- жимое разрядов 1—7 при выдаче адреса стро- ки (АС) поступает через МПА на выводы АО—Аб, при выдаче адреса колонки (АК) иа выводы АО—А6 поступает содержимое разря- дов 8—14. Кроме того, содержимое разрядов 8—14 поступает на КМПА для выработки сиг- налов LOCK. Содержимое разряда 0 исполь- зуется в БС для определения номера байта при выполнении процедуры записи байта. Сигнал SYNC фиксирует запись информации в БРА. Мультиплексор адресов предназначен для раздельной во времени выдачи адреса ОЗУ в виде 7-разрядных АС и АК при циклах обме- на с накопителем ОЗУ, а также 7-разрядно- го АР. Регистр режима предназначен для хранения служебных признаков режима работы ОМП К1801ВМ1. Содержит два разряда (РР2 и РРЗ), доступных по чтению и записи из си- стемной магистрали (разряды AD2 и /103). Информация, хранимая в РР, влияет на уста- новку сигнала LOCK. Компаратор адресов вырабатывает сигнал блокировки LOCK, служащий для выборки об- ластей системного ПЗУ и блокировки этих об- ластей в адресном пространстве внешних уст- ройств. Установка сигнала иа выводе LOCK происходит в следующих случаях: адрес находится в диапазоне 160000— 163777 при наличии 1 в РР2-, адрес находится в диапазоне 160000— 173777 при наличии 1 в РРЗ-, адрес обращения находится в диапазоне 173000—173777 независимо от состояния РР2 и РРЗ. Компаратор адресов вырабатывает признак «Свой» для БС при обращении по адресу в диапазоне 177600—177677, который осуществ- ляет запуск БС при отсутствии сигнала MSEL Выделение данной области системного ОЗУ происходит при низком уровне сигнала DCLO. Блок синхронизации вырабатывает сигнал управления внутренними узлами УОЗУ, нако- пителем ОЗУ, внешним буферным регистром данных, а также отрабатывает сигналы уп- равления по каналу ЭВМ. Регенерация информации в накопителе ОЗУ динамического типа производится по принципу: один цикл регенерации по одному адресу строки в течение периода, равного Рис. 11.16. Временные диаграммы работы К1801ВГ11-30 22
64 периодам тактового сигнала CLC. Полная регенерация информации в памяти по всем ад- ресам происходит за 2 мс при тактовой часто- те 4,2 МГц. В БС введена схема запуска те- кущего цикла регенерации к окончанию цикла обмена, информацией. При отсутствии циклов обмена с памятью в течение времени, равного 32 периодам тактовой частоты после получе- ния БС запроса на регенерацию, очередной цикл регенерации производится принудительно. Запрос на очередной цикл регенерации про- исходит через 16 периодов тактовой частоты после изменения адреса СЧАР. Сигналы RAS0 и RAS1 вырабатываются одновременно. Диапазон изменения частоты тактового сиг- нала CLC от 4,2 до 8 МГц. Цикл чтения из памяти возможен при: сиг- нале SYNC, фиксирующем адрес обращения ADO—AD15 в БРА; сигнале MSEL-, отсутствии сигнала WTBT в адресной части; отсутствии очередного цикла регенерации памяти. Выходные сигналы DME и RPLY УОЗУ вы- рабатывает при наличии входного сигнала DW. Сигнал RASO устанавливается в том слу- чае, если при выдаче адреса AD15=\, а сиг- нал RAS1 — если AD15—0. Сигналы CASO и CAS1 вырабатываются одновременно. Цикл записи в память возможен при: сиг- нале SYNC, фиксирующем адрес обращения ADO—АР 15 в БРА; сигнале MSEL-, сигнале WTBT, который в адресной части является признаком записи; сигнале DOUT\ отсутствии очередного цикла регенерации памяти. При записи байта УОЗУ вырабатывает сиг- нал CASO, если AD0 = 1 в адресной части. При записи слова УОЗУ вырабатывают сигна- лы CASO и CASI одновременно. Сигнал блокировки LOCK УОЗУ вырабаты- вает в фазе выдачи адреса. Задержка появ- ления сигнала LOCK относительно установки адреса на выводе ADO—AD15 не более 100 нс. Микросхема УОЗУ приходит в состояние готовности за время, соответствующее прохож- дению семи синхронизирующих импульсов CLC. Сигнал DCLO производит установку СЧАР в нулевое состояние и РР в режим «останов». Основные параметры К1801ВП1-30 приведе- ны в табл. 11.2 и 11.3. Условные графические обозначения и струк- турные схемы интерфейса НГМД, контроллера интерфейса параллельного ввода/вывода и контроллера байтового параллельного интер- фейса приведены на рис. 11.17 и 11.18, назна- чение выводов дано в табл. 11.14. Интерфейс накопителя на гибких магнит- ных дисках. Установка микросхемы в режим интерфейса НГМД производится подачей на выводы RC0—RC3 напряжения высокого уровня. Микросхема осуществляет передачу инфор- мации между процессором и контроллером НГМД с помощью регистра команд н состоя- ния (РКС), регистра данных (РД). Регистры считываются и загружаются программно. Регистр команд и состояния имеет следу- ющий формат: |l5| 14|l3|l2|l 1 |ю| 9|8|7|б|5|4|з|2|1 |о| | IN IT TR IE | US F3 F2 F1GO ERROR DONE Назначение разрядов регистра: GO (Пуск) — инициирует прием контролле- ром НГМД команды (только для записи); F1—F3 — разряды команды (только для записи); US (Выбор привода) — указывает на вы- бор одного из двух дисководов для выполне- ния требуемой команды (только для записи); DONE (Завершено) — указывает на то, что выполнение команд завершено (только для чтения); IE (Предоставление прерывания) — уста- навливается программно для осуществления прерывания по завершении выполнения коман- ды, сбрасывается канальным сигналом INIT (для чтения и записи); TR (Требование передачи) — требование записи (считывания) данных через регистр данных (только для чтения); 1NIT (Установка) — устанавливается про- граммно для приведения НГМД в исходное состояние; ERROR (Ошибка) —устанавливается, если в процессе выполнения команды произошла ошибка (только для чтения). Разряды 8—13 не используются. Список команд приведен в табл. 11.15. Регистр данных имеет следующий формат: 11.5. Микросхема К1801ВП1-33 Микросхема К.1801ВП1-33 применяется для организации интерфейса накопителя на гибких магнитных дисках (НГМД) типа «Электрони- ка ГМД-7012». Совместно с микросхемой К1801ВП1-34 выполняет функции контроллера интерфейса 16-разрядного программируемого параллельного ввода/вывода и контроллера байтового параллельного интерфейса. |l5[l4|l3 |l2|ll|io| 9 | 8 |,7 | 6 | 5 | 4 | 3 | 2 | 1 [~0~| D7 D6 D5 D4 D3 D2 DI DO Разряды 0—7 предназначены для хранения данных {D0—D7). Разряды 8—15 не используются. Интерфейсные сигналы контроллера НГМД включают: 23
SET (Начальная установка — вырабаты- вается микросхемой для приведения механиз- ма и электронной части НГМД в исходное со- стояние; DONE (Завершено)—устанавливается кон- троллером НГМД для индикации того, что те- кущая команда выполнена (либо в случае ошибки); RUN (Пуск) — устанавливается микросхе- мой для инициирования передачи команды или байта данных; OUT (Вывод)—вырабатывается контрол- лером НГМД для указания направления пере- дачи байта информации (при низком уровне сигнала информации передается от НГМД к микросхеме); TR (Запрос передачи)—устанавливается контроллером НГМД для индикации того, что он готов принять (передать) байт информации; DI (Вход данных)—линия для приема по- следовательной информации; DO (Выход данных)—линия для переда- чи последовательной информации; SHFT (Сдвиг)—линия синхронизации при- ема (передачи) последовательной информации; длительность отрицательного импульса не ме- нее 200 нс, период 1 мкс; ERR (Ошибка) — устанавливается контрол- лером НГМД при обнаружении ошибки; при этом прекращается выполнение текущей коман- ды и устанавливается сигнал DONE. С помощью выводов RC4 и RC5 можно пе- реадресовать регистры микросхемы. Соответст- венно изменяются адреса векторов прерыва- ний. Адреса регистров и векторов прерываний, соответствующие комбинациям уровней напря- жений на выводах RC4, RC5, приведены в табл. 11.16. При низком уровне сигнала DONE запись команды, содержащей лог. 1 в нулевом раз- ряде, в регистр команд вызывает установку сигнала RUN, который инициирует прием команды контроллером НГМД. Контроллер НГМД снимает сигнал DONE и выставляет на линию SHFT серию из восьми импульсов. Пос- ле снятия сигнала DONE происходит сброс сигнала RUN, а серия импульсов синхронизи- рует выдачу команды в последовательном коде Таблица 11.14 Обозначение 2 RCO FBI 30 . *27 RC1 RUN I 28 7 RC2 SET' КЗ RC4 YIRQ < RC5 I AKO' '31 ABO < -Z-H 'SHFT AB1 i 30 AB2 < АВЗ i uz 32* 'BONE AB4 < 13 > TR АП5 i . /4 'вит ABB < , 15 33 , 'ТАК! AB7 < 16 34 , AB8 < >ТН!Т ABO ' 18 1$ 47 AB/Oi HL 'SYNC 'BIN •BOUT 'WTBT ABH < A3I2< ABH < ABIft . 20 22 , 24 >25 23 ; 'BS RPLY' Я 21 16NB Vcc : >42 «2 Вывод Тип вывода Функциональное назначение выводов Интерфейс накопителя на гибких магнитных дисках Рис. 11.17. Условное гра- фическое обозначение К1801ВП1-33 в режиме интерфейса НГМ (а) 1—6 RC3, RC0—RC5 . Входы Выбор режима 7 SHFT Вход Сдвиг данных 8 OUT Вход Вывод данных 9—16 AD0—AD7 Входы/ Разряды адреса/данных выходы 17—20 AD8—AD11, Входы Разряды адреса/данных 22, 24 AD12, AD14 25 AD15 Выход Разряд адреса/даиных 21 GND — Общий 23 BS Вход Внешнее устройство 26 DI Вход Вход данных 27 DO Выход Выход данных 28 RUN Выход Пуск 29 SET Выход Начальная установка 30 ERR Вход Ошибка 31 DONE Вход Завершено 32 TR Вход Требование передачи 33 IA KI Вход Разрешение прерывания 34 INIT Вход Установка исходного состояния 35 VIRQ Выход Требование прерывания 36 IA KO Выход Предоставление прерывания 37 DOUT Вход Чтение данных 38 DIN Вход Запись данных RPLY Выход Ответ WTBT Вход Запись/байт SYNC Вход Обмен Ucc — Напряжение питания Контроллер интерфейса параллельного ввода/вывода 1—4 RC3, RCO, Входы Выбор режима RC1, RC2 5 RDO Выход Задержка ответа 6 RD1 Вход Задержка ответа 7 CSRO Выход Регистр состояния РСО 24
Окончание табл 11 14 2 мо ПС DTK, HDD' 3 8С! BI 8 ‘ .26 608' М2 088 i , J/ 1 М3 CS80 C8B1 7 МО ша< О 8DI IAKO' 36 32 вена ADO ' AD! । AD2 ' J0 мая ADS < ADA < ADS । ADS < ADT , 3J < JAKI 3 —ч INIT AD8 । ADO , fl 47 ЛГ •SYNC АОЮ' ADIb ^2 «г 'DOOT 'WTIT ADI2< ADI A' ADIS' 3 27 I 8S BELY' ISND Occ: t42 S) 2 3 4 BCD 8C1 8C2 B8IC IN i OUT' SET' , 27 . 28 23 40 , 'SOS AOS' 7 'SCS SC-A' , 8 5 | 'AO-A YI8Q' , 35 6 • AC-A IAKO' , 36 30 EBB ADO < t 9 AD! 70 DONE AD2 < 77 J2 T8 ADS A 72 ADA ' ADS < 8EQ ADS ' AD7 ' 33 , 11 AHI ADB ADO AD IO' 34 'INIT \t3 ADU < AD12 < t W Ц 'SYNC лП 37 ) 'DIN 'DOUT ADI4 < ADIS' , 25 23 • BS 88LY' , 33 21 :0nd Ucc ! . 42 Вывод Обозначение Тип вывода Функциональное назначение выводов 8 CSR1 Выход Регистр состояния РС1 9—16 AD0—AD7 Входы, Разряды адреса/данных ВЫХОДЫ 7 20, AD8—ADU, Входы Разряды адреса/даниых 22, 24 AD12, AD14 21 GND — Общий 25 AD15 Выход Разряд адреса данных 9.3 BS Вход Внешнее устройство 26 B1R Выход Вывод старшего байта 27 DTR Выход Ввод данных 28 NDR Выход Вывод данных 29 BOR Выход Вывод младшего байта 30 REQB Вход Требование В 31 ORR Выход Чтение выходного регистра 32 REQA Вход Требование А 33 IA KI Вход Предоставление прерывания 34 INIT Вход Установка 35 VIRQ Выход Требование прерывания 36 IAKO Выход Предоставление прерывания 37 DOUT Вход Запись данных (по каналу) 38 DIN Вход Чтение данных (ио каналу) 39 RPLY Выход Ответ 40 WTBT Вход Запись/байт 41 SYNC Вход Обмен 42 Ucc — Напряжение питания Рис 11.17. Условное гра- фическое обозначение К1801ВП1-33 в режимах контроллера интерфейса параллельного ввода/ вывода (б) и контролле- ра бантоного параллель- ного интерфейса (в) Контроллер байтового параллельного интерфейса 1 REQ Вход Требование 2—4 RC0—RC2 Входы Выбор режима 5 АО-А Вход Готовность приемника 6 АС-А Вход Запрос приемника 7 AC-S Выход Запрос источника 8 SC-A Выход Стробирующий сигнал прием- 9—16 AD0—AD7 Входы ника Разряды адреса/данных И—20, AD8—AD11, ВЫХОДЫ Входы Разряды адреса/данных 22, 24 25 AD12, AD14 AD15 Выход Разряд адреса/данных 21 GND —- Общий 23 BS Вход Внешнее устройство 26 SC-S Вход Стробирующий сигнал источ- 27 IN Выход ника Ввод данных 28 OUT Выход Вывод данных 29 SET Выход Начальная установка 30 ERR Вход Ошибка 31 DONE Вход Завершено 32 TR Вход Требование передачи 33 IA KI Вход Предоставление прерывания 34 INIT Вход Установка 35 VIRQ Вход Требование прерывания 36 IAKO Выход Предоставление прерывания 37 DOUT Вход Запись данных (по каналу) 38 DIN Вход Чтение данных (по каналу) 39 RPLY Выход Ответ 40 SO-S Вход Готовность источника 41 SYNC Вход Обмен 42 Ucc — Напряжение питания 25
Рис. 11.18. Структурная схема К1801ВП1-33 н режимах интерфейса НГМД (а), контроллера интерфейса параллельного ввода/вывода (б) и контроллера байтового параллельного интер- фейса (в) на вывод DO. В зависимости от принятого кода команды контроллер НГМД устанавли- вает сигналы OUT и TR. При устаиовлеииом сигнале TR в зависимости от состояния сигна- ла OUT обращение к регистру данных вызы- вает установку сигнала RUN, который сбрасы- вается после снятия сигнала TR, и серию им- пульсов на выводе SHFT (восемь для синхро- низации адреса вектора и дорожки, семь для синхронизации данных). По окончании выпол- нения команды устанавливается сигнал DONE, который используется для работы по прерыва- нию. Требование прерывания VIRQ возникает с появлением сигнала DONE при наличии в регистре команд разрешения прерывания IE. Временные диаграммы процедур «Запись в буферный регистр» и «Чтение буферного реги- стра» приведены на рис. 11.19. Контроллер интерфейса параллельного вво- да вывода. Установку микросхемы в режим контроллера интерфейса параллельного вво- да/вывода производят подачей определенных уровней напряжения на выводы RC0—RC3. Помимо выбора режима работы микросхемы Таблица 11.15 Код микроконструк- цин Функция F3 F2 F1 0 0 0 Запись в буферный ре- гистр 0 0 1 Чтение буферного реги- стра 0 1 0 Запись сектора 0 1 1 Чтение сектора 1 0 0 Не используется 1 0 1 Чтение регистра 1 1 1 1 0 1 Запись сектора с меткой Чтение регистра ошибки 26
Таблица 11.16 Вывод I 1 Обозна- 1 чение Уровень сигнала Адрес РКС Адрес РД и й-2 4» Р р 55 О.Х <в С X 5 6 RC4 RC5 Низкий Низкий 177170 177172 264 5 6 RC4 RC5 Высокий Низкий 177174 177176 270 5 6 RC4 RC5 Низкий Высокий 177200 177202 274 5 6 RC4 RC5 Высокий Высокий ХХХХХО ХХХХХ2 XXX Примечание. X — состояние разрядов адреса безразлично. комбинации напряжений иа этих выводах осу- ществляют переадресацию регистров и векто- ров прерываний. Адреса регистров и вектора прерываний, соответствующие определенным комбинациям напряжений иа выводах RC0— RC3 в режиме контроллера параллельного ввода/вывода, приведены в табл. 11.17. Микросхема осуществляет прием и пере- дачу информации с помощью регистра состо- яния (PC), регистра приемника (РП) и реги- стра источника (РИ). Регистры источника и приемника выполнены на двух микросхемах К1801ВП1-34. Структурная схема контроллера приведена иа рис. 11.20. Микросхема содержит регистр состояния, компаратор адресов, блок прерываний и блок управления регистрами РП и РИ. Регистр со- стояния имеет следующий формат: [l5|l4|l3|l2 |l l|lp| 9 | 8 | 7|б|б|4|з|2|1|о| I | /Ал J CSR/| REQB REQA IEB CSRO Назначение разрядов регистра PC следу- ющее: CSR1, CSRO (PCO1, PCOO) — разряды, ко- торые могут использоваться для имитации за- просов прерывания в режиме автономной про- верки (для чтения и записи); REQA (Требование А)—требование пре- рывания А (только для чтения); REQB (Требование В)—требование пре- рывания В (только для чтения); IEA (Разрешение А)—разрешение преры- вания А, сбрасывается сигналом IN1T (для чтения и записи); IEB (Разрешение В) — разрешение преры- вання В, сбрасывается сигналом IN1T (для чтения и записи). Сигналы управления регистрами приемника и источника: DTR (Ввод данных)—вырабатывается микросхемой при чтении регистра приемника; NDR (Вывод данных) — вырабатывается микросхемой при записи в регистр-источник; BIR (Вывод старшего байта) — вырабаты- вается микросхемой при записи старшего бай- та в регистр-источник; BOR (Вывод младшего байта) —выраба- тывается микросхемой при записи младшего байта в регистр-источник; ORR (Чтение выходного регистра) — выра- батывается микросхемой при чтении регистра- источника; RD0 (Задержка ответа, выход) — выход разрыва цепочки формирования сигнала RPLY; RD1 (Задержка ответа, вход) — вход раз- рыва цепочки формирования сигнала RPLY. С помощью /?С-цепочки, включенной между выводами RD1 и RD0, можно увеличивать длительность импульсов DTP и NDR. Требование прерывания VIRQ возникает при появлении хотя бы одного из сигналов Рис. 11.19. Временные диаграммы процедур «Запись в буферный регистр» (а) и «Чтение буферного регистра» (б) 27
Таблица 11.17 Вывод Обозначение Уровень сигнала Адрес PC Адрес РИ Адрес РП Адрес вектора прерывания А (В} 1 RC3 RC0 RC1 Низкий 2 3 Высокий Низкий 167770 167772 167774 300 (304) 4 RC2 Высокий 1 RC3 Высокий 2 3 RC0 RC1 Высокий Низкий 167760 1677762 167764 310 (314) 4 RC2 Высокий 1 RC3 Низкий 2 3 RC0 RC1 Низкий Высокий 167750 167752 167754 320 (324) 4 RC2 Высокий 1 RC3 Высокий ') 3 RC0 RC1 Низкий Высокий 167740 167442 167744 330 (334) 4 RC2 Высокий 1 RC3 Низкий 3 RC0 RC1 Высокий Высокий XXXXX0 ХХХХХ2 ХХХХХ4 ХХО (ХХ4) 4 RC2 Высокий REQA, REQB при наличии в регистре состоя- ния соответствующего разрешения прерываний IEA, IEB При одновременном появлении сиг- налов REQA и REQB более высокий приори- тет имеет требование В. Выполнение процеду- ры прерывания стандартное. Контроллер байтового параллельного ин- терфейса. Установку микросхемы в режим кон- Рис. 11.20. Структурная схема контроллера интерфейса параллельного ввода/вывода 28
троллера байтового параллельного интерфей- са производят подачей определенных уровней напряжения на выводы RC0—RC2. Помимо выбора режима работы микросхемы комбина- ции напряжений на этих выводах осуществля- ют переадресацию регистров и векторов преры- ваний. Адреса регистров и векторов прерыва- ний, соответствующие определенным комбина- циям напряжений иа выводах RC0—RC2, при- ведены в табл. 11.18. Микросхема осуществляет прием и переда- чу информации с помощью регистров состоя- ния источника (РСИ) и приемника (РСП), ре- гистров источника (РИ) и приемника (РП). Регистры источника и приемника выполне- ны на одной микросхеме К.1801ВП1-34. Струк- турная схема контроллера приведена на рис. 11.21. Микросхема содержит регистры со- стояния РСИ и РСП, компаратор адресов, блок прерываний и блок управления регист- рами РИ, РП. Регистр состояния источника имеет следу- ющий формат: |i5|t4|t3|i2|ti|to| 9 | 6 I 7 | 6 | 5| 4|з| 2| 1 | о| ERR AR 1ЕА Назначение разрядов регистра: IEA — разрешение прерывания по приему, сбрасывается начальным сигналом 1NIT (для чтения и записи); AR — требование приема (только для чте- ния); Рис. 11.21. Структурная схема контроллера интерфейса байтового параллельного ввода вывода ERR — ошибка (только для чтения). Регистр состояния приемника имеет следу- ющий формат: |l5|l4jl3|l2|l 1 |ю| 9 | 8 | 7 | 6 | 5 | 4 | 3 | 2 | 1 | о| ERR SET TR 1ЕТ DONE Назначение разрядов регистра: DONE — завершено (только для чтения); 1ЕТ — разрешение прерывания по передаче, сбрасывается канальным сигналом INIT (для чтения и записи); Таблица 11.18 Вывод Обозна- чение Уровень сигнала Адрес РСИ Адрес РП Адрес РСП Адрес РИ Адрес вектора прерывания источника (приемника) 9 3 4 RC0 RC1 RC2 Низкий Низкий Низкий — - 177514 177516 200 2 3 4 RC0 RC1 RC2 Высокий Низкий Низкий 177560 177562 177564 177566 60 (64) 2 3 4 RC0 RC1 RC2 Низкий Высокий Низкий 177550 177552 177554 177556 70 (74) 2 3 4 RC0 RC1 RC2 Высокий Высокий Низкий 177570 177572 177574 177576 170 (174) 2 3 4 RC0 RC1 RC2 Низкий Низкий Высокий ХХХХХО ХХХХХ2 ХХХХХ4 ХХХХХ6 ХХО '(ХХ4) 29
Рис. 11.22. Временные диаграммы работы К1801ВП1-33 в режимах приема (а) и переда- чи (б) информации TR— требование передачи (только для чте- ния); SET — начальная установка (только для записи); ERR— ошибка (только для чтения). Работа микросхемы на прием информации. Прн отсутствии сигнала SO-S «Готовность источника» в регистре состояния источника установлен бит ERR «Ошибка», микросхема к работе не готова. При появлении сигнала SOS микросхема устанавливает сигнал ЛС-S «Запрос источни- ка», ответом на который является SC-S «Стро- бирующий сигнал источника». При поступле- нии сигнала SC-S в регистре состояния источ- ника устанавливается бит AR «Требование приема», который при наличии бита IEA «Раз- решение прерывания по приему» вызывает запрос прерывания. При чтении регистра при- емника вырабатывается сигнал IN «Ввод дан- ных» и снимается сигнал ЛС-S. Сигнал ACS может установиться вновь только после снятия сигнала SCS. Временные диаграммы работы микросхемы при приеме п передаче информации приведены на рис. 11.22. Работа микросхемы на передачу информа- ции. При отсутствии сиг'налачЛО-Л «Готовность приемника» микросхема к работе не готова. При наличии сигналов АО-А и АС-А «Запрос приемника» микросхема после записи инфор- мации в регистр-источник вырабатывает SC-Л «Стробирующий сигнал приемника», который сбрасывается после снятия сигнала АС-А. Во время записи в регистр-источник вырабатыва- ется сигнал OUT «Вывод данных». Состояния сигналов регистра состояния приемника ERR, TR соответствуют состояниям ИЯ ADO AD1 AD2 ADO AD4 AD5 ADS AD7 АИ8 ADS AD10 11 Kll AD12 । ADI J < & GRS Чес 21 2 КО ACIV 2 ЯС1 AD2< S1 AD3 < 12 52 S3 AD4< 13 29 SS ADS1 31 32 SS S7 AD6 < , 15 35 80 89 ADI < , № л_ 810 AD8 ' ADO < , 17 3 4 811 812 18 813 814 ADI0< , 19 816 816 AD1K Л «н >1АК1 AD12< 37 >¥1Я1 VIOQ< , IO 41 , >SYNC > DIN SO a 23 , > OS OFLY • t39 21 10ND Чес ! t 42 6) Рис. 11.23. Условное графическое обозначениеК1801ВП1-34 в режимах передачи информа- ции (а), буферного регистра данных (б), выдачи вектора прерывания и компаратора ад- реса (в) 30
a) AUS < ш ( 7м T А^Г^ ADS < Amo j АРП i AP/2 С AD!J ' АРИ < AP/S ( ADO ч AP2 < одноименных сигналов приемника. Запрос на прерывание по передаче инфор- мации возникает при наличии сигнала REQ «Требование» и установленном бите IET «Раз- решение прерывания по передаче» в регистре состояния. Путем внешнего соединения выво- да REQ с каким-либо выводом состояния при- емника можно вызывать запрос прерывания по любому из состояний приемника. Прн запи- си 1 в 14-й разряд регистра состояния, прием- ника, а также при канальном сигнале JNIT возникает сигнал SET и происходит начальная установка. Основные параметры микросхемы К1801ВП1-33 прицелены в табл. 11.2 и 11.3. 11.6. Микросхема К1801ВП1-34 Микросхема К1801ВП1-34 предназначена для использования совместно с микросхемой К1801ВП1-33 для организации интерфейса 16-разрядного программируемого параллель- ного ввода/вывода, байтового параллельного интерфейса. Она работает в режимах устрой- ства передачи информации, буферного регист- ра данных, устройства выдачи вектора преры- вания и компаратора адреса. Условные графические обозначения микро- схемы для трех режимов работы приведены на рис. 11.23, структурные схемы — на рис. 11.24, назначение выводов показано в табл. 11.19, временные диаграммы работы — на рис. 11.25. Устройство передачи информации (УПИ). Установку микросхемы в режим устройства передачи информации производят подачей на вывод RC0 напряжения высокого уровня, а на вывод RCI — напряжения низкого уровня. Рис, 11.24. Структурные схемы К1801ВП1-34 в режимах передачи информации (а), буфер- ного регистра данных (б), выдачи вектора прерывания и компаратора адреса (в) 31
Таблица 1119 Вывод Обозначение Тип вывода Функциональное назначение выводов Устройство передачи информации при RC0=\ и RC1 — 0 1, 2 RC1, RC0 Входы Выбор режима 3—8, В0—В5 Входы Данные В 35, 36 В6, В 7 9—16 С0—С7 Входы выходы Данные канала 17—20, D0—D3, Выходы Данные D 22—25 D4—D7 21 GND — Общий 26—33 А0-А7 Входы Данные А 34 R Вход Сброс данных 37, 38 CD, СВ Входы Разрешение выдачи (энных 39 СОМ Вход Инвертирование данных 40 С Вход Запись данных 41 СА Вход Разрешения выдачи данных А 42 Ucc — Напряжения питания Буферный регистр данных при RCO = Q и /?С/=1 1, 2 RC1, RC0 Входы Выбор режима 3—8, D8—D13, Входы Данные 26—33, D0—D7, 35, 36 D14, D15 9—20, AD0—AD11, Выходы Данные канала 22—25 AD12—AD15 21 GND -—. Общий 34 DME Вход Разрешение выдачи данных 37—39, — — Не используются 41 40 с Вход Запись данных 42 Ucc - Напряжение питания Устройство выдачи вектора прерывания и компаратора адреса при RCO—\ и RC1 = O 1, 2 RC1, RC0 Входы Выбор режима 3—8 S11—S16 Входы Установка разрядов вектора прерывания 9 SB Выход Устройство выбрано 10 VIRQ Выход Запрос на прерывание 11—20, AD2—AD11, Входы'выходы Разряды адреса данных 22 AD12 21 GND — Общий 23 BS Вход Внешнее устройство 24, 25, 40 — — Не используются 26—33, S1—S8, Входы Установка разрядов адреса 35, 36 S9, S10 34 IA KI Вход Разрешение прерывания 37 VIRI Вход Запрос прерывания 38 DIN Вход Чтение данных 39 RPLY Выход Ответ 41 SYNC Вход Обмен 42 Ucc — Напряжение питания 32
Рис. 11.25. Временные диаграммы работы К1801ВП1-34 в режимах УПИ (<з), буферного регистра данных (б), выдачи вектора преры- вания (в) и компаратора адреса (г) 2 Зак. 915 С помощью управляющих сигналов СА и СВ входная информация АО—А7 и ВО—В7 со- ответственно передается на двунаправленные выводы СО—С7. В зависимости от сигнала СОМ информация передается в прямом нли инверсном виде. Сигналом С производится запись информации с выводов СО—С7 в бу- ферный регистр. С буферного регистра инфор- мация с помощью сигнала CD выдается на выводы DO—D7 в зависимости от сигнала СОМ в прямом или инверсном виде. Сигнал R обнуляет буферный регистр. Зз
Буферный регистр данных. Установку мик- росхем в режим буферного регистра данных производят подачей на вывод RCO напряже- ния низкого уровня, а на вывод RC1— напря жеиня высокого уровня. Входная информация с выводов DO—D15 сигналом С записывается в 16-разрядный бу- ферный регистр. Сигнал DME разрешает выда- чу информации с буферного регистра на выво- ды АО—А15, которые при высоком уровне сиг- нала DMF. находятся в отключенном состоя- нии. Устройство выдачи вектора прерывания и компаратор адреса. Установку микросхемы в режим устройства выдачи вектора прерывания и компаратора адреса производят подачей на выводы RC0 и RC1 напряжения высокого уровня. Старшие шесть разрядов требуемого адре- са вектора прерывания устанавливаются на выводах S11—SI6 Адрес, необходимый для сравнения, должен устанавливаться на выводах S1—S10. Состоя- ния S1—S10 и AD3 ADI2 сравниваются при наличии сигнала BS. При сравнении выраба- тывается сигнал SB, который запоминается в триггере на все время присутствия сигнала SYNC. Основные параметры микросхемы К1801ВП1-34 приведены в табл. 11,2 и 11.3. 11.7. Микросхема К1801ВП1-35 Микросхема К1801ВП1-35 — асинхронный приемопередатчик для внешних устройств, ра ботающих на линию связи с последовательной передачей информации, предназначен для пре- образования параллельной информации в по- следовательную и наоборот. Условное графическое обозначение микро- схемы приведено на рис. 11.26. назначение вы водов — в табл. 11.20, структурная схема пока зана на рис. 11.27. В состав микросхемы входят: блок системной магистрали, обеспечиваю- щий связь параллельного канала с регистра- ми микросхемы; блок синхронизации, обеспечивающий запись и чтение информации, а также синхро- низацию работы микросхемы по системной магистрали; J4 >7Л77 SART 6ND-. f 21 47 | &< >SYNC iDIN uCc : 42 AB 0 < 37 , >воит , 3 33 , >IAKI 1 < 2 < 3 < 4 < 5 , 10 Г ас 23 ACLO 24 ACL1 6 IS 16 17 7 NBO 7 8 NB1 8 3 30 HP 10 t 13 32 PEV II 20 22 40 DCLO 12 15 , 25 , 33 £ FRO RPLV < FR1 FR2 5 VIRO 35 r 36 6 FRO lAKOi 26 > BS EVNT HALT i , 31 2 >BSYD 27 ац > IP TF Таблица 1 1.20 Рис. 11.26. Условное гра- фическое обозначение К1801ВП1 -35 Вывод Обо «пачени< T hii вывода Ф\нкцнональное на <нач<.ние выводов 1 CLC Вход Синхронизация 2 EVNT Выход Прерывания по таймеру 50 Гп 3—6 FRO—F RS Вход Выбор скорости обмена 7, 8 NBO, NB1 Вход Выбор формата 9—16, 22 AD0—AD7, AD12 Входы выходы Разряды адреса'данных 17—20 AD8—AD11 Входы Разряды адреса данных 21 GND — Общий 23. 24 ACLO, AC LI Входы Выбор адреса 25 ADI 5 В ы ход Разряд адреса/данных 26 BS Вход Выбор внешнего устройства 27 TF Выход Сигнал передатчика 28 IP Вход Сигнал приемника 29 BSYD Вход Сигнал занятости последова- тельного канала 30 NP Вход Установка паритета 31 HALT Выход Останов 32 PEV Вход Установка четности или нечет- ности 33 LAKI Вход Сигнал предоставления преры- вания по каналу 34 INJT Вход Установка 35 VIRQ Выход Требование векторного преры- вания 36 IA KO Выход Предоставление прерывания 37 DOUT Вход Запись данных 38 DIN Вход Чтение щнных 39 RPLY Выход Ответ 40 DCLO Вход Авария источника питания 41 42 SYNC Uc<. Вход Обмен Напряжение питания 34
Рис. 11.27. Структурная схема К1801ВП1-35 компаратор адресов и управляющих сигна- лов, производящий выбор по адресу регистров микросхемы и выработку сигналов на запись или чтение информации; блок режима работы, устанавливающий ра- боту микросхемы в различных форматах дан- ных, а также с паритетом или без паритета: блоки приемника и передатчика, состоящие нз регистра состояния, сдвигового регистра и буферного параллельного регистра; тельному каналу: Выход HALT отсутствии СТОП-бита. Рис. 11.28. Временная диаграмма приема посылки блок селектора скоростей, обеспечивающий работу микросхемы на разных скоростях обме- на информации по последовательному каналу, а также выработку сигнала EVNT с частотой 50 Гц. Временные диаграммы приема и выдачи посылки приведены на рис. 11.28 и 11.29. Микросхема обеспечивает по последова 2 35
скорости обмена при тактовой частоте 4608 кГц 50, 75, 100, 150, 200, 300, 600, 1200, 2400, 4800, 9600, 19 200 бод; прием и выдачу посылки в форматах 5, 7 пли 8 информационных бит; формирование двух стоповых бит, причем в формате 5 бит— 1,5 стоповых бита; формирование и контроль бита паритета (четности или нечетности), а также работу без бита паритета. Адреса регистров и векторов прерывания — сменные по группам (табл. 11.21). Регистр состояния приемника имеет формат Назначение разрядов регистра: разряды 0—5, 8—11, 13. 14 не используют- ся, читаются как лог. 0; разряд 6 — разрешение работы приемника по прерыванию. Если разряд установлен в 1, то прерывание разрешено, если в 0 — запре- щено. Доступен по записи и чтению, сбрасы- вается в 0 по сигналу INIT-, разряд 7 — флаг состояния приемника. Устанавливается в 1 при поступлении посыл- ки в буферный регистр приемника. Сбрасыва- ется в 0 по окончании чтения посылки буфер- ного регистра приемника или по сигналу INIT, доступен по чтению. Флаг состояния приемника устанавливает- ся в 1 от предыдущей посылки или от исход- ного состояния «Стоп» на линии при включе- нии источника питания после приема первой посылки; разряд 12 — ошибка переполнения. Уста- навливается в 1, если в сдвиговый регистр приемника поступило более одной посылки без чтения из буферного регистра приемника пер- вой поступившей посылки. При этом независи- мо от числа поступивших в канал приемника посылок в буферном регистре сохраняется первая посылка. Находится в 0, если поступив- шая в буферный регистр посылка читается до окончания поступления в сдвиговый регистр последнего информационного бита следующей посылки. Сбрасывается в 0 по окончании чте- ния буферного регистра приемника или по сиг- налу IN IT, доступен по чтению; разряд 15 — ошибка в принятой посылке. Устанавливается в 1, если есть ошибка пари- тета в принятой посылке и установлено усло- вие контроля паритета. В противном случае находится в 0. Признак ошибки сбрасывается в 0 с момента чтения содержимого буферного регистра приемника или по сигналу 1NIT. До- ступен по чтению. Таблица 11.21 Группа 4 Peincip состояния 177560 приемника Буферный регистр 177 562 приемника Регистр состояния не- 177564 редатчика Буферный регистр Не- 17 7566 редатчика Адрес вектора пре- 060 рываиия приемника Адрес вектора иреры- 06 1 ваиия передатчика 176560 176570 176562 176572 176564 176574 176566 176576 370 374 ХХХХХи ХХХХХ2 ХХХХХ4 ХХХХХ6 ххо XXI 36
Буферный регистр приемника, доступный по чтению, имеет формат Буферный регистр передатчика, доступный по записи, имеет формат разряды 0—7 содержат посылку, принятую с линии. В разряде 0 находится первый бит посылки, в разряде 7 — восьмой. При установ- ленном контроле бита паритета он следует за последним битом посылки. При формате 8 бит он анализируется микросхемой, но в буферный регистр не поступает. Низкий уровень бита в посылке на входе 1Р соответствует лог. 1, высокий уровень — лог. О в буферном регистре приемника; разряды 8—15 не используются, читаются как лог. 0. Регистр состояния передатчика имеет формат 8 7 6 2 О Назначение разрядов регистра: разряд 0—разрыв линии. ЕсЛн разряд 0 установлен в 1, то иа выходе TF устанавли- вается высокий уровень (состояние «Старт») при условии, что на входе BSYQ— низкий. Если на входе BSYD высокий уровень, то на выходе TF устанавливается низкий (состояние «Стоп»), При этом посылка не выходит и установка 0 разряда в 1 этот уровень не из- меняет. Доступен по записи и чтению. Сбра- сывается в 0 по сигналу IN IT; разряд 2 — проверка работы. Если разряд 2 установлен в 1, то посылка с выхода TF по- ступает также и на канал приемника. Сбра- сывается в 0 по сигналу INIT. При этом вход IP для приема посылок с линии закрыт. До- ступен по записи и чтению; разряд 6—разрешение работы передатчика по прерыванию. Если разряд 6 установлен в 1, то прерывание разрешено, если в 0 — запреще- но. Доступен по записи и чтению. Сбрасыва- ется в 0 по сигналу IN IT; разряд 7 — флаг состояния передатчика. Устанавливается в 1 в момент выдачи посыл- ки на линию или по сигналу DCLO. Сбрасы- вается в 0 по записи информации в буфер- ный регистр передатчика. Состояние лог. 1 свидетельствует о том, что буферный регистр передатчика пустой. Если запись информации в буферный регистр передатчика произошла во время выдачи посылки, то следующая по- сылка начинается сразу же по окончании пре- дыдущей. Доступен по чтению; разряды 3—5, 8—15 не используются, чи- таются как лог. 0. Назначение разрядов регистра: разряды 0—7 — разряды данных для пере- дачи посылки на линию. В разряд 0 записы- вается первый бит посылки, в разряд 7 — восьмой. По окончании записи данных в бу- ферный регистр передатчика происходит запись данных в сдвиговый регистр передатчи- ка. С выхода TF посылка поступает на линию при условии, что на входе BSYD низкий уро- вень. При соблюдении условия формирования бита паритета он автоматически следует за последним битом посылки. При записи лог. 1 в буферный регистр передатчика данный бит иа выходе TF имеет низкий уровень, при запи- си лог. 0 — высокий. При чтении по адресу буферного регистра передатчика читывается адрес источника век- тора прерывания. При этом разряды 8—15 чи- таются как лог. 0. Запрос на прерывание от приемника возни- кает, если разряды 6 и 7 его регистра состоя- ния установлены в 1. При обработке запроса на прерывание читается адрес вектора преры- вания приемника. Запрос на прерывание от передатчика воз- никает, если разряды 6 и 7 его регистра со- стояния установлены в 1. При обработке за- проса на прерывание читается адрес вектора прерывания передатчика. Источники адресов векторов прерываний имеют формат 15 8 7 3 2 0 Назначение разрядов регистра: разряд 2 — указатель адреса вектора пре- рывания. Наличие 0 в разряде 2 указывает на адрес вектора прерывания приемника, нали- чие 1 — передатчика. разряды 3—7 — сменная часть адреса векто- ра прерывания. Если выбрана четвертая груп- па адресов регистров и источников адресов векторов прерываний, то разряды 3—7 чита- ются как лог. 0; разряды 0, 1, 8—15 не используются, чита- ются как лог. 0. Приоритет запроса от приемника выше при- оритета запроса от передатчика. По окончании приема посылки при отсут- ствии сигнала «Стоп» (разрыв линии) микро- схема устанавливает на выходе HALT сигнал низкого уровня. Сигнал высокого уровня на 37
Таблица 11.22 Вывод Обознаш ние Группа 1 Группа 2 Г руппа 3 Г руппа 4 23 ACL0 0 1 0 1 24 АСЫ 0 0 1 1 Примечание При заданной комбинации ACL0—1, АСЫ = \ регистры микросхемы выбираются по адресу, состоящему из разрядов 1 и 2, и по сиг- налу BS На разряды адреса 3—12 микросхема не реагирует Группа 4 адресов может быть использова- на для внешнего произвольного набора адресов ре- гистров и внешнего источника адресов векторов пре- рываний микросхемы Таблица 11.23 Вывод Обозначе- ние Бит 5 Бит 7 Бнт 8 7 N ВО X 0 1 8 NB1 0 1 1 Примечание X — состояние входа безразлично Таблица 11.24 Скорость обмена, бод FP3, вы- вод 6 FP^, вы- вод 5 FP1, вы ВОД 4 FP0, вы вод J 50 0 0 0 0 75 0 0 0 1 100 0 0 1 0 150 0 0 1 1 200 0 1 0 0 300 0 1 0 1 600 0 1 1 0 1200 0 1 1 1 2400 1 0 0 0 4800 1 0 0 1 9600 1 0 1 0 19 200 1 0 1 1 Таблица 11.25 Режим работы с паритетом Вывод 30 Вывод 12 Her формирования бита паритета и контроля па- ритета 1 X Формирование бита чет- ности и контроль четно- сти 0 1 Формирование бита не- четност и и контроль не- четности 0 0 Примечание X - состояние входа безразлично. выходе HALT устанавливается по сигналу /Л7Т. При тактовой частоте 4608 кГц на выходе EVNT микросхема обеспечивает частоту им- пульсных сигналов 50 Гц со скважностью 2. Выбор групп адресов регистров и источни- ков адресов векторов прерываний производит- ся заданием комбинаций уровней на входах ACL0 и АСЫ (табл. 11.22). Выбор форматов посылок по последователь- ному каналу производится заданием комбина- ций уровней на входах NB0 и NB1 (табл. И 23). Выбор скорости обмена по последователь- ному каналу производится заданием комбина- ций уровней на входах FP0—FP3 (табл. 11.24). Выбор режима работы с паритетом или без него, а также режима работы с паритетом по четности или нечетности задается комбинаци- ями уровней на входах NP и PEV (табл. 11.25). Основные параметры микросхемы К1801ВП1-35 приведены в табл. 11.2, 11.3. 11.8. Микросхема КР1801РЕ2 Микросхема КР1801РЕ2 — постоянное за- поминающее устройство емкостью 65536 бит с организацией 4096X16 разрядов, предназна- чено для построения блоков памяти микро- ЭВМ различного назначения. Условное графическое обозначение микро- схемы приведено на рис. 11.30, назначение вы- водов— в табл. 11.26, структурная схема по- казана на рис. 11.31, временная диаграмма ра- боты— на рис. 11.32. Входы и выхо 1ы в микросхеме совмещены, 3 ( FS НО АЦ1 A7J2 АПЗ АЛ4 IS 15 14 13 4 2 , > #27 АЛ5 5 АП6 6_ 23 ( > OS АП7 АОВ AD3 АЛЮ АНН 7 в 9 10 11 АЛ 12 17 АЛ13 18 АЛ14 13 20 12 .6 НН АЛЮ 24 : 47сс AN , Рнс. 11 30 Условное графическое обозначение КР1801РЕ2 38
Таблица 11.26 Вывод Обозначение Тип вывода ф\нкцноиальиое назначение выводов 1 RD Вход Сигнал «Чтение» 2 AN Вход Сигнал «Ответ» 3 SYN Вход Сигнал «Синхронизация» 4—11 AD4—AD11 Входы/вы- Разряды адреса/данных ходы 12 GND — Общий 13—16 AD3—AD0 Входы'вы- Разряды адреса/данных ходы 17—20 AD12—AD15 Входы, вы- Разряды адреса'данных ходы 23 CS Вход Сигнал выбора микросхемы 24 Ucc — Напряжение питания Примечание Выводы 21 н 22 не задействованы. поэтому передача данных осуществляется в мультиплексном режиме. Микросхема использует четыре управляю- щих сигнала: SIN — «Синхронизация», обеспечивает запись адреса во входной регистр микросхемы; RD — «Разрешение чтения», обеспечивает выдачу считанной информации иа общую ма- гистраль при наличии сигнала «Синхрониза- ция»; AN — «Ответ», сопровождает информацию, которая поступает из ПЗУ на общую маги- страль; CS — «Выбор кристалла», позволяет осуще- ствлять дополнительную выборку. Наличие трехразрядиого программируемого интерфейса (адресные входы А13—А15) поз- воляет включать параллельно до восьми мик- росхем ПЗУ. Для выборки слова из ПЗУ не- обходимо подать код адреса слова на входы А1—А12, а код данной микросхемы — на вхо- ды А13—А15. В этом случае можно включать параллельно до восьми микросхем. При этом вход DO не участвует в выборке адресов ПЗУ, а информация снимается с выходов DO—D15. Рис. 11.31. Структурная схема КР1801РЕ2 39
Продолжение табл. 11.27 Рис. 11.32. Временная диаграмма работы КР1801РЕ2 Основные электрические характеристики микросхемы КР1801РЕ2 приведены в табл. 11.27. Таблица 11.27 Параметр Обозна- чение Значения параметров [макс (мнн )] KPI80IPE2A КР1801РЕ2Б Выходное напряже- ние низкого уровня*, В Выходное напряже- ние высоко- го уров- ня **, В UOL 0,5 0,5 и ОН (2,4) (2,4) 11араметр Обозна- чение Значения параметров [макс, (мин.)] КР1801РЕ2А КР1801РЕ2Б Мощность потребле- ния в режи- ме хране- ния, мВт Мощность потребле- ния в режи- ме обмена, мВт pccs 22о" 220 PCCD 330 330 Входной ток высоко- го уровня, мкА Ьн 15 15 Входной ток низкого уровня, мкА {IL 15 15 Время вы- борки раз- решения, ИС *СО 300 500 ♦ При /о=3,2 мА. ** При /о —400 мкА. 11.9. Микросхема К573РФЭ Микросхема К573РФЗ — перепрограммиру- емое постоянное запоминающее устройство с ультрафиолетовым стиранием и электрической записью информации емкостью 65 536 бит, с организацией 4096X16 разрядов. 3 > СЕ DS HO AO DI A1D2 A2D3 A3DL- Ю -JL /4 и 4 ]_ , ' RE ALD5 A5DB 5 2 ( 'RPLY A6D7 AIDS 7 23 ' CS ASD3 A3DI0 9 10 22 WE/RE AIODII AIIDI2 11 17 12 у 21 , : GND A12D13 A13DN 16 19 24 Ecci t L/m A1UD15 20 Таблица 11.28 Рис, 11.33. Условное графиче- ское обозначение К573РФЗ Вывод Обозначение Тип вывода Функциональное назначение выводов 1 RE Вход Сигнал «Чтение» 2 RPLY Вход Сигнал «Ответ» 3 CE Вход Сигнал «Синхронизация» 4—11 AD4—AD11 Входы/ выходы Разряды адреса/данных 12 GND — Общий 13—16 AD3—AD0 Входы/ выходы Разряды адреса/данных 17—20 AD12—AD15 Входы/ выходы Разряды адреса/данных 21 UcC} — Напряжение питания +5 В, в режиме программирова- ния + 18 В 22 WEfRE Вход Сигнал «Запись/считыва- ние» 23 CS Вход Сигнал выбора микросхемы 24 Uccz — Напряжение питания +5 В 40
Рис. 11.34. Структурная схема К573РФЗ Микросхема предназначена для построения блоков памяти микро-ЭВМ широкого назна- чения. Информационная емкость, адресация ячеек микросхем: К573РФЗ К573РФ31 К573РФ32 64 К 32К 32К 0—4096 0—2047 2048—4096 Условное графическое обозначение микро- схемы приведено на рис. 11.33, назначение вы- водов— в табл. 11.28, структурная схема по- казана на рис. 11.34, временная диаграмма ра- боты— на рис. 11.35. Входы и выходы в микросхеме совмещены, поэтому передача данных осуществляется в мультиплексном режиме. Три старших разряда используются для программирования кода микросхемы, чго поз- воляет осуществлять выборку одной из восьми микросхем иа общую магистраль без построе- ния дополнительного дешифратора. Микросхема К573РФЗ использует четыре управляющих сигнала: 41
Таблица 11.29 Параметр Обозна- чение Значение параметров [макс (мин.)] Выходное напряжение низ- кого уровня, В UOL 0,5 Выходное напряжение вы- сокого уровня, В ион (2,4) Ток потребления, мА 1СС 40 Динамический ток потреб- ления, мА lCCD 80 Входной ток низкого уров- ня, мкА !1L 1.0 Входной ток высокого уров- ня, мкА 1.0 Время выборки разреше- ния, нс lco 550 Время сохранения выходной информации после сигнала чтения, нс ‘roh 100 Время записи информации, мс * 50 * Допускается производить записи информации до трех раз Таблица 11.30 Параметр Обозна- чение Значение параметров [макс, (мин )] Напряжение питания в ^СС1 7 режиме считывания, В Напряжение в режиме ^ссз 21 программирования, В 'Напряжение сигнала (-0.3) входной информации, В UIH 7 СЕ — «Обмен», обеспечивает запись адреса во входной регистр адреса микросхемы; WEIRE — «Чтение / программирование», оп- ределяет режим работы микросхемы; RE — «Разрешение чтения», обеспечивает выдачу считанной информации иа общую ма- гистраль при наличии сигнала «Обмен»; RPLY — «Ответ», сопровождает информа- цию, которая поступает из ППЗУ на общую магистраль. Кроме этих сигналов имеется сигнал CS, который позволяет осуществлять дополни- тельную выборку. Основные электрические параметры микро- схемы К573РФЗ приведены в табл. 11.29, пре- дельные значения электрических режимов — в табл. 11.30. 11.10. Рекомендации по применению Высокая степень интеграции микропроцес- сорного комплекта БИС серии К1801, реализу- ющего принципы магистрально-модульной ор- ганизации, наличие в составе комплекта одно- кристальных микропроцессоров и периферий- ных микросхем позволяют применять его для создания высокоэффективных микропроцессор- ных средств. Одним из примеров применения комплекта являются выпускаемые промышленностью од- ноплатные микро-ЭВМ ряда «Электрони- ка-MCI 201», предназначенные для использова- ния в различных вычислительных и управляю- щих системах. Микро-ЭВМ состоит из функционально за- конченных модулей, объединенных между со- бой по магистральному принципу (рис. 11.36). Системная магистраль (канал), выполненная в соответствии с интерфейсом МПИ, позволя- ет адресовать 32К 16-разрядных слов или 64К байт (только по записи). В адресном пространстве принято исполь- зовать нижнюю область с адресами 0—376 в качестве адресов векторов прерываний. Верх- няя область слов адресного пространства от 160000 до 177776 используется для адресов регистров внешних устройств. Активным уст- ройством в канале обычно является процессор. Кроме него активными в канале могут быть устройства, способные работать в режиме пря- мого доступа к памяти. —|^Г РНП « ппз АД СУ Канал ПЗУ пользователе УОЗУ ПРЦ Д . з?=5| ДИ ,, Л! СУ ГТИ1 НГМД ПаслеЗава- тлельныи МЫ/вывод Г, ~| дн ' УПИ I ДП , УОР Параллель ный fauna - выи ffof/Moi Рис. 11.36. Структура одноплатной микро-ЭВМ на микросхемах серии К1801 42
Процессор микро-ЭВМ выполнен на основе ОМП К1801ВМ1 нли КМ1801ВМ2. Оперативное запоминающее устройство со- стоит из накопителя информации (НОЗУ), устройства управления ОЗУ (УОЗУ), буфер- ного регистра данных (БРД), блока управле- ния выборкой банков памяти. НОЗУ собран на 32 микросхемах К565РУЗ (КР565РУ6). Пол- ная емкость накопителя составляет 32К 16-разрядных слов (восемь банков памяти по 4К слов каждый). Устройство управления ОЗУ выполняется на микросхеме К1801ВП1-30. Для построения производительных вычислительных систем, ра- ботающих на максимальной тактовой частоте до 10 МГц, рекомендуется совместно с ОМП КМ1801ВМ2 применять в качестве УОЗУ мик- росхему К1801ВП1-13, выполняющую функции, аналогичные К1801ВП1 -30, но на более высо- кой частоте. Буферный регистр данных выполняется иа микросхеме К1801ВП1-34 и служит для вре- менного хранения данных после завершения их выборки из НОЗУ до окончания передачи по каналу в активное устройство. Таким обра- зом, динамическое ОЗУ для активного устрой- ства функционирует аналогично ОЗУ статиче- ского типа. Блок выборки байтов (БВБ) предназначен для отключения с помощью набора переклю- чателей отдельных банков ОЗУ из адресного пространства. Вместо них могут быть подклю- чены ПЗУ пользователей, выполненные на мик- росхемах КР1801РЕ2 и К573РФЗ. Системное ПЗУ (СПЗУ) выполняется на микросхеме КР1801РЕ2 и содержит програм- мы режимов начального пуска, пультового ре- жима, начальных загрузчиков с накопителей на гибких магнитных дисках, резидентного проверяющего теста. Устройство байтового параллельного интер- фейса (УБПИ) предназначено для связи мик- ро-ЭВМ с внешними устройствами по асин- хронным параллельным каналам ввода/выво- да. Состоит из устройства управления байто- вым параллельным интерфейсом (БПИ) на микросхеме К1801ВП1-33 и ряда переключа- телей, а также из устройства передачи инфор- мации (УПИ) на микросхеме К1801ВП1-34. Осуществляет обмен с системным каналом с помощью четырех внутренних регистров, ор- ганизует прерывания от приемника и передат- чика. Адресация регистров УБПИ задается переключателями, установленными иа плате. Эти переключатели изменяют также адреса векторов прерывания, выдаваемых УБПИ при процедуре векторного прерывания программы. Устройство последовательного ввода/выво- да (УПВВ) предназначено для связи микро- ЭВМ с внешними устройствами по асинхрон- ному последовательному каналу, выполнено на микросхеме К1801ВП1-35. Связь УПВВ е внешним устройством осуществляется с по- мощью узла оптронной развязки (УОР) по линии типа «20 мА токовая петля». Устройство интерфейса накопителя на гиб- ких магнитных дисках (УИГМД) выполняется на микросхеме К1801ВП1-33. Осуществляет обмен информации с НГМД с помощью двух внутренних регистров; может производить пре- рывание программы с выдачей адреса вектора прерываний. Регистр начального пуска (РНП) предна- значен для указания адреса и кода программы режима начального пуска. Приемопередатчики ПП1—ПП4 служат для усиления и электрической развязки сигналов. 43
Блок управления приемопередатчиками (БУПП) предназначен для переключения на- правления ПП1 при передаче сигналов си- стемного канала. Генераторы тактовых импульсов (ГТИ1 и и ГТИ2) предназначены для выработки так- тирующих импульсов для ПРЦ, ОЗУ, УПВВ. Генератор ГТИ1 выполняется по схеме с ис- пользованием времязадающих элементов, ГТИ2—на основе кварцевого резонатора ча- стотой 4,608 МГц. Корректор сигналов канала (КСК) выпол- няет функции временных привязок сигналов канала к работе ПРЦ при использовании мик- росхемы К1801ВМ1. Структурная схема блока ОЗУ с примене- нием микросхем К1801ВП1-30 и К1801ВП1-34 приведена на рис. 11.37. Глава 12 Микропроцессорный комплект серии КР1802 Микропроцессорный комплект серии КР1802 представляет собой 8/16-разрядные секционные микросхемы для построения вы- сокопроизводительных ЭВМ и устройств ра- диоэлектронной аппаратуры, включая РЭА цифровой обработки сигналов. Отличитель- ной особенностью комплекта является широ- кий набор функциональных расширителей (умножителей, делителей, сдвигателей), мно- гоадресных регистров общего и специального назначения и коммутационных устройств. Основные свойства комплекта: неограниченное наращивание разрядности; микропрограммируемость; возможность эмуляции произвольной сис- темы команд; гибкая архитектура и большой выбор мик- росхем; высокое быстродействие. Микросхемы МПК, требующие максималь- ного быстродействия, выполнены по ЭСЛ-схе- мотехнике с обрамлением ТТЛШ (КР1802ИМ1, КР1802ИП1, КМ1802ВР4, КМ1802ВР5, КР1802КП1). Остальные микросхемы выполне- ны по ТТЛШ-схемотехнике. Состав микросхем комплекта и их назначе- ние приведены в табл. 12.1. Серия КР1802 мо- жет быть дополнена микросхемами серий К589 и КМ 1804. Микросхемы КР1802 и КМ1802 работают при напряжении питания +5 В±5%. Обеспе- чивается полная совместимость с микросхема- ми других серий, имеющих ТТЛ- и ТТЛШ- уровни логических сигналов (C7oi«0,5 В, Цои^2,4 В). Для тактирования микросхем применяется однофазная синхронизация с ча- стотой 10—20 МГц для различных примене- ний. 12.1. Микросхема КР1802ВС1 Микросхема КР1802ВС1 микропроцессор- ной секции (МС) — 8-разрядная наращивае- мая секция устройства обработки данных, предназначена для выполнения следующих операций: арифметическое сложение и вычитание в дополнительном коде; логические операции конъюнкции, дизъюнк- ции, инверсии и сложение по модулю 2; арифметические, логические и циклические сдвиги вправо и влево иа один разряд. Таблица 12.1 Тип микросхемы Функциональное назначение Тип корпуса КР1802ВС1 8-разрядная микропроцессорная секция 2206.42-1 КР1802ИР1 Двухадресные регистры общего назначения на 16X4 бита 239.24-2 КР1802ВР1 16-разрядный арифметический расширитель 2206.42-1 КР1802ВР2 8-разрядная секция последовательного умножителя/делителя 2206.42-1 КР1802ВРЗ 8-разрядиый параллельный умножитель 2206.42-1 КМ1802ВР4 12-разрядный параллельный умножитель 2136.64-1 КМ1802ВР5 16-разрядный параллельный умножитель 2136.64-1 КР1802ИМ1 4-разрядная 4-входовая секция сумматора/вычитателя 2207.48-4 КР1802ИП1 Многоадресные ассоциативные регистры на 40 бит 2207.48-4 КР1802ВВ1 Схема обмена информацией 2206.42-1 КР1802ВВ2 Схема интерфейса 2206.42-1 КР1802ВВЗ Программируемый адаптер последовательного интерфейса 2121.28-1 КР1802КП1 4-разрядная секция многофункционального коммутатора ма- гистралей на четыре направления 2207.48-4 44
Таблица 12.2 Вывод Обозначение Тип вывода Функциональное назначение выводов 41, 1, 3, 5, 18, 20, DAO— DA7 Входы/вы- ходы 1 Информация 22, 24 40, 42,2, 4, 19,21, DB0—DB7 Входы/вы- ходы 1 Информация 23, 25 6—9, F0—F7 Входы Код микрокоманды 14—17 28 LO/RI Выход/ вход 1 Сдвиг влево/вправо САЛУ 37 RULO Вход/вы- ход 1 Сдвиг вправо/влево СРР 39 LI/RO Вход/вы- ход 1 Сдвиг влево/вправо САЛУ или перенос из 3-го разряда АЛУ 38 RO/'LI Выход.' вход 1 Сдвиг вправо/влево СРР 10 CI Вход Перенос 33 СО Выход Перенос 13 CLK Вход Синхронизация 31 СНВ Вход Управление инверсией стар- шего разряда 36 CS Вход Выборка кристалла 29 ED Вход Разрешение выдачи инфор- мации 30 CHS Вход Выборка старшего кристал- ла 35 G Выход Генерация переноса 34 P Выход Распространение переноса 12 ZR Выход2 Признак равенства 0 ре- зультата 26 О1Г Выход2 Признак переполнения 27 F Выход 2 Выдвигаемые разряды АЛУ или выходной перенос СО 32 Ucc — Напряжение питания +5 В 11 GND — Общий ' Бинаправленный, с тремя состояниями. 2 С открытым коллектором. Рис. 12.1. Условное графи- ческое обозначение КР1802ВС1 6 7 8 9 1±_ 15 16 17 28 , 37 у 10 13 31 |Г 32 F0 F1 FZ F3 F4 F5 F6 F7 'LO/RI 'RI/LO CI CLK 'СНВ >CS 'ED CHS 'Occ ALU DAO < DAI < DAZ < DA 3 < DAK DAS i DAO < DAZ ' DBO< DB1 < DBZ < DB3 ' DB4- < DB6 । DB6 < DBZ i Ll/RO < RO/LI < CO B< P ZR OW F\ BHD . 44 5 3 .40 Г2 ,2? .39 ,38 33 35 34 12 26 ,Z7 11 При выполнении перечисленных операций возможно производить многочисленные опера- ции маскирования содержимым регистра рас- ширения отдельных разрядов входных дан- ных. По результату операции вырабатываются признаки равенства 0 результата и признак переполнения (в операциях сложения, вычи- тания, сдвига влево). При соединении нескольких микросхем МС можно производить последовательный и уско- ренный перенос, операции обработки байтов, широкий набор операций сдвигов, включая и расширенные сдвиги, т. е. сдвиг двойного сло- ва совместно с регистром расширения без внешних дополнительных схем с выработкой признаков результата только в выбранных кристаллах. Условное графическое обозначение микро- схемы приведено на рис, 12.1, назначение вы- водов — в табл. 12.2, структурная схема по казана иа рис. 12.2, временная диаграмма ра- боты — иа рис. 12.3. В состав микросхемы входят следующие основные узлы: У1— регистр данных В (РВ); У2 — регистр данных А (РА); УЗ — мульти- плексор выбора операнда (МВО); У4 — узел подготовки данных В (УПВ); У5 — узел под- готовки данных А (УПА); Уб — арифметико- логическое устройство (АЛУ); У7 — дешиф- ратор микрофункций операции; У8 — буфер- ная схема сигнала синхронизации; У9 — бу- ферная схема сигнала выбора микросхемы; У10 — сдвигатель арифметико-логического устройства (САЛУ); УН — сдвигатель реги- стра расширения (СРР); У12 — регистр рас- ширения (РР); У13 — выходной буферный каскад В (ВБВ); У14 — выходной буферный каскад А (ВБА); У15 — вентиль стробирую- щего сигнала выдачи данных; У16 — схема 45
Рис. 12.2. Структурная схема КР1802ВС1 признака нуля (СПН); У17 — дешифратор микрофункций модификации. При описании МС используются следую- щие условные обозначения: L0—L7 — инфор- мация на выходах АЛУ; R0—R7 — информа- ция на выходах САЛУ; SG — сигнал из АЛУ, образующийся из старших разрядов РА, РВ; МО—М7 — информация на первом входе АЛУ; КО—К7 — информация на втором вхо- де АЛУ; SS — признак операции вычитания в АЛУ. Разряды А7, В7, L7, R7 — старшие раз- ряды информации. Разряды микроинструкции F0—F3 опреде- ляют операцию АЛУ с данными, поступающи- ми из РА и МВО. Эти операции перечислены в табл. 12.3. Разряды микроинструкции F4— F7 определяют операции модификаций МС (табл. 12.4). К этим операциям относятся. выбор операнда в МВО, т. е. операция с РВ или РР; разрешение операции маскирования; различные операции сдвигов. В табл. 12.4 в графе «Результат операции АЛУ» выражением L — f(A, В) определяется одна из операций АЛУ без маскирования с содержимым РА и РВ. Операция АЛУ соот- ветствует колонке L — f(A, В) в табл. 12.3. Выражение L = f(A, Р) определяет одну из операций АЛУ без маскирования с содер- 46 жимым РА, РР, операция соответствует ко- лонке L = f(A, Р) в табл. 12.3. Выражение L — f(A, В, Р) определяет од- ну из операций АЛУ при наличии маскирова- ния данных из РА и РВ содержимым РР. операции АЛУ при L = f(A, В, Р) представ- лены в колонке L = f(A, В, Р) табл. 12.3. В колонке «R7—R0 — результат операции САЛУ» (см. табл. 12.4) показан результат операции сдвига. Эта информация при CS А Д £0=1 выдается на магистрали DA7— DAO, DB7—DB0. В колонках Ll/RO, L0IR1, R0JL1, R1/L0 табл. 12.4 показана информация, появляю- щаяся на этих выводах при различных кодах на шинах F4—F7, CS, СНВ. Если в соответ- ствующих строках этих колонок стоит знак «Z», то это означает, что выход схемы на- ходится в 3-м (высокоомном) состоянии. В операциях, где нет сдвига, на вывод LHRO выдается значение выходного перено- са из 3-го разряда АЛУ (СЗ). Во всех случаях, если кристалл не выбоан (CS = O), при сдвигах влево происходит сквозное распространение информации через СРР и САЛУ с вывода LHRO на LO/Rl и с вывода ROILI на RHLO, а при сдвигах впра- во — переход информации с вывода RI/LO на ROILI и с вывода LOjRl на L4R0.
Таблица 12.3 Код микро инструкции SS Микроинструкция Операция АЛУ L—ЦА. В) F4F5F6F7*OOU F4F5F6F7&1III F4F5F6F7*OOOi SG L-t(A. P) F4FSF6F7-0001 НЛИ F4F5F6F7-0011 L = f(A, В, P) F4F5F6F7=l\\\ FU F! F2 F3 0 0 0 0 0 Коньюнкция А\В A7/\B7 4ДР Af\(B\JP) 0 0 0 1 1 Вычитание кодов 4—1 + CI A7 4—Ц-С7 A+HxjP+Cl 0 0 1 0 1 Инверсия А ~A+CI 47 ~A+CI AfrP+B/\P+Cl 0 0 1 1 1 Вычитание кодов A—B—l + CI 47® B7 A—P—l + CI A—Bf\P-l+Cl 0 1 0 0 0 Сложение полей C1--1 1 CI—1 A\/P+B/\P+CI 0 1 0 1 0 Сложение кодов A + CI 47 A + CI А+ВЛР+С1 0 1 1 0 0 Сложение полей A + B+CI А7ФВ7 A+P+CI A\I~P+B/\P+CI 0 1 1 1 0 Сложение кодов A + B+CI A7®B7 A+P+CI A+B/\P+CI 1 0 0 0 1 Инверсия В B + CI 87 P+CI A^+B^P+O 1 0 0 1 0 Функция запрета по В ДДЙ А7\/ B7 4ДР A/\(BhP) 1 0 1 0 0 Пересылка поля А A + CI 47 A + CI A/\P+B/\P'+CI 1 0 1 1 0 Дизъюнкция Ay В A7\JB7 A\]P A\J B/\P 1 1 0 0 1 Вычитание полей A-B-I + CI A7~®B7 A—P—l + CI А/\Р+(В~^Р)+CI 1 1 0 1 0 Сумма по мо- дулю 2 A® В A7&B7 A®P A®(B/\P) 1 1 1 0 0 Пересылка по- ля В B+CI B7 P+CI A/\~P+B/\P+Cl 1 1 1 1 1 Вычитание обратное B—A-l+CI A7®B7 P—A—l + CI B/\P—A—l+CI примечание. А, В. Р содержимое регистров РА. РВ и РР соответственно; L информация иа выходе АЛУ; CI - значение входного переноса; SG - результат логической операции со старшими разрядами А и В вырабатывается только при операциях АЛУ вида L — f(A, В); SS — признак вычитания; A^DA/XCLK \ г л CLK, В —!)В; CLK 'i РВ!\CLK , т. е. информация с шин DA н [>В записывается в РА и РВ при CLK=t (вы- сокий уровень напряжения на входе CLK); 0 — уровень лог. 0; 1 - уровень лог. 1. 47
Таблица 12.4 Код микро- функций Микро- функция Результат операции АЛУ LO/R1 R7 —R0 — результат операции САЛУ F4 F*> F6 F7 CSrCHS=l С$ДСН$=1 С$=0 R7. CHS=l R7, CHS=0 R6—RI 0 0 0 0 Сдвиг ариф- метический влево £=/ (А, В) 1 L7 LI/ RO L7 L6 L5 — L0 0 0 0 1 Операция с РР с сохране- L=j (А, Р) Z Z Z L7 L7 L6—LI нием РР 0 0 1 0 Операция со (А, В) Z Z Z L7 L7 L6—L1 словом, с за- грузкой РР L6—L 1 0 0 1 1 Операция с (А, Р) Z Z Z L7 L7 РР L7 0 1 0 0 Сдвиг цикли- ческий влево L=f (А, В) L7 LI/RO L6 L6 L5—L0 0 1 0 1 Сдвиг ариф- метический вправо Сдвиг цикли- /-==1 (А, В) Вход CHB®SG LO/RI L7—L2 0 I 1 0 L=f (А, В) L7 L7 L! / RO L6 L6 L5—L0 ческий влево с РР 0 J 1 1 Сдвиг ариф- I-=f (А, В) Вход CHB®SG 1-0/RI L7—L2, метический вправо с РР 1 0 0 0 Сдвиг расши- E—f (А, В) Вход СНВ® СО LO/RI L7 — L2 ренный вправо 1 0 0 1 Сдвиг расши- ренный влево L=f (А, В) CHS&CO L7 LI/ RO L6 L6 L5—L0 1 0 1 0 Сдвиг расши- ренный впра- B=f (А, В) Вход L7 СНВ®СО LO/RI L7—L2 1 0 1 1 Сдвнг расши- ренный влево L=f (А, В) СНВ®со LI IRO L6 L6 L5—L0 1 1 0 0 с РР Сдвиг цикли- ческий вправо (А, В) Вход LO/R1 LO/RI L7—L2 1 1 0 1 Операция со L=f (А, В) z 1 Z 1 Z L7 L7 L6—L1 словом B=f (А, В) 1 1 1 1 0 Сдвиг цикли- ческий вправо с РР В ход LO/R1 LO/RI L7—L2 L—j (А, В, Р) I 1 1 1 Операция с Z Z Z L7 L7 L6—L1 полем Примечания. J. Z— выход схемы в 3-м (высокоомном) состоянии. 2 Информация R7—R0 выдается иа шины DA7—DA0 и DB7—DB0 при наличии на входах Й" и ED стоянии. 3. Признаки F и OW формируются только в выбранном и старшем кристалле, т. е. С5ЛСН5 = 1; если тором). 4. Признак ZR вырабатывается только в выбранных кристаллах, т. е. при CS—1; если CS — 0, то Z/? = l 5. СЗ — перенос из 3-го разряда АЛУ (перенос из младшей тетрады); СО—выход переноса (перенос 6 Информация в РР записывается по фронту CLK при CS = 1. 1=7_________ (=7_____________________________________ 7. При Р4~Р7=Ш1 ZR = Л (R;i\P;)> во всех остальных случаях ZR = /\ Rt- i=0 ' ' " i=0 ‘ В колонке «Информация, загружаемая в РР» табл. 12.4 показана информация, загру- жаемая в РР при наличии активного уровня на выводе CS. В колонках признаков F, О1Г и ZR пока- заны значения признаков, которые вырабаты- ваются при различных операциях. Все вы- воды признаков — с открытым коллектором. Если CS=O, то при подключении внешнего резистора на этих выводах будет напряже- ние высокого уровня, что позволит использо- вать монтажное объединение. Если кристалл выбран, то в СПН анали- зируется результат САЛУ. И если разрешено маскирование (код иа шине F4, F5, F6, F7= = 1111), результат САЛУ маскируется раз- рядами РР, равными 0. Если все разряды маскированного результата равны 0, то на выводе ZR — напряжение высокого уровня. Если операция без маскирования, то на равенство 0 анализируются все разряды САЛУ. На вывод F в модификациях без сдвига (если АЛУ выполняет операцию арифметиче- ского сложения) выдается значение переноса в прямом коде. Управляет инверсией выход- ного переноса внутренний признак SS, кото- 48
LI/RO Rl/lO Информац загружаемая ИЯ. в РР RO/I I Значения признаков RO CS = l CS=0 CS=\ CS=0 РО CS=\ CS —0 F OW LI/RO Вход RO/LI RO/LI Содержимое РР Вход Tb (CO+ CS) X (L7J.Z.6) L0 L0 C3 C3 C3 C3 Z Z Z Z не нзменяеп To же L7 L6 — I i ся L0 Z Z Z Z CO tSS coss co z.c6 CO&C6 L0 C3 C3 z z L7 L6 — L1 I 0 Z Z co^ss CO' Co LI /RO Вход RO/LI RO/LI Содержимое РР Вход 1.7 (CO^Cd) \/(1.7^1.6) LI LI/RO LO LO/RI Вход Вход P7 RO/LI не измеияеч To же P6 P5—P0 гея RO/LI R//Z.O| Rl/1.0 Вход IO/RI L7 0 (С(Ш) VU 7±4.6) Li LO LO/R! Вход Rl/I 1 P7—P2 Р1 РО RI/LO IO/RI 0 LI LO LO/RI Вход Содержимое РР RI/LO RI/LO IO/RI 0 LI/RO Li Вход LO 1LO/RI RO/L/| RO/LI Вход не изменяв! То же RI/LO I>7-I’j ся Pi Вход РО RI/LO 77 LO/RI (C0£C8) /(L7^L8) 0 LI/RO Вход P7 RO/LI Рб Р5—Р0 RO/L1 Вход L7 (COeCO)V (L7±,L6) LI LO LO/RI Вход Содержи мое РР RI/LO RI/LO LO/RI 0 LO LI C3 G3 LO LO/RI Z | z Вход не изменяет То же RI/1 О Р7-Р2 ся Pi z z PO RI/LO CO-SS LO/RI СО^Сб 0 LO C3 Cl Z Z Содержимое РР z z CO -SS CO -.C6 не изменяется напряжения низкого уровня, т е. CS/ ED = \, если CS ЕВ=0, то выходы DA7—DA0, DB7—DB0 в 3-м со- CS Л CHS = 0, то на выходах I и OW — напряжение высокого уровня (выходы F и ОН7 с открытым коллек- (напряжение высокого уровня) из 7 го старшего разряда). С6 — перенос из б го разряда (перенос в старший разряд). рый равен 1 при вычитании и 0 при сложе- нии и логических операциях. При операции сдвига иа выход F выдается значение выдвигаемого разряда в обратном коде. _____ ______ _______ ______ В колонках LI/RO, LO/RI, RI/LO, RO/L1 табл. 12.4 записаны логические условия обра- зования соответствующих сигналов. _ Формирование сигналов G, СО и Р АЛУ зависит от значения управления на шине CS. Если кристалл выбран (иа шиие напряжение низкого уровня), то иа шину СО поступает значение выходного переноса из старшего разряда в прямом коде. Если кристалл не выбран, то происходит передача переноса со входа С/ на выход СО и устанавливается G = l, Р=0 для обеспечения распростране- ния входного переноса через схему ускорен- ного переноса В АЛУ вырабатывается также перенос из младшей тетрады. Значение этого переноса выдается в операциях модификации без сдвига по выходу LI/RO и может быть ис- пользовано для организации внешнего кор- ректора при реализации операции десятичной арифметики. Пример наращивания микросхемы МС по- казан на рис. 12.4. 49
U I CLK EO F7-F0 CS Cl CHS CUB JJA 7-HRO ЛВ7-ДВ0 £h(U.h.l-ID tp(CS-P) MU-D> "' (ЬхоОная информация ip to-1.) fp(a-A) OptCMQ-i.) LI I RO RO/L! Rt/LO RO/LI RO/LI Rl/LO _ tsu(Cl*r,M-P) t CO PG OW ZR tp(cs-ca) ip(^~ co) ipjo- CO) ip (CI-co) tp(p-PG) tp(U-p6) tp(CS-OM) ipf&-O»r> t t t t t Ряс 12 3 Временная шаграмма работы КР1802ВС1 50
Таблица 12.5 Пари метр Обозначение Значения параметров [макс.(мин.) Режим измерения Ток потребления, мА /сс 280 — Ч- 5,25 В Выходное напряжение низкого уров- ня, В и,,,. 0,5 Ucc = + 4,75 В, /ок = 10 мА (для выво- дов 12, 26, 27, 33, 34); !<>l = G,0 мА (для выво- дов 28, 37. 38, 39): /ок = 15 мА (для осталь- ных выходов) Выходное напряжение высокого уров- ня, В U о н (2,4) Ucc = + 4,75 В, /он =0.6 мА (для выво- дов 28, 37, 38, 39); 1он = \ мА (для осталь- ных выходов) Входной ток низкого уровня, мА, для: выводов 1—6, 13, 18—25, 28, 40—42 выводов 7—9, 15—17 вывода 14 выводов 30, 36 выводов 31, 37—39 вывода 10 вывода 28 I,L (-0,25) (-0,5) (-0,75) (-0,4) (-1.0) (-1,6) (-2,0) Ucc~ +5.25 В, /Л,.= -0,5В Входной ток высокого уровня, мкА, для: выводов 6, 10, 13, 29, 30, 36 выводов 7—9, 15—17, 31 вывода 14 11 Н 40 80 120 Ucc =4- 5,25 В, /Л и+ 5,25 В Выходной ток высокого уровня, мкА Выходной ток высокого уровня в со- стоянии «выключено», мкА, для: выводов /—5, 18—25, 40—42 выводов 28, 37—39 Время задержки распространения от входов микрокоманды F7—F0, нс, До: f О If I о / н 100 100 250 Ucc — 4- 5,25 В, U о н ~ 4 5,25 В выхода переноса СО 1Pt F -СО) 110 входов выходов информации DA7—DAO, DB7—DB0 tpt F- />) 150 выходов ускоренного переноса, Р, Q t Р I F - /’ G ) 130 входов/выходов сдвигателя АЛУ LI/RO, LO RI /PlF—L) 120 входов/выходов сдвигателя РР RI/LO, RO'Ll выхода признака переполнения OW / Р I F - Н ) //’< F -ОН') 70 140 выхода признака F t Р( F—F» 140 150 120 130 выхода признака нуля ZR Время задержки распространения сигнала от входов выходов информа- ции DA7—DAO, DB7—DB0, нс, до: 1 Pt К - /.Н\ t Р‘ D -СО) выхода переноса СО t р{ D- С О ) входов, выходов информации DA7—DA0, DB7—DB0 IPt Г>- 11} выходов ускоренного переноса Р, G t Pt l>- PC,, 120 51
Продолжение табл. 12 5 Пара метр Обозначение Значения параметров [макс.(мин.) Режим измерения входов/выходов сдвигателя АЛУ tp(D— L) 120 и RO, LO R/ выхода признака переполнения (D—OW) 130 OW выхода признака F fP (D — F) 125 выхода признака нуля Z/? fP (D--ZR) 150 Время задержки распространения сигнала от входа переноса С1, нс, до: выхода переноса СО fP (Cl —CO) 30 входов/выходов информации fP (CI — D) 90 DA7—DA0, DB7-—DB0 входов'выходов сдвигателя АЛУ ip (CI — L) 85 LOfRI, LI/RO выхода признака переполнения ip (CI — OW) 90 ОIV' выхода признака F lP (CI —F) 90 выхода признака равенства нулю fP (CI-ZR) 100 ZR Время задержки распространения сигнала от входа управления инвер- сией старшего разряда СНВ, нс, до: входов/выходов информации * P (CHB — D) 60 DA7—DA0, DB7—DB0 входа/выхода сдвигателя (CHB — LO) 55 выхода признака нуля ZR 1Р (CHB — ZR) 65 Время задержки распространения сигнала от входа выбора старшего кристалла CHS, нс, до: fP (CHS-D) 60 входов/выходов информации DA7—DA0, DB7—DB0 входа/выхода сдвигателя АЛУ LOIRI ip (CHS—IO) 60 выхода признака переполнения tp (CHS —OU ) 50 OW выхода признака F fP (CHS — F) 50 выхода признака нуля ZR ip (CHS-ZP) 65 Время задержки распространения сигнала от входов выходов сдвига- теля АЛУ LO 'Rl, L1IRO, нс, до: входов/выходов информации (P (L — D) 32 DA7—DAO, DB7—DB0 входов выходов сдвигателя АЛУ fP (L—L) 32 LI/RO, LO'RI 30 выхода признака F 'P (L — F) выхода признака нуля ZR 'p <L-ZR) 35 Время задержки распространения *P (R — R) 30 сигнала от входов/выходов сдвига- теля РР RULO, RO/LI до RULO, ROjLI, нс Время задержки распространения сигнала от входа выбора микросхе- мы CS, нс, до: выхода переноса СО fP (CS — CO) 30 52
Окончание табл. 12.5 Пирц мгтр Обозначение Значения параметров [макс, (мин.] Режим измерения выхода ускоренного переноса Р, G !Р (CS-PG) 35 входов/выходов сдвигателя АЛУ ^Р (CS — L) 55 Ll-RO, LOIRI входов/выходов сдвигателя РР ip (CS~R) 50 RI/LO, ROiLI выхода переполнения OW (Р (CS-OV() 50 выхода признака F tp (CS - F) 65 выхода признака нуля ZR *P (CS - ZR) 85 Время перехода из состояния низко- го (высокого) уровня в состояние «выключено» н из состояния «выклю- чено» в состояние низкого (высоко- го) уровня на входах выходах ин- формации DA7—DAO, DB7—DB0, нс, от: входа выбора микросхемы CS fT (CS-D) 70 входа разрешения данных ED h' (ED-D) 65 Рис. 12.4. Пример объединения микросхем КР1802ВС1 12.2. Микросхема КР1802ИР1 Микросхема КР1802ИР1 — двухадресный регистр общего назначения (РОН) на 64 би- та, предназначена для реализации сверхопе- ративных запоминающих устройств процес- соров и многоадресных оперативных запоми- нающих устройств радиоэлектронной аппа- ратуры. Условное графическое изображение микро- схемы приведено на рис. 12.5, назначение вы- водов — в табл. 12.6, структурная схема по- казана на рис. 12.6, временная диаграмма работы — на рис. 12.7. Микросхема состоит из матрицы 16X4 бит (У5), включающей 16 4-разрядных реги- 10 11 13 74 15 16 21 23 ’4 Ucc ААО АА1 АА2 ААЗ КА ЕСА WA АВО АВ1 АВ2 АВЗ BAD ВА2 ВАЗ ВВО ВВ1 ВВ2 ВВЗ GNB 20 17 12 1___' 2___ 4 5 ВА1 ----§- 7 Основные параметры микросхемы КР1802ВС1 приведены в табл. 12.5. Рис. 12.5. Условное графическое обозначение КР1802ИР1 53
Таблица 12.6 Вывод Обозначение Тин вывода Функциональное назначение выводов 1—4 ААО—ААЗ Входы Адрес канала А ,5 -8 DA0—DA3 Входы.'выхо- ды 1 Информация канала А 9 RA Вход Считывание информации канала А 10 ЕСА Вход Разрешение канала А И 1ГА Вход Запись канала .1 12 GND — Общий !3 - 16 АВО—АВЗ Входы Входы адреса канала В 20- 17 DB0—DB3 Входы.выхо- ды 1 Информация канала В 21 RB Вход Считывание информации канала В 22 ЕСВ Вход Разрешение канала В 23 'Х’В Вход Запись канала В 24 Ucc — Напряжение питания Би ни кривленные с тремя состояниями Рис. 12 6. Структурная схема КР1802ИР1 строп, двух дешифраторов (У2-А) и (У4-В) выбора необходимого регистра по каналам А и В соответственно, двух устройств управле- ния режимом работы (У1-А) и (УЗ-В) кана- лами А н В соответственно, биполярного уси- лителя (УЗ), состоящего нз восьми усили- телен считывания с тремя устойчивыми состо- яниями на выходе и восьми усилителей запи- си. Усилитель считывания и записи каждого разряда матрицы работает на один разряд соответствующего канала. Разрешением обмена информацией матри- цы РОН с каналами А и В управляют вхо- ды ЕСА и ЕСВ. При наличии лог. О па вхо- де ЕСА разрешен обмен информацией с ка- налом А. При наличии лог. О иа входе ЕСВ разрешен обмен информацией с каналом В. При наличии лог. О на входах ЕСА и ЕСВ обмен информацией с матрицей РОН разре- шен по обоим каналам. Входы RA и RB определяют режим счи- тывания информации из матрицы БИС РОН на каналы А или В. При наличии лог. О на входе RA разрешено считывание на канал А. При наличии лог. О на входе RB разрешено считывание на канал В. При наличии лог. О иа входах RA и RB считывание разрешено одновременно на каналы А и В. Входы VEA и V7B определяют режим запи- си информации в матрицу РОН с каналов А и В. При наличии лог. О на входе W'A запись 54
Рис. 12.7. Временная диаграмма работы КР1802ИР1 1 а б л и ц а 12 7 Режим работы С oi 11 ИЯ входов Канал 1 канал В НА I.CA М А АА/> АА 1 НН ЕС В WB ABO-ABJ Состояние «выключено» X 1 1 Л' X 1 X X 1 1 X X 1 X Запись по каналу А 1 0 0 X 1 1 X X 1 X Запись по каналу В X 1 1 X 1 X 1 0 0 < Одновременная запись по кана- лам А и В 1 0 0 1 0 0 X Считывание по каналу А 0 0 1 Л' 1 1 X X 1 X Считывание по каналу В Л 1 1 Л 1 к 0 0 1 V Одновременное считывание по ка налам А и В 0 0 1 X 0 0 1 X Запись по каналу А и считывание по каналу В 1 0 0 0 0 1 Запись по каналу В и считывание но каналу А 0 0 1 1 0 0 Примечание. X — состояние входа безразлично; z — одно из значений адреса от 0 до 15. 55
Таблица 12.8 Параметр Обозначение Значения параметров [макс, (мни )] Режим измерения Ток потребления, мА 1сс 170 Ucc = 5,25 В Выходное напряжение низкого уровня, В UoL 0,5 Ucc = 4,75 В, Iol = 15 мА Выходное напряжение высокого уровня, В Uо н (2,4) t/cc = 4,75 В, /о п — 1 мА Входной ток низкого уровня, мА, для- выводов 1—8, 13—20 выводов 9, 11, 21, 23 выводов 10, 22 11L (—0,25) (-0,4) (-0,8) UCc = 5,25 В, (7/ь=О,5 В Входной ток высокого уровня, мкА, для выво- дов /—4, 9—11, 13—16, 21—23 Ли 40 Ucc = 5,25 В, £Ли = 5,25 В Выходной ток высокого уровня в состоянии «выключено» для входов/выходов 5—8, 17—20, мкА / О 7. Н 40 Время задержки распространения сигнала от входов адреса АА, АВ до выходов данных DA, DB, нс tp(A-D) 58 Время задержки распространения сигнала от входов/выходов данных DA (DB) до вхо- дов/выходов DB (DA), нс Время перехода входов/выходов информации из состояния: tp(D-D) tl (R, H-Ti, 7) 48 низкого (высокого) уровня в состояние «выключено» от входов считывания, нс 30 низкого (высокого) уровня в состояние «выключено» от входов разрешения, нс t / ( EC, 11 —D, 7} 30 «выключено» в состояние низкого (высоко- го) уровня от входов считывания, нс t F(R, L-D) 27 «выключено» в состояние низкого (высоко- го) уровня от входов разрешения, нс tTtEC, L-D) 27 Время задержки распространения сигнала от входов записи V7A (WB) до выходов данных DA (DB), нс tp{ 1Г-П) 73 информации разрешена с канала А; при этом выход усилителя считывания канала А должен быть закрыт (3-е состояние). На входе RA должно быть напряжение лог. 1. При наличии лог. О на входе WB запись информации разрешена с канала В; при этом выход усилителя считывания канала В дол- жен быть закрыт. На входе RB должно быть напряжение лог. 1. При наличии лог. О на входах 1ГА, WB запись информации разрешена с обоих кана- лов. 56 Режимы работы РОН приведены в табл. 12.7. Выборка необходимого регистра матрицы РОН как в режиме записи с канала А или В, так и в режиме считывания на канал А или В осуществляется двумя дешифраторами ме- тодом задания двоичного кода на входы ад- реса: ААО—ААЗ — для канала A; ABO —ABJ— для канала В. Матрица РОН состоит из триггерных яче- ек с организацией 16X4. Основные параметры микросхемы КР1802ИР1 приведены в табл. 12.8.
12.3. Микросхема КР1802ВР1 Микросхема КР1802ВР1 — арифметиче- ский расширитель (АР), предназначена для реализации устройств, осуществляющих сдви- ги арифметические, логические, циклические за одни такт иа несколько разрядов и поиск номера левого единичного бита. Условное графическое обозначение микро- схемы приведено иа рис. 12.8, назначение вы- Таблица 12.9 Вывод Обозначение Тип вывода Функциональное назначение выводов 2-/0, 12-18 D0—D15 Входы, выходы1 Информация 27—29 F0—F2 Входы Код микрокоманды 36—31 SH10—SHI4 Входы Внешний параметр сдвига 38—42 SHB0—SHB4 Входы/ выходы1 Параметр сдвига 37 SSH Вход Выбор параметра сдвига 20 CLK Вход Синхронизация 24 ED Вход Разрешение выдачи инфор- мации 25 CS Вход Выбор микросхемы 23 F Выход2 Признак расширения 21 OW Выход'2 Признак переполнения 19 ZR Выход2 Признак нуля 26 WE Выход Разрешение записи резуль- тата 32 Е'сс — Напряжение питания +5 В 1, П 22 GND — Общий 1 Бннаправлениые. с тремя состояниями 2 Открытый коллектор 1Z- FO AC SO < 2 28 т F1 F2 Hl < v: D* ! 3 36 •4S— SHI0 39 SHI1 DS < 7 SHI2 DS < SNI3 D7 i SNI4- DB i D3 i ' SNBO DIO < <Ш1 Dll < >SNB2 D12 । । 15 >ЗНВЗ D13 52 . > зквь D1L DIS 37 SSH C , 23 20 CLK OW 21 24 > ED ZK i CS WE 11,22 32 GND : ucc Рис. 12.8. Условное гра- фическое обозначение КР1802ВР1 D0-D1S Рнс. 12.9, Структурная схема КР1802ВР1 57
водов — в табл. 12.9, структурная схема по- казана на рис. 12.9, временные диаграммы работы — на рнс. 12.10. В состав микросхемы АР входят следую- щие основные узлы: У1 — регистр инфор- мации; У2 — узел поиска левой 1; УЗ — бу- ферная схема сигнала синхронизации; У4 — усилитель стробирующего сигнала выдачи данных; У5 — буферная схема сигнала вы- бора микросхемы; Уб — дешифратор микро- функций; У7 — узел сдвига; У8 — мульти- плексор регистра расширения; У9 — регистр расширения; У10 — узел выдачи результата; У11 — буферная схема выдачи результата; У12 — схема признака нуля; У13 — буфер- ная схема параметра сдвига; У14 — схема выдачи признака; У15 — мультиплексор па- раметра сдвига; У16 — регистр параметра сдвига; У17 — схема анализа переполнения. Микросхема АР является 16-разрядным вспомогательным блоком микропроцессора и имеет однотактную схему синхронизации. Регистр информации и регистр параметра сдвига служат для хранения информации и параметра сдвига в момент выдачи результа- та, т. е. имеют функции развязки двунаправ- ленных магистралей. Узел сдвига (У7) служит для сдвига ин- формации на число разрядов, определяемых двоичным кодом параметра сдвига. Для осу- ществления арифметических сдвигов вправо в схеме имеется возможность размножения зна- ка. Узел сдвига всегда выполняет левые сдви- ги. Правые сдвиги получаются через левые косвенно, исходя нз того, что сдвиг правый является дополнением левого. При сдвиге вправо результат снимается с части выдвига- емых разрядов, а параметр сдвига подается в дополнительном коде. При этом старший раз- ряд параметра сдвига 5#=1. Мультиплексор регистра расширения при любом сдвиге пропускает в регистр расшире- ния выдвигаемые (выпадающие) разряды. Регистр расширения служит для хранения выпадающих при сдвигах разрядов. Наличие РР позволяет микропрограммно расширить разрядность сдвигаемого слова. Узел поиска левой 1 служит для опреде- ления номера разряда первой 1 слева (начи- ная отсчет от старшего, 15-го, разряда). Ре- зультат поиска выдается пятиразрядным ко- дом на узел выдачи результата (У10). Кроме того, узел поиска левой 1 (У2) выдает в схе- му выдачи признака F (У 14) признак отсут- ствия 1 во входной информации. Узел выдачи результата служит для фор- мирования результата в зависимости от мо- дификации сдвига (логический, циклический и т. п.). Узел выдачи результата (У 10) пред- ставляет собой мультиплексор, обеспечиваю- щий операцию логического сложения. Кроме того, узел выдачи результата производит вы- дачу нз узла поиска левой 1 (У2) результата поиска левой 1 на шины R0—R4. Буферная схема выдачи результата произ- водит выдачу результата операции R0—R15 на бинаправленную магистраль информации D0—D15. Буферная схема параметра сдвига произ- водит выдачу результата поиска левой 1 на бинаправленную магистраль параметра сдви- га SHB0—SHB4. Мультиплексор параметра сдвига служит для приема в регистр (У16) параметра сдви- га либо с магистрали SIIB, либо с шины SHI, U F2.-FQ CS CLK U15-J10 Вход I t StM-SHSO Выход tPio-SUS') t Ties-SOS) tp(F-SPB) tr(CDXrit) trlCO-M tp(f Д D) t .71 t t 5Я
Uk T-wph CLK CD FZSO SS4 Sttl4-5tt!0 sm-stiso CS S15 SO WF F OW tSl/(CLK,L-[B,H) tSUlCLK,L-SHl[ t3U(CLK,l.-F) tSUlCLK, L-SSH) ' 't^/CLK,LSHIl tp/sUFF) tp/s^ffl _ tpl^EH Iplr-B! tpi™r~ ^HlCLK.L-J) '.-tSUttl-CLK.Ll Вход tp/SHg-wei Cp/SHi-wei ip/SSH-Wcf tp/F-wei t tn/CLK, H-F) t t^(CLK, LSStH tp/CLK, L-SH~) tnlCLK,L-SHB) tsU/CLK, L-CS) t t t tf</CLK,H-CS)\ , t t '~1НТо№^иУое\ В ^od t tpU-F) tPlCS-F) tp/SHK-P) tp(SHI-F) tp(SSH-F) tHF-F) tp(D-OW) tplcs-ow) tplsitl-QW) tp/SHI-OV) tp/SSH-OW) Ip/F-Ow) tp(D-ZK) tp/cs-zp) tp/SHg-ZK) tp/SHI -ZU) Mssp-zp] tp/F-ZP) t t t S) Phi 12 К) Временные та)раммы работы K.PI8O2BP1 микроинструкции «ПОИСК пеной 1» б - МИКрОЦЩ. тр> КЦИЯ С1ВИ1И 54
I а бл и u a 12.10 Код микро функций Мнкрофу нкция Результат операции Информация, загруженная в РР R15 RN (/V=0) (Л'*0) R(N—\) 'R0 (N+0) P15—PN (W=0) (/V*0) Р(—\ -|>—Р0 (W*0) F0 F1 F2 S2 0 0 0 0 ] Поиск левой 1 (2</?4 + 23/?3 + 2'/?J + 2K/ + -4-ЯО) - 1 5-- A, RJ5 — R5 ;0 Содержимое РР не изменяется 0 0 I 0 Сдви1 ло1ическнй расширенный вле- во Q (15- V)V \/Р1 5 - Q0\/PN P (.V 1) - Pu 0 QIS <2(16 - W) 0 0 1 1 0 Q)5-~Q(\6-(V) Q(I5 - .V) — (/(1 0 0 1 0 0 Сдви» ло1 ическнй расширенный вправо Q (1 5 -zV)- QO 0 0 Q/ 5- (2(16 — N) 0 1 0 1 pll p.\ QIS . P{N - 1) — - Q{ 1 6 >>}\jPu <2(15 - Л/) —Q6* 0 0 1 1 0 Сдан! арифметн ческий расширен- ный влево (2(15 -N)\/PI5- — QOXJPN Po <2/5 QI 5- (2(16- N) 0 1 1 1 QIS Q15- Q[\h N) <2(15 Л') -Q0 0 1 0 0 0 Сдвиг цикличес- кий влево Q (1 5 - N) - Qn QI 5 Q (lb Л’) 0 Q/5 Q(16-N) 1 0 0 1 Сдни1 цикличе- ский вправо Q (1 5 .V) Qn QIS - Q (lb-- N) <2(15 - ,V) QO 0 1 0 1 0 Сдви1 ло1 ическнй влево (2(1 5- ,V) QU 0 0 <2/5 (2(1ь - ,V) I 0 1 1 СДВВ1 ЛО(ическнй вправо 0 QI5 - Q (i b N) <2(15 - Q0 0 1 1 0 0 СднИ1 расширен- ный влево Q(15 /V)VP/5- Qt) / PN P(N--i) Ptf 0 <2/5 (2(16 -yV) 1 1 0 1 СдвИ! расширен- ный вправо Pl, PN QI5\/P [N - 1)- - Q (I 6 - MVPO <2(15 N}-Q0 0 1 1 1 0 Сдви! арифмети- ческий влево (2(15— 0 Q1S QIS ~ Q (16 — N) 1 1 1 1 Сдвн1 арифмети- ческий вправо QIS Q1.5 - Q (I 6 - N) <2(15 Л) - Qo 0 Примечания I. Таблица микрофункций даиа для положительной логики: 0 -- уровень лог. 0: I — < негра информации, Qt~Di /' CLK\/Qi f\CLK ; Pi — содержимое i-to разряда РР, информация в РР записыва ~23SHI3+22SHl2+2SHll+SHlO; S4-SHI4 при SSH = 0 4. Код сдвига N = 23SHB3 + 22SHB2 + 2SHBI + цня иа выходе узла выдачи результат. 6. Иформацня с шин SHI и SHB записывается в У16 при CLK=I, CSA£D —I. то Di=Ri, иначе на выходах Di состояние «выключено». «.Значения вырабатываемых призна -ZR-\. 9 В операции «Поиск левой I» при CS i ED=*\ SHB4—SHBO=R4—RO, иначе выходы StfB в состоя I \ । рация дизьюнкции I V/— 0 VQ/V V ). ||. a/=q Ri — межразрядная операция конъюнкции слева разряда, содержащею I: i=—I, если R!5-R0=*§. 13. При FO—F2=000 ZR=Q15, во всех остальных 60
Вырабатываемые признаки F 1 OW W=0 | ,V = 0 ] V,4o <?* Q15 1 Q(16 —(V) 0 /V— 1 Vz==o Q(15-Z) 1 5 — АГ) 0 1 Q(16-W) 0 v/=o' Q<15 — 0 <2(15 — N) 0 Q15 <2 (16 —AT) 0 vLo '«2(15- -()©<? (15 -W)] Q(15 — W) 0 1 Q(16-W) 0 V^o1 <2 (! 5 — o Q (15 — W) 0 1 <2(16 — W) 0 Q(15 — N) 0 1 Q (16 —A) 0 hj 1 V>=o <2(15 —/) Q(15 — N) 0 Q15 Q(16-A) 0 vLo '[<2(15— -0®<?(15-JV)] <2(15 — N't 0 WE 1 1 О О 1 1 О 1 1 1 1 1 1 1 1 уровень лог. 1. 2. Qi — содержимое Z-го разряда ре- ется по фронту CLK при CS = 1. 3. Код сдвига SHBO, S4 = SHB4 при SSH—1. 5. RI5—R0 — информа- содержимое У16 ие изменяется при СЕК—0. _7- Если ков показаны при CS — 1; если CS—0, то F—OW= i = N иии «выключено». 1O.V/=O — межразрядиая опе- ( Л( = o°Ri = RO/\Rl л ..-ЛЛЕ.^). 12. k — вес первого (=>15_ случаях ZR= Л R;- 1 = 0 1 в зависимости от управляющего сигнала вы- бора параметра SSH. Схема признака нуля (У 12) производит выдачу сигнала признака равенства нулю раз- рядов R0—R15, которые поступают из узла выдачи результата (У10). Схема выдачи признака F производит вы- дачу последнего из выдвигаемых разрядов при сдвигах или признака отсутствия 1 во входной информации при операциях поиска левой 1. Схема анализа переполнения формирует переполнение при сдвигах влево, если хотя бы один выпадаемый разряд при арифмети- ческом сдвиге не равен старшему разряду ре- зультата. Кроме того, она выдает знак D15 входной информации D при поиске левой 1 и определяет потерю единиц при логических, расширенных и циклических сдвигах влево. Дешифратор микрофункций (Уб) служит для формирования управляющих сигналов, соответствующих коду операции F0—F2 и старшему разряду параметра сдвига S4. При подаче лог. 1 на вход CLK информа- ция, которая поступает с двунаправленной шины, записывается в регистр информации (У1). Одновременно с этим код сдвига с дву- направленных шин SHB при наличии лог. 1 иа входе SSH или с шин SHI при наличии лог. 0 на входе SSH записывается в регистр параметра сдвига (У 16). При расширенном сдвиге производится одновременная выдача информации из узла сдвига с информацией, которая записана в регистр расширения (У9). При наличии сиг- нала CS по фронту синхроимпульса CLK (пе- реход из 0 и 1) производится запись выдви- гаемых разрядов в регистр расширения (У9). Кроме того, происходят анализ результата операции R на нуль, выдача последнего вы- двигаемого разряда на вывод F и анализ пе- реполнения. Значения результата R, признаков ZR, F и OW и информации Р, записываемой в ре- гистр расширения в зависимости от кода опе- рации, приведены в таблице операций микро- схемы АР (табл. 12.10). Основные параметры микросхемы КР1802ВР1 приведены в табл. 12.11. 12.4. Микросхема КР1802ВР2 Микросхема КР1802ВР2 — последователь- ный умножитель/делитель (ПУ), предназначе- на для построения устройств умножения и деления двоичных кодов и устройств умноже- ния чисел, представленных в дополнительном коде. Условное графическое обозначение микро- схемы приведено на рис. 12.11, назначение вы- водов — в табл. 12.12, структурная схема по- казана на рис. 12.12. В состав микросхемы входят следующие основные узлы: У1, У7, У12 — регистры; У2, _У9, У10 — мультиплексоры (МП1, МП2. 61
Таблица 12.11 Параметр Обозначение Значения параметров [макс, (мин.)) Режим измерения Ток потребления, мА /сс 280 Ucc — 5,25 В Выходное напряжение низкого уровня, В UOL 0,5 Ucc = 4,75 В, Iol~ 10 мА (для выводов 19, 21, 23, 26); Iol = 15 мА (для остальных выводов) Выходное напряжение высокого уровня, В Uqh (2,4) Усс = 4,75 В, I ОН = —1 мА Входной ток низкого уровня, мА, для вы 1 IL Усс = 5,25 В, ВОДОВ’ /п, = 0,5 В 2—10, 12—18, 24, 27—29, 31, 33—36, 38—42 (-0,4) 20, 37 (—0,25) 25 (-0,8) Входной ток высокого уровня, мкА 1IH Усс = 5,25 В, У/н = 5,25 В 24, 27—29, 31—36 20 20, 25, 37 40 Выходной ток высокого уровня, мкА !он 100 Усс = 5,25 В, У,„ = 5,25 В Выходной ток высокого уровня в состоянии !OZH 100 (—100) Усс=5,25 В, «выключено», мкА Uо н — 5,25 В Время задержки распространения сигнала от входов микрокоманды F2—F0, нс, до' входов/выходов информации D15—DO *P (F—D) 130 входов/выходов параметра сдвига SHB4—SHB0 tp(F-SHB) 150 выхода разрешения записи результата WE lP (Г— 50 выхода признака F 1Р (F—F) 170 выхода признака переполнения OW lP (F-OW) 180 выхода признака нуля ZR Время задержки распространения сигнала fP (F—ZR) 180 от входов внешнего параметра сдвига SH[4—SHI0, нс, до: входов выходов информации D15—DO tpiSHI—D) 170 выхода разрешения записи результата WE lP (SHI-WE) 70 выхода признака F 1Р (SHI—F) 160 выхода признака переполнения OW 1Р (SH1—0W) 160 выхода признака нуля ZR !P (SHI- ZR) 170 62
Продолжение табл. 12.11 Пира метр Обозначение Значения параметров (макс.(мин.)] Режим измерения Время задержки распространения сигнала от входов/выходов параметра сдвига SHB4-—SHB0, нс, до: входов/выходов информации D15--D0 h‘(SHB- D) 110 выхода разрешения записи результата 'р (SHB WE) 70 WE выхода признака F lP(SHB - Г) 80 выхода признака переполнения OIV lP (SUB -OW) 150 выхода признака нуля ZR lP (SHB—ZR) 170 Время задержки распространения сигнала от входа выбора параметра сдвига SSH, нс, до: входов выходов информации D15—DO tp(SSH- L>) 180 выхода разрешения записи результата tp (SSH- WE) 80 WE выхода признака F fP (SS/I-F) 170 выхода признака переполнения OW !P (SSH--OW) .170 выхода признака нуля ZR lP (SSH- ZR) 180 Время задержки распространения сигнала от входов'выходов информации D15—DO, нс, до: входов/выходов информации D15—DO 'p (U -I)) 100 входов выходов параметра сдвига !P(D -SHB) НО SHB4—SHB0 выхода признака F !P (I) /'•) 160 выхода признака переполнения VW 1р(1> —O\X ) 170 выхода признака нуля ZR 'p (D ZR) 120 Время перехода из состояния низкого (вы- сокого) уровня в состояние «выключено» и из состояния «выключено» в состояние низ- кого (высокого) уровня от входа разреше- ния выдачи информации ED, нс, до: входов/выходов информации D15—DO 1Г (El) -I)) 70 входов выходом параметра сдвига ‘r (EL> -SHB) 70 SHB4-—SHB0 Время перехода из состояния низкого (вы- сокого) уровня в состояние «выключено» и из состояния «выключено» в состояние низ- кого (высокого) уровня от входа выбора микросхемы CS, нс, до: входов/выходов информации D45—DO 1Г (CS - D) 70 входов выходов параметров сдвига lT (CS--SHB) 70 SHB4-SHB0 63
Окончание табл. 12.11 Параметр Обозначение Значения параметров [макс (мин )] Режим измерения Время задержки распространения сигнала от входа выбора микросхемы CS, нс, до. выхода признака F lP (CS—F) 60 выхода признака переполнения OW *Р {CS—OW) 60 выхода признака нуля ZR 1Р (CS—ZR) 60 Входная и выходная емкости, пФ: Ci, cо по выводам 20, 24, 25, 27—29, 31, 33—42 10 по выводам 2—10, 12—19, 21, 23, 26 15 МПЗ), УН — сумматор SM; У17 — устрой- ство управления, Уб — выходная буферная схема выдачи результата по магистрали А (ВБА); У13 — выходная буферная схема вы- дачи результата по магистрали В (ВБВ); У22 — схема выдачи анализируемых разря- дов (СВАР); У8 — схема выдачи признаков (СВП); У20 — регистр инструкции RG4. Восьмиразрядный регистр У7 состоит из двух однотактных регистров RGI и служит для приема множителя в операциях умноже- ния и младшего слова делимого при делении. В процессе выполнения этих операций в ре- Рис. 12.11. Условное графическое обозначение КР1802ВР2 гистре RG1 формируются младшие разряды произведения и частное. В восьмиразрядном одиотактном регист- ре RG2 хранится множимое в операциях ум- ножения и делитель при делении. Десятиразрядный двухтактный регистр RG3 служит для записи старшего слова дели- мого при делении. В процессе выполнения ум- ножения и деления в регистр RG3 записыва- ются очередное частичное произведение и оче- редной остаток соответственно. После завер- шения операции в регистре RG3 формируются старшие разряды произведения при умноже- нии и остаток при делении соответственно. Мультиплексор МГН обеспечивает прием операнда по магистрали DA и запись его в регистр RG1 при инициации операции, а так- же сдвиг содержимого регистра RG1 на два разряда вправо (в сторону младших разря- дов) при умножении и на один разряд влево (в сторону старших разрядов) при делении. Мультиплексор МП2 обеспечивает подачу на вход А сумматора прямого кода регистра RG2 со сдвигом влево на одни разряд (в опе- рациях умножения), обратного кода регист- ра RG2 и кода 0 в процессе умножения и де- ления. Мультиплексор МПЗ служит для подклю- чения ко входу В сумматора содержимого ре- гистра RG3 со сдвигом вправо на два раз- ряда при умножении, со сдвигом влево на один разряд при делении и кода 0. Сумматор SM предназначен для вычисле- ния частичных произведений и коррекций ре- зультата при умножении, вычисления очеред- ных остатков для получения очередного бита частного при делении и восстановления окон- чательного остатка. Устройство управления У17 вырабатывает в определенной последовательности сигналы, необходимые для приема операндов и иници- ации операции, реализации алгоритмов умно- жения и деления, выдачи результатов по ко- дам операции чтения. 64
Таблица 12.12 Вывод Обозначение Тип вывода Функциональное назначение выводов 37—42, 1, 2 DB0—DB7 Входы/выходы 1 Информация А 28—21 DA0—DA7 Входы/выходы 1 Информация В 31 RO Выход 2 0-й разряд RG3 6 JMPD Выход/вход 1 Выход запуска счетчика циклов при делении, вход запуска счетчика циклов при умножении 34 G/OW Выход Генерация переноса/переполнение 33 P/PDY Выход Распространение переноса/признак «Готов» 16 R1/ZR Вход/выход3 Вход 6-го разряда RGl/выхоц признака нуля 20, 17 CFO, CF1 Вход/выход 1 Сигналы управления микрооперациями 9, 15 CS1, CS2 Входы Выбор микросхемы 35 RI Вход 6-й разряд RG3 5 JMPM Вход/выход 2 Выход запуска счетчика циклов при умноже- нии, вход для запуска счетчиков циклов при делении 8 HLT Вход/выход 3 Сигнал «Останов» 18 LO/R1 Вход/выход 3 7-й разряд RG1, признак «расширение» 13, 10 FO, F1 Входы Микроинструкция 14 CLK Вход Синхронизация 36 L1 Вход 0-й разряд RG2 3 RULO Вход/выход 1 7-й разряд RG3 29 Cl Вход Перенос 12 CHS Вход Определение старшего кристалла 30 RO/L1 Выход/вход 1 Выход 1-го разряда /?бЗ/вход 0-го разряда RG3 И CO Выход Перенос 7 LO Выход 7-й разряд RG2, признак «знак» 4, 19 GND — Общий 32 Ucc — Напряжение питания -1-5 В ’ Бинаправленный, с тремя состояниями. 2 С тремя состояниями. 1 Бинаправлениые шины с открытым коллектором. Узлы ВБА и ВБВ служат для выдачи на выводы DA и DB результатов из регистров RG1 и RG3 соответственно. Схема выдачи анализируемых разрядов СВАР служит для выдачи анализируемых разрядов при умножении и делении из мик- росхемы умножителя, которая в данный мо- мент активная, и приема анализируемых раз- рядов всеми остальными схемами. Схема выдачи признаков СВП служит для выдачи выдвигаемых разрядов, различных признаков результата и сигналов ускоренного переноса. 3 Зак. 915 Двухразрядный регистр инструкции RG4 хранит код операции во время ее выполне- ния. Запись кода операции в регистр RG4 происходит при CS/==0. Схема умножителя/делителя выполняет следующие операции: умножение 8-разрядных целых чисел, представленных в дополнительном коде; умножение 8-разрядных кодов; деление кодов; загрузку старшего слова делимого; чтение результата. 65
Таблица 12 13 Операция Код операции Выполнение действий Признаки результатов к. ц. и со Перепол- нение G/0W Расширение LO'RI Н\ль RljZR Знак LO Знак CF1 Умноже- ние чисел 0 0 0 1 DA-+RG1, DB-+RG2 RG3. RG1 = = RG1 RG2 0 1* 0 Л (RG1 (1)/\ /\RG3(i)) RG2 (7) Д Л CHS v V RG3 (7) 1 Умноже- ние кодов 0 1 0 1 То же 0 Л RG3(i) i=0 То же W2(7)A \CHS RG1 (0 Деление кодов 1 0 0 1 DA-+RG1, DB-+RG2 RG1, RG3'- — = RG3, RG1RG2 1 ♦♦ 0 1 /VW7(0 1=о Загрузка 1 1 0 1 DB->-RG3 0 1 0 Чтение RG1 1 0 1 0 RG1-+DA Выд< ются признаки, выработанные в послед- Чтение RG3 0 1 1 0 RG3-+DB ней операции умножения, деления или загрузки Чтение RG1, RG3 0 0 1 0 RG1-+DA RG3-+DB * При RG3 (l} = CFI, 1-0-7 ** При (RG/) >(/?G2) 66
Первые четыре операции осуществляют- ся по сигналу CS1 н задаются 2-разрядным кодом, определяемым сигналами на выводах F0 и F1. Выполнение этих операций синхрони- зируется синхроимпульсами CLK. Чтение ре- зультата выполняется по сигналу CS2. При наличии соответствующего кода на выводах FO, F1 разрешается считывание содержимого регистров RG1 и RG3. Результаты хранятся в регистрах RG1 и RG3 до загрузки новой операции по сигналу CS1, т. е. допускается их многократное чтение. Перечень операций с указанием выполняемых действий и правила формирования признаков результата даны в табл. 12.13. При выполнении операции «Загрузка» по сигналу CS1 устройство управления приво- дит схему в состояние «не готова» (P/RDy= = 1), фиксирует код операции в регистре RG4, разрешает прием операнда, загружаемого по магистрали DA, DB, в регистры RG1, RG2. После снятия сигнала CS1 содержимое реги- стра RG2 подключается ко входу А суммато- ра. На вход В сумматора подается лог. 0. Начинает выполняться операция. Результат суммирования (т. е. содержи- мое регистра RG2) записывается в регистр RG3, после чего устройство управления пере- водит схему в состояние «готова» (P/RDy= = 0). По окончании операции «Загрузка» признаки результата не формируются. При выполнении операции «Умножение кодов и чисел» в схеме умножителя реализо- ван циклический алгоритм умножения с логи- ческим ускорением за счет группировки раз- рядов множителя по два разряда, с анали- зом этих разрядов, на которые производятся умножение в данном цикле и накопление сум- мы частичных произведений. В связи с тем что проводится умножение чисел, представленных дополнительным ко- дом, в алгоритме предусмотрена однотактная коррекция результата умножения. Отличне процесса умножения кодов и чисел состоит только в разнице коррекции. При умножении младшие разряды регистра RG3 (0,1) посто- янно выдаются на выводы RO и ROILI. - Анализируемая пара разрядов множителя выдается на выводы CFO, CF1. Значение раз- рядов RG1 (0,1) выдается на выводы CF0 и CF1 в обратном коде. Состояние микросхемы «готова» характе- ризуется следующими признаками результа- та: 1. Признак переполнения вырабатывается на выводе G/OW старшей схемы умножителя; так как при умножении чисел переполнение не возникает, то G/OU7=0. '2. Признак расширения, равный 0 (если какой-либо разряд регистра RG3 отличен от старшего разряда регистра RG1 в старшей схеме) и равный 1 (в противном случае) по- дается на вывод LOIRI и показывает, что произведение записано только в регистре RG1, а в регистре RG3 (старшем) записаны только незначащие цифры. Рис. 12.13. Соединение выводов при включении одной микросхемы КР1802ВР2 3. Признак нуля результата RI/ZR, рав- ный 1 (если регистры RG3 и RG1 в состоя- нии лог. 0, т. е. результат, записанный в дан- ной схеме, равен 0), выдается на вывод RIIZR. 4. Знак результата, равный значению стар- шего разряда регистра RG3 при умножении чисел и 0 при умножении кодов, выдается на вывод LO- 5. На вывод CF1 подается значение 7-го разряда регистра RG1. Операция деления происходит по сигналу CS1. Схема умножителя переходит в состоя- ние «не готова», фиксирует код операции и разрешает прием младшего слова делимого и делителя в регистры RG1 и RG2 с магистра- лей DA и DB соответственно. Делению долж- на предшествовать операция «Загрузка». В состоянии микросхемы «готова» выда- ются следующие признаки результата: признак переполнения выдается на вывод G/OW старшей схемы; признак расширения, равный 1, выдается на вывод LOIRI; признак нуля результата равный 1 (если содержимое регистра RG1 равно лог. 0), вы- дается на вывод RI/ZR-, знак результата, равный 0, выдается на вывод LO. Для обработки восьми A-разрядных слов, где N = 1, ..., 8, необходимо соединить N схем умножителя по правилу, указанному в табл. 12.14. На рис. 12.13, 12.14 показано соедине- ние выводов по правилам табл. 12.14 для од- ной и двух схем умножителя. Временная диаграмма определения време- ни умножения при работе одной схемы при- 3* 67
'Таблица 12.14 Окончание табл. 12.14 Вывод Обозна- чение Цель соединения 8 HLT Соединяется с выводами HLT остальных микросхем 16 RI/ZR Соединяется с выводами RI/ZR остальных микро- схем и с выводом RO самой младшей микросхемы 18 LO/RI Соединяется с выводами LO/RI остальных микросхем и с выводом RO/LI самой младшей микросхемы 30 RO/LI Соединяется с выводом RI'lLO более младшей мик- росхемы. У самой младшей микросхемы — с выводами LO/RI остальных микро- схем 31 RO Соединяется с выводом R1 более младшей микросхемы. У самой младшей микро- схемы— с выводами R4ZR остальных микросхем 7 LO Соединяется с выводом LI более старшей микросхемы. У самой старшей микро- схемы — с выводом С1 са- мой младшей микросхемы 35 RI Соединяется с выводом RO более старшей микросхемы. У самой старшей микросхе- мы ие используется 36 и Соединяется с выводом LO более младшей микросхемы. У самой младшей Микро- схемы заземляется 3 RI/LO Соединяется с выводом RO/LI более старшей мик- росхемы. У самой старшей микросхемы не использует- ся 5 JMPM Соединяется с выводом JMPD более старшей мик- росхемы. У самой стар- шей — с выводом JMPD са- мой старшей микросхемы 6 JMPD Соединяется с выводом JMPM более младшей мик- росхемы. У самой млад- шей — с выводом JMPM самой старшей микросхемы 20 CF0 Соединяется с выводом CF0 остальных микросхем 17 CF1 Соединяется с выводом CF1 остальных микросхем 29 С! На этот вход подается зна- чение выходного переноса из более младшей микро- схемы или со схемы уско- ренного переноса. У самой Вывод Обозна- чение Цель соединения со младшей микросхемы соеди- няется с выводом LO са- мой старшей микросхемы 11 Без использования схемы ускоренного переноса сое- диняется с выводом CI бо- лее старшей микросхемы 13 F0 Соединяется с выводами F0 остальных микросхем 10 F1 Соединяется с выводами F1 остальных микросхем 9 CS1 Соединяется с выводами CS1 остальных микросхем 15 CS2 Соединяется с выводами CS2 остальных микросхем 14 CLK Соединяется с выводами CLK остальных микросхем ведена на рис. 12.15 (умножение 8X8). Вы- воды схемы соединены согласно рис. 12.13 и табл. 12.14. На шинах DA, DB будет результат умно- жения при соблюдении временных соотноше- ний (см. рис. 12.15) и обеспечении выдачи ин- формации на шинах DA, DB (F0=F 1 = CS2= = 0). Минимальное гарантированное время умножения составляет 940 нс. В общем случае время умножения (Туми) и время деления (Тяеп) определяются по сле- дующим формулам- Т'дел — (®п + О ТА-(CLK. L — P/PDY) + A’> тумн “ (4ц 4-1) т-f- Ту..рн (CLK. L — P/RDY)) + Д’ где T — период следования синхроимпульсов; п — число объединенных БИС ПУ; TWph— длительность положительного синхроимпуль- са; tp(CLK. L-p/pDY) — время задержки рас- пространения от синхроимпульса до признака «готов»; А — дополнительное время, учиты- вающее возможность рассогласования сигна- лов CS1 и CLK-, Д=0—Т. Период следования синхроимпульсов при увеличении разрядности увеличивается: Г^160 нс — для одной БИС; 7"^220 нс — для двух БИС; 7’^160-)-(п—1) 60 нс — при объединении более двух БИС ПУ без схемы ускоренного переноса (СУП); Г^220-|-Гсуп, нс, — при объединении бо- лее двух БИС ПУ со схемой ускоренного пе- реноса, где ГСуп — время задержки распро- странения от входов Р(, G< СУП до выходов переноса СУП определяется конкретным его типом. Основные параметры микросхемы КР1802ВР2 приведены в табл. 12.15. 68
Рис. 12.14. Соединение выводов при включении двух микросхем КР1802ВР2 Щ'х CS1 » ‘fOtic, ЦыХ FO.F1__ ям-ш М7-Ш fa csz ьбОнс 1,5В \>'Z5rtC t fa CLK- fax P/RDY (СН5=1) УЦых w-мо МП-МО Рис. 12.15. Временная диаграмма определения времени умножения 69
Т аблица 12.15 Параметр Обозначение Значения параметров МИИ . макс. Выходное напряжение низкого уровня, В ^01. — 0,5* Выходное напряжение высокого уровня, В Уон 2,4** — Гок потребления, мА !сс 300*** Входной ток низкого уровня, мА, для: 1 IL выводов 3, 12, 14, 29, 35 выводов 1, 2, 37—42 выводов 9, 17, 20 выводов 10, 13, 16, 18, 21—28, 36 выводов 15, 30 вывода 6 вывода 5 вывода 8 —0,25 —0,4 —2,0 —0,45 —0,5 -0,8 — 1,3 -1,5 — Входной ток высокого уровня, мкА, для- ГIH выводов 12, 14, 29, 35 выводов 10, 13, 15, 36 вывода 9 — 40 80 140 Выходной ток высокого уровня в состоянии «вы- ключено», мкА !0ZH — 100 Выходной ток высокого уровня, мкА !OH — 100 Время задержки распространения сигнала от вхо- да выбора микросхемы до выхода распростране- ния переноса, нс tp (CS1, HL—P/RDY, LH) 60 Время задержки распространения сигнала от вхо- да синхронизации до выхода нулевого разряда, нс *P (CLK-RO) — 90 Время задержки распространения сигнала от входа синхронизации до выхода первого разряда, нс CP (CLK —RO/ LI) 90 Время задержки распространения сигнала от входа синхронизации до выхода седьмого разря- да, нс t P(CLK—R1 / LO) 85 Время перехода из состояния «выключено> в со- стояние высокого уровня и из состояния высоко- го уровня в состояние «выключено> от входа вы- бора микросхемы до входов/выходов информации, нс fT (CS2—D) 35 Время перехода из состояния «выключено» в со- стояние низкого уровня И ИЗ СОСТОЯНИЯ НИЗКОГО уровня в состояние «выключено» от входа выбора микросхемы до входов/выходов информации, нс h (CS2—D) 35 * При С''сс = 4,75 В. /оь = 0,5 мА (для выводов 7, //), /оь~1,5 мА (для вывода 6). вывода 16); !ol~\ мА (для выводов 3, 18, 30, 31); /ot-И мА (для выводов 8, 33); водов 1, 2, 17, 20—28, 34, 37—42) ** При (7сс = 4,75 В, 1он—\ мА. *** При С/сс = 5,25 В /оь==3,5 мА (для /ог —15 мА (для вы 70
12.5. Микросхема КР1802ВРЗ Микросхема КР1802ВРЗ — биполярный быстродействующий параллельный умножи- тель 8X8 разрядов, предназначен для умно- жения двух 8-разрядных чисел без знака или со знаком, представленных в дополнительном коде или смешанных кодах. Микросхема может быть использована для построения быстродействующих процессоров цифровой обработки сигналов, реализующих преобразование Фурье, цифровую фильтрацию и т. д., а также в универсальных ЭВМ. Условное графическое обозначение микро- схемы приведено на рис. 12.16, назначение Таблица 12.16 Вывода Обозначение Тип вывода Функциональное назначение выводов /, 36—42 DY7, DY0— DY6 Входы Множитель, разряды 7, 0—6 2 STB Вход Управление записью в регистр произведения 3 CLKP Вход Запись в регистр произведения 4 EDP Вход Управление буферной схемой про- изведения 5—10 DP15—DP10 Выходы Произведение, разряды 15—10 11 GND — Общий 12—21 DP9—DP0 Выходы Произведения, разряды 9—0 22—29 DX0—DX7 Входы Множимое, разряды 0—7 30 CLKX Вход Запись в регистр множимого 31 НВХ Вход Знак старшего (Х7) разряда мно- жимого 32 Ucc — Напряжение питания 33 END Вход Округление 34 HBY Вход Знак старшего (Y7) разряда мно- жителя 35 CLKY Вход Запись в регистр множителя ) НВХ >11X7 MPL о DP15 < s >11XS BP1L < >0X5 ВХЬ В PIS < >0X3 2^ >11X2 >11X1 OP12 < o >1X0 OP11 < ►—— •CLKX OPIO< 33 , >Ш BPS < >Н8У OPt < t rj >ВУ7 > 1YS BP7 < , 74 > 3YS >OY4 3P6 < >DY3 BPS < , IT >BY2 >OY1 OPk i t 17 >3YO ’CLKY BP2 < fS •CLKP STB 72 3P1 . t 20 4 >eop BPO , 21 6X0 , 32 Рис. 12.16. Условное графичес- кое обозначение КР1802ВРЗ Рис. 12.17. Структурная схема КР1802ВРЗ 71
Рис. 12.18. Временные диаграммы работы КР1802ВРЗ: а — управление 3-м состоянием и режим «прозрачности»; 6 — конвейерный режим: Л~‘SU (DX—CLKX, Н), *SU (DY—CLKY, Н), ‘sU (RND—CLKXY,Н)’> В~1Ц (DX—CLKX, Н), (DY—CLKY, Н)’ *Н (RND-CLKXY. Н) выводов — в табл. 12.16, структурная схема показана на рнс. 12.17, временные диаграммы работы — иа рис. 12.18, а, б. Микросхема состоит из следующих основ- ных узлов: регистра множимого, триггера ок- ругления, схемы ИЛИ, регистра множителя, блока умножения, регистра произведения, бу- ферной схемы произведения. Регистр множимого служит для хранения разрядов множимого А7—ХО и управляюще- го сигнала НВХ. Триггер округления хранит сигнал округ- ления, подаваемый на вход RND. Схема ИЛИ обеспечивает запись сигнала округления в триггер округления по фронту сигнала иа выходе схемы ИЛИ. Регистр множителя служит для хранения разрядов множителя Y7—Y0 и управляющего сигнала HBY. Блок умножения представляет комбинаци- онную схему, выполняющую умножение и од- новременное округление результата. Регистр произведения служит для хране- ния 16-разрядного произведения. Буферная схема произведения является выходным каскадом с тремя состояниями. Каждый входной сомножитель (операнд) X или Y сопровождается сигналом по управ- ляющему входу НВХ или HBY, который ука- зывает, что умножение производится над ко- дами (при высоком уровне напряжения на входах НВХ и НВУ) или над числами со зна- ком, представленными дополнительным кодом 72 Таблица 12 17 Примечание Н — безразличное состояние входа
(при низком уровне напряжения на входах НВХ и HBY). Результат умножения — 16- разрядное (двойной точности) произведение без знака или со знаком в дополнительном коде. В процессе умножения предусмотрено ок- ругление результата до 8-разрядного произ- ведения, включая знак. Входные регистры выполнены на D-триг- герах с записью информации по фронту сиг- нала на входе CLKX или CLKY соответствен- но для регистра множимого и множителя. Результат умножения записывается в вы- ходной 16-разрядный регистр произведений, выполненный на D-триггерах с записью по фронту сигнала на входе CLKP и имеющий вход управления STB, который дает возмож- ность пользователю исключить полностью вы- ходной регистр при высоком уровне сигнала на входе STB, т. е. при высоком уровне сиг- нала на входе STB выходы умножителя асин- хронны (не зависят от сигнала на входе CLKP) и при постоянном сигнале CLKP (вы- соком или низком уровне) выходной регистр превращается в регистр на потенциальных триггерах D-типа с хранением и записью ин- формации соответственно при низком и вы- соком уровне сигнала на входе STB. При высоком уровне напряжения на вхо- де EDP выходной буферный каскад перехо- дит в третье, выключенное состояние, запре- щая выдачу результата на общую шину, к которой может подключаться другое устрой- ство. Форма представления информации — об- ратный код, т. е. лог. 1 соответствует напря- жение низкого уровня. Для удобства описания функционирова- ния умножителя введем новые переменные: Рп, Хп, Yn, SX, SY, причем они принимают значение лог. 1 или лог 0, если на выводах DP„, DXn, DYn, НВХ и НВ\ — соответствен- но напряжение низкого или высокого уровня. Отрицательные числа в умножителе пред- ставлены в дополнительном коде В общем виде десятичный эквивалент це- лочисленных сомножителей вычисляется по следующим формулам __ б х = $Л).х7.2Ч- п = О __ 6 У=_-(5У-5У).Г7.27 4- 2Гп.2п. Если = то- сомножитель X является 7 кодом и вычисляется по формуле Х=2^п-2П. п=0 Если SX=1, то сомножитель X является чис- лом со знаком в дополнительном коде и вычис- 6 ляется по формуле Х =—Х7-27+2^п*2п. п=0 - Произведение р Десятичные значения Примечание 15 14 13 1211 10 9 8 7 6 5 4 3 2 1| 0 A' Y | Р 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Любое 0 0 Умножение на 0 значение б 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 + 1 । н Умножение кодов 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 + 1 г 1 -+-1 Смешанное умноже- ние 1 р 1 111111 1111111 -1 -1 -1 Смешанное умноже- ние 1 1 1 111111 0 0 0 0 0 0 0 — 128 । 1 —128 Смешанное умноже- 1 ние 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 — 128 -128 г 16384 Умножение чисел 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 -1 -1 4-1 Умножение чисел 0 0 0 0 0 0 0 0 0 0 0 0 1 10 0 - 4 -3 412 Умножение чисел 0 0 0 0 0 0 0 0 1 1111111 +255 | 1 255 Смешанное умноже- ние 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Любое 0 Нуль умножить на значение ЧИСЛО 1 1 1 1 1 10 0 0 0 0 0 0 0 0 0 —8 128 —1024 Смешанное умноже- ние 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 | 128 —128 —16384 Смешанное умноже- ние 0 0 0 0 0 0 0 0 0 1111111 —127 -1 (-127 Умножение чисел 73
Таблица 12.18 Числа X У Веса разрядов 27 26 25 21 23 22 21 2» 27 26 25 21 23 22 21 2» Разряды Х7 Х6 Х5 Х4 ХЗ Х2 XI ХО Y7 Y6 У5 Y4 Y3 Y2 Y1 Y0 Произведение Р Веса разрядов 2JS 214 213 212 2и 21» 29 28 26 25 21 2з 22 21 2» Разряды Р15 Р14 Р13 Р12 РП РЮ Р9 Р8 Р7 Р6 Р5 Р4 РЗ Р2 Р1 Р0 __ 6 Примечание. X — (SX — SX) • Х7 • 27 4- У ХП»2П; м—О __ 6 У^(ЗУ~5У)-У7.27+ У, УП-2П; и—О 14 P — X-Y ~[\-2(SX/\X7$SY/\Y7)].P15-2'*+ 2 м— О Аналогично определяется десятичный экви- валент сомножителя У Если результат умно- жения отрицательный, то произведение опреде- 1 4 ляется по формуле Р- — Pis-2IS+ Рп-2". п=0 Если результат умножения положительный — 1 5 то по формуле Р=^Рп-2п. ,1=0 Примеры умножения без округления приве- дены в табл. 12.17. Форматы представления сомножителей и произведения при умножении целых чисел при- ведены на табл. 12.18. Десятичный эквивалент чисел, меньших 1, и произведения определяются по формулам табл. 12.19. При умножении чисел без знака для повы- шения точности сомножителей и результата выбирается следующий формат представления сомножителей и произведения: Х= 2 Хп-2"-8; л - 0 г.= 2 >\-2п-8; 15 । р- 2 'V2' 6. «=о При умножении чисел, меньших 1, со зна- ком значения разрядов Р15 и Р14 произведе- ния совпадают всегда, за исключением одного предельного случая, когда происходит умноже- ние двух максимальных по модулю отрицатель- ных чисел (—1) • (—1) =4-1, т. е. SXX7.V6. . ,Х0=11О.. .0; 5П7У6...П7=11О...О; Р15Р14Р13.. ,Р0— 010.. .0. Если такой случай исключается, то резуль- тат умножения следует брать начиная с раз- ряда Р14. Если необходимо получить 8-разрядное про- изведение, то следует результат брать с разря- дов Р14—Р7 и провести операцию округления, подав при записи сомножителей на вход RND напряжение низкого уровня. При этом в про- цессе формирования произведения к разряду Р6 добавляется 1. При высоком уровне напря- жения на входе RND округления не произво- дится. Физически схема умножителя КР1802ВРЗ всегда производит операцию умножения це- лых чисел. Введение формата чисел, меньших 1 или дробных, производится путем условного определения весовых множителей разрядов со- множителей и вычисления весовых множите- лей произведения. Быстродействие умножителя оценивается по суммарному времени прохождения сигнала от входа до выхода по цепи, включающей вход- ные и выходные регистры, блок умножения и выходной буферный каскад, или по минималь- ному периоду следования синхроимпульсов. Основные параметры КР1802ВРЗ приведе- ны в табл. 12.20. Умножение чисел с большой разрядностью. Для умножения чисел с большой разрядностью (с расширенной разрядной сеткой) использует- ся принцип разбиения слов операндов иа час- ти. г Чтобы перемножить, например, 16-разряд- ные целые числа со знаком Х15—ХО и У15— У0 с помощью 8Х8-разрядного умножителя, операнды разбиваются на 8-разрядные секции. Сомножители и произведение в этом случае представляются в следующем виде: X = (X15 — Х8) • 2” + (Х7 — ХО); У = (У 15 — Y8) • 2s Ф (Y7—ГО); 74
XY=[(X15—X8) 2» + (X7— XO)] • [(Г/5—Y8) x X 28 + (/7— F0)] = [(X7—X0)-(Y7— — У0)] + 2». [(X7—XO) (Y15— Y8) + +(У7—Г0).(Х/5—Хв)] + [21в.(Х/5- — X8).(Y15—Y8)]. Все полученные частичные произведения (кроме первого) представлены дополнительным кодом. Каждое частичное произведение может быть реализовано 8-разрядным умножителем, а их сложение может быть выполнено с помощью типовых микросхем (155ИМЗ, К155ИМЗ, 155ИПЗ, К155ИПЗ). Числа Х15—Х8 и Y15—Y8 являются числа- ми со знаком, поэтому самый старший разряд частичных произведений (кроме первого) име- ет отрицательный вес и при сложении частич- ных произведений должен расширяться. Обозначим буквами a, b, с, d частичные произведения, полученные на каждом умножи- теле: а15 — аО = (Х7—X0)-(Y7 — Y0)\ Ы5—Ь0 = (Х7—Х0)(У15—У8)-, cl5-—cO = (X15 — X8)-(Y7— YO); d!5— dO (X15—X8) (Y15— Y8). Таблица 12.19 Числа X Y Для чисел в дополнительном коде Веса разрядов Зн. — 2" 2-i| 2-2 2-з| 2-4 2-5 2-е 2-1 Зн. — 2о 2-i| 2-2 2-з 2-42-5| 2-е 2-1 Разряды Х7 Х6 | Х5 Х4] ХЗ Х2 XI ХО Y7 Y6 | Y5 Y4 Y3 | Y2 | Y1 Y0 Произведение Р Веса разрядов — 21 2» | 2-i 2-2 | 2-з 2-4 2-е 2~1 2-8| 2-9 2-ю 2-п | 2-12 | 2-1з 2-П Разряды Р15 Р14\ Р13 Р12\ Р11\ Р10\ Р9 Р8 Р7 Р6 I Р5 Р4 РЗ | Р2 | Р1 РО Для чисел без знака Веса разрядов 2-1 2-2 2-з 2-4 2-5 2-« | 2-i | 2-8 2-11 2-2 2-з | 2-4 | 2-5 2-6 2-i 2-8 Разряды Х7 Х6 Х5 Х4 ХЗ Х2 | XI | ХО Y7 | Y6 Y5 | Y4 | Y3 Y2 Y1 Y0 Произведение Р Веса разрядов 2-1 2-2 2-з 2-4 2-5 2-61 2-i | 2’8 2-91 2-ю 2-п | 2-ю | 2-ю 2-14 2-ю 2-ю Разряды Р15 Р14 Р13 Р12 РП Р10\ Р9 | Р8 Р7 | Р6 Р5 | Р4 | РЗ Р2 Р1 РО Для смешанного умножения Веса разрядов 2° 2-1 2-2 | 2-з 2-4 | 2’5 2-61 2-11 2-1 2-2 2-з 2-4 2-5 2-6 2-1 2-8 Разряды Х7\ Х6 Х5 | Х4 ХЗ | Х2 XI | ХО I Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 Произведение Р Веса разрядов 2-о 2-1 2-21 2-з 2~‘ | 2-5 2-61 2~11 2-8 2-9 2_ю 2-п 2-ю 2-ю 2-ю 2-15 Разряды Р15 P/4f Р13\ Р12 Р11\ РЮ\ Р9 | Р8 | Р7 Р6 Р5 Р4 РЗ Р2 Р1 РО 6 6 Прииеча н ие .X = (SX-SX)X7+ 2 Хп ' 2"~7; У=(ЗУ-5У) У7 + У, Yn-2n~i'. п 0 п — О 14 р —XY——2 (SX/\X7<±SYf Y7)] Р1 5-2‘+ 2 Рп-2п^1А. п-= О 75
Таблица 12.20 Параметр Обозначение Значения параметров Режим измерения мин. макс. Ток потребления, мА 1сс — 270 Усс = 5,25 В Выходное напряжение низкого уров- ня, В UOL — 0,5 (Усс-4,75 В, Iol ~ 10 мА Выходное напряжение высокого уровня, В ион 2,4 — (7сс —4,75 В, 1 о н ~ 1 мА Входной ток низкого уровня, мА, для: Усс = 5,25 В, Угг =0,5 В выводов 1, 2, 23—29, 31, 33, 34, 36—42 —0,4 — выводов 4, 22 —0,25 — выводов 3, 30, 35 —0,8 — Входной ток высокого уровня, мкА, для: 1IH Усс = 5,25 В, Уон =5,25 В выводов 1, 2, 23—29, 31, 33, 34, 36—42 — 20 выводов 3, 4, 22, 30, 35 — 40 1' Выходной ток низкого уровня в со- стоянии «выключено», мкА !ozl — 100 — Усс = 5,25 В, Уон =0,5 В Выходной ток высокого уровня в со- стоянии «выключено», мкА Iqzh — 100 Усс = 5,25 В, Уон = 5,25 В Время задержки распространения сигнала от входа записи в регистры сомножителей до выходов произве- дения, нс *Р (CLKXY, H—DP) 140 Время задержки распространения сигнала от входа записи в регистр произведения до выходов произведе- ния, нс fP (CLKP, H—DP) 50 Время задержки распространения сигнала от входа управления запи- сью до выходов произведения, нс *P (STB, H~ DP) 50 Время задержки перехода от входа управления буферной схемой произве- дения до выходов произведения, нс lTLZ' fTZL' ‘TZU' lTHZ 50 Время сохранения сигнала на входах DX и НВХ, DY н HBY (RND) отно- сительно сигналов CLKX, CLKY (CLKX, CLKY) соответственно, нс lH (DX -CLKX, H), lH (DY-CLKY, H), {H (RND—CLKXY, H) 60 Время установления сигнала на вхо- дах CLKX, CLKY относительно сиг- нала на входе CLKP (время цикла), нс / fSU (CLKXY ,H—CLKP,H) 115 76
Окончаний табл. 12.20 Параметр Обозначение Значения параметров Режим измерения мин. макс Время установления сигнала на вхо- дах CLKX, CLKY относительно отри- цательного фронта импульса на вхо- де STB, нс lSU (CLKXY, H-STB, L) — 135 Длительность сигнала высокого уров- ня на входах CLKX, CLKY, CLKP, нс тн 35 — Длительность сигнала низкого уров- ня на входах CLKX, CLKY, CLKP, нс XL 35 — Время установления сигнала на вхо- дах DX и НВХ, DY и HBY (RND) относительно сигнала на входах CLKX, CLKY (CLKX, CLKY) соот- ветственно, нс Значение емкости, пФ: *317 (DX—CLKX, И), fSU (DY-CLKY.H), hu (RND—CLKXY.H) 15 по входам Cl — 10 по выходам Cq — 15 Примечание. Значения динамических параметров приведены при Ucc= =5,0 В и Т----------10— +70 °C. ИГО ОГО S0 UlH Y0-Y7 XS-X15 UIH Y8-Y15 XB~X15 c/lso W-FT Х0-Х7 17IH Y8-YIS ХО-Х7 MPL DP7 D88 ао] \aij\bi4 sis S6 А7 В7 СО 87 S14 S!5 /Ж I Ж» №15$ —el'—а— е ]67-674] 615 ВРО —oJt СО MPL DPI _______SM_ S7 DM4BP15$ C15 СТ[8оЦ B13 814 815 И ~SM S7 so е16 7T6-PJ1 5 Г АО SM То-87 В8-Р15 MPL ОРО ОР15 $ •16 А75 8 Рис. 12.19. Структурная схема 16-разрядного умножителя 77
Произведение получается суммированием частичных произведений с учетом их веса: 231—2м 223— 216 215—2» 27—2° Ы5—Ы5 С15—С15 dl5—d8 Ы5—Ь8 с15—с8 d7—dO а15—а8 Ь7—Ы) с7—сО о7—аО Р31-Р24 Р23—Р16 Р15—Р8 Р7—РО На первой линейке сумматора выполняется операция , Ы5 Ы5..............Ы4—Ы "г с15 с!5.............с!4—cl е!6 с15..............е!4~е! На второй линейке сумматоров выполняет- ся операция dl5—d8 d7—d0 а15—а9 а8 7 е!6—е16 e!5—e8 e7—el cO bO P31..........................P9 P8 Так как на сумматоре можно сложить толь- ко два числа, то разряд ЬО подается на вход переноса сумматора. С целью уменьшения аппаратурных затрат следует иметь в виду, что если при сложении двух чисел использовать модифицированный дополнительный код, т. е. представить знаки слагаемых двумя разрядами, то старший раз- ряд модифицированного дополнительного кода всегда является истинным знаком результата. Рис. 12.20. Структурная схема умножителя с мультиплексированием Рис. 12.21. Временная диаграмма работы умножителя с мультиплексированием При умножении чисел, меньших 1, использует- ся аналогичная схема наращивания Схема 16-разрядного умножителя, выпол- ненного с использованием четырех умножите- лей КР1802ВРЗ и двухвходовых сумматоров, приведена на рнс. 12.19, где CI — входы пе- реноса, СО — выходы переноса, А,, В, — вхо- ды слагаемых, S, — выходы суммы. Так как на входах и выходах схемы умно- жителя информация представляется в обрат- ном коде, то для получения правильной инфор- мации на выходе сумматоров на незадейство- ванные входы переноса сумматора следует по- дать напряжение высокого уровня. В этом слу- чае на выходе всей схемы будет 32-разрядное произведение в обратном коде. Если требуется округление, то на входы RND соответствую- щей схемы умножителя подается напряжение низкого уровня. Мультиплексированный режим работы ум- ножителя. Время умножения чисел с помощью одного 8-разрядного умножителя может быть слишком большим для некоторых динамических систем, работающих с большой пропускной способностью. Применяя мультиплексирован- ный режим работы нескольких умножителей, можно существенно ускорить процесс умноже- ния массивов чисел. Например, прн использо- вании устройства по схеме на рис. 12.20 время умножения массивов чисел уменьшается в 2 ра- за по сравнению с устройством без мульти- плексирования. 78
Временная диаграмма работы устройства по рнс. 12.20 приведена на рис. 12.21. Два разных сигнала управления третьим состоянием, работающие в противофазе, позво- ляют объединять выходы умножителей в об- щую шину. Специальный генератор, сформиро- ванный на двух триггерах Т, вырабатывает необходимые сигналы и синхроимпульсы: EDPO, EDPI, CLKO. CLK1. Сигналом «Сброс» осуществляется установ- ка системы в исходное состояние. 12.6. Микросхема КМ1802ВР4 Микросхема КМ1802ВР4 — параллельный умножитель 12X12 разрядов, предназначена для использования в радиоэлектронной аппара- туре. Каждый из операндов может быть либо кодом (числом без знака), либо числом со зна- ком. В последнем случае операнд представля- ется в дополнительном коде. Числа могут быть как целыми, так и меньше 1. На выходе умножителя вырабатывается про- изведение двойной точности (24 разряда), ко- торое может быть округлено до 12 разрядов (включая знаковый разряд). При умножении чисел со знаком в дополнительном коде произ- ведение получается в дополнительном коде. При действиях над числами со знаком преду- смотрена возможность присвоения знака про- изведения младшей части произведения. Умножитель может быть использован для построения быстродействующих процессоров цифровой обработки сигналов, реализующих алгоритмы быстрого преобразования Фурье, цифровую фильтрацию и т. п. Возможно при- менение также в специализированных и уни- версальных цифровых ЭВМ. Условное графическое обозначение микро- схемы приведено на рис. 12.22, назначение вы- водов — в табл. 12.21, структурная схема по- казана на рис. 12.23, временная диаграмма работы — на рис. 12.24. Микросхема включает в себя ряд устройств, в том числе: регистр множимого (регистр X), регистр множителя (регистр Y), триггер округ- ления, блок умножения, сдвигатель, регистры младшей и старшей частей произведения и вы- ходные буферные каскады младшей и старшей частей произведения. Регистры X и Y выполнены на D-триггерах с одним тактирующим входом. Запись в них осуществляется по фронту сигналов CLKX н Таблица 12.21 Вывод Обозначение Тип вывода Функциональное назначение выводов 1-8, 61—64 Х7—Х0, Х11-Х6 Входы Множимое, разряды 7—0, 11—8 9—20, 29—40 Р0—Р11, Р12—Р23 Выходы Произведение, разряды 0—11, 12—23 21 TRIL Вход Управление выходными буферны- ми каскадами младшей части про- изведения 22 TRIM Вход Управление выходными буферны- ми каскадами старшей части про- изведения 23, 24 GND — Общий 25 FT Вход Управление «прозрачностью» реги- стров произведения 26 RS Вход Управление сдвигом вправо стар- шей части произведения 27 CLKL Вход Синхронизация регистра младшей части произведения 28 CL KM Вход Синхронизация регистра старшей части произведения 41 TCY Вход Знак весового коэффициента стар- шего разряда множителя 42—47, 51—56 Y11—Y6, Y5—Y0 Входы Множитель, разряды 11—6, 5—0 48—50 L?CC — Напряжение питания 57 тех Вход Знак весового коэффициента стар- шего разряда множимою ,58 RND Вход Округление >59 CLKY Вход Синхронизация регистра множи- теля 60 CLKX Вход Синхронизация регистра множи- мого Рис. 12.22. Условное гра- фическое обозначение КМ1802ВР4 47 TOY MPY Y11 44 YIO УЗ Y8 Е Y7 Y6 P23 Y5 P22 Е4 P21 YJ P20 37 33“ 56~ Y2 У! YO P13 P18 P17 36 35 33 CLKY P16 w 3J ио RND PIS 57 61 62 тех хи XIO P14 P13 P12 30 23 sSZ. хэ хв PH 20 Х7 PIO _ !3 Х6 PS 18 4 Х5 Х4 P8 17 ХЗ P7 Х2 P6 J5 XI P5 /4 ХО P4 73 12 60 CLKX P3 P2 Pl 27 CLKL 10 28 CLKM PO 9 25 FT 48-50 26 RS UCC ' 21 22 TRIL TRIM GND : 23,24 79
CLKY. Помимо 12 разрядов сомножителей в регистры X и Y заносятся признаки мно- жимого и множителя ТСХ и TCY, которые имеют значения 1, если данный сомножитель— число со знаком, и 0, если сомножитель — чис- ло без знака. Другими словами, ТСХ и TCY — знаки весового коэффициента старшего разря- да числа: при 1 — минус, при 0 — плюс. По фронту одного из сигналов CLKY и CLKX в триггер округления записывается сиг- нал RND, по которому производится округле- ние произведения до 12 разрядов при RND—1 Рис. 12.24. Временная диаграмма работы КМ1802ВР4: А~*Т1 (TRIM-РУ В~*Т1 (TRIL-P). С~*Т2 (TRIM-Р), Е ~*Т2 (TRIL-P) 80
X XZ3-X/Z Y YZJ- Y/2 Y/Г - У0 Рис. 12 25. Взаимное расположение частичных произведений в 24-разрядном умножителе (Xf1~X0)-(Ylt-Y0) (P23- PV2) 1 (PU-PO) 1 (Xtt-XO)- (Y23-Y12) P3S (P35- P32)H (X23-Xt2)-(YH- YO) Pl35 - (P35 ~ P!2) (Х23-Xf2j • ( Y23~ Yf2) (РЬ7 - P2b) ~ Р Pb1-P36 P35 - Я24 P23-P12 PH - PO Рис 12 27. Временная диаграмма работы 24-разрядного умножителя YQ-Y11 Y0 HPY о-о,ьв To oi-оь, оыЗоо26 pg-рц ХО-ХП YU xo CLK Ё на 02-Ob YI2-Y23 Xll -CLKY -'CLKX -> 'CLKL ->'CU(M TCY TCX Pll P!2 Ol-Ob ЬыЗоОы2!,22,25,5В CI aO xo-xn YO-YH X12-X23 Y12-Y23 XI2-X23 j/ Р23 bO 77 YO MPY YU xo XU fl TCY TCX YU xo PO Pl P22 YO Xll TCX YO 02 P23 a2! MPT PO ~pT P22 03 P23 MPY PO Рис 12 2b Структурная схема 24 разрядного умножителя +5bJL. YU xo XII TCY TCX Ob Pll P/2 b2! r— b22 b23 a22 a23 ~b0 ci aO SO S22 S23 sn S12 bll aO SM CI aO all bO all SO SJ1 co 01 bll SC P2L-P35 SH CO all bO bll 08 SO Sil CO m-nj РЗС-П7 P23 81
Блок умножения представляет собой комби- национную матрицу, в которой формируются частичные произведения от поразрядного умно- жения множимого на множитель, суммируются (с соответствующими весами) н результат кор- ректируется при действии над числами со зна- ком. Операция округления выполняется одно- временно с суммированием частичных произве- дений путем прибавления 1 в старший разряд отбрасываемой части, т. е. дополнительной за- держки не вносит. При действии над числами со знаком пре- дусмотрена возможность присвоения знака про- Рис. 12.29. Временная диаграмма работы умно- жителя с мультиплексированием изведения его младшей части. Для этого на входе должно быть напряжение низкого уровня, т. е. 7?S = 0. Следует иметь в виду воз- можность получения неправильного результа- та, если /?5 = 0 из-за переполнения разрядной сетки при умножении максимальных по моду- лю отрицательных чисел: результат для целых чисел (—2,,-Х//)-(—2й-Y11) получается рав- ным (—2за X11 Y11), для дробных чисел (—2°-ХИ)-(—2°Y11) — равным (— 2°-Х//Х XY11). С помощью сдвигателя SL, управляемого сигналом RS, произведение Р в соответствую- щем формате подается на регистры младшей и старшей частей произведения (LSP н MSP соответственно). Запись в регистр произведе- ния происходит по фронту сигналов CLKL, CLKM соответственно при FT = 0. Если ЕТ=1, то сигналы CLKL и CLKM блокируются. D-триггеры регистров становятси потенциаль- ными триггерами и информация с входов ре- гистров постоянно проходит на выходы (реги- стры как бы исключены, «прозрачны»). Выходные буферные каскады управляются сигналами TRIL (младшая часть произведе- ния) и TRIM (старшая часть). Каскады нахо- дятся в выключенном (третьем) состоянии, ког- да управляющий сигнал равен 1. Принципы наращивания разрядности и ре- жим мультиплексирования аналогичны описан- ным в § 12.5. Взаимное расположение частичных произве- дений в 24Х24-разрядном умножителе показа- но на рис. 12.25, его структурная схема для случая перемножения чисел со знаком приве- дена на рис. 12.26, временная диаграмма рабо- ты устройства — иа рис. 12.27 82
Таблица 12.22 Параметр Обозначение Значения параметрон мин макс. Выходное напряжение низкого уровня, В, при ^сс = 4,75 В и /од = 4 мА ^OL — 0,5 Выходное напряжение высокого уровня, В, при (7сс=4,75 В и /он = 0,4 мА U ОН 2,4 — Ток потребления, мА, при Ucc —5,25 В !СС — 600 Входной ток низкого уровня, мА, при (7сс = 5,25 В и Uil = = 0,5 В: 1IL для выводов 1—8, 25, 42—47, 51—56, 58, 61—64 —0,4 — для выводов 21, 22, 26—28, 41, 57, 59, 60 — 1 ,0 — Входной ток высокого уровня, мкА, при Ucc = 5,25 В и Uih — = 5,25 В Чн — 75 Выходной ток низкого уровня в состоянии «выключено», мкА, при (7сс = 5,25 В и Уоь = 0,5 В !ozl — 100 — Выходной ток высокого уровня в состоянии «выключено», мкА, при {7сс = 5,25 В и UOh = 5,25 В l0ZH — 100 Время задержки распространения сигнала от входа CLKX до выходов Р. нс h (CLKXY- P) — 145 Время задержки распространения сигнала от входа CLKY до выходов Р, нс h (Cl KXY P) — 145 Время задержки распространения сигнала от входа CLKM до выходов Р, нс ,h (CLKM-P) — 35 Время задержки распространения сигнала от входа CLKL до выходов Р, НС h (CLKL-P) — 35 Время задержки перехода от входа TRIM до выходов Р, нс hl (TRIM-P), hi (TRI M—P) — 40 Время задержки перехода от входа TRIL до выходов Р, нс hl (TRIL—P), hi (TRIL—P) 40 Время задержки распространения сигнала от входа RS до выходов Р с округлением произведения, нс hl (RS-P) — 140 Время задержки распространения сигнала от входа RS до выходов Р без округления произведения, нс hi (RS—P) — 70 Длительность сигнала высокого уровня на входах CLKX, CLKY, CLKM, CLKL, нс TH 25 — Длительность сигнала низкого уровня на входах CLKX, CLKY, CLKM, CLKL, нс h 25 — Время установления сигнала на входах X, ТСХ, Y, TCY и RND относительно сигнала на входах CLKX, CLKY, нс — —25 Время сохранения сигнала на входах X, ТСХ, Y, TCY и RND относительно сигналов на входах CLKX, CLKY, нс •H 0 — Время задержки распространения сигнала от входа FT до вы- ходов произведения, нс h (FT—P) — 80 Время установления сигнала на входах CLKX. CLKY (время цикла), нс fCY - НО Примечание Значения динамических параметров приведены при Ucc— 5.0 В и T = — 10 4- +70 С 83
Схема умножителя с мультиплексированием и временная диаграмма его работы приведены на рнс. 12.28 и 12.29 соответственно. Основные параметры микросхемы КР1802ВР4 даны в табл. 12.22. 12.7. Микросхема KM1802BPS Микросхема КМ1802ВР5 — параллельный умножитель 16X16 разрядов, предназначена для построения быстродействующих процессо- ров цифровой обработки сигналов, реализую- щих алгоритмы быстрого преобразования Фурье, цифровую фильтрацию и т. п. Возмож- но применение также в специализированных и универсальных цифровых ЭВМ. Каждый из операндов может быть либо ко- дом (числом без знака), либо числом со зна- ком. В последнем случае операнд представля- ется в дополнительном коде. Числа могут быть как целыми, так и меньшими 1. На выходе умножителя вырабатывается произведение двойной точности (32 разряда), 50 TOY MPY PY15 PY1 3 PYO в •CLKY P31 P30 40 52 UNO 33 51 тех 54 X15 P28 55 55 57 58 53 X14 X13 X12 XII P28 P27 P26 37 36 35 ХЮ 50 51 62 ХЗ X8 X7 P25 P24 34 33 55 64 X6 P23 32 / 2 X4 P22 31 ~5~ X3 X2 P21 30 4 5 X1 XO P20 23 28 27 53 CLKX P18 7 CLKL P18 4/ ' 'CLKM P17 26 43 FT KS P16 25 48,43 T8IL Uqq : 4tPC7 JtL- TKIM GNU : Таблица 12.23 которое может быть округлено до 16 разрядов (включая знаковый разряд). При умножении чисел со знаком в дополнительном коде произ- ведение получается в дополнительном коде. При действиях над числами со знаком пре- дусмотрена возможность присвоения знака про- изведения младшей части произведения. Условное графическое обозначение микро- схемы приведено на рис. 12.30, назначение вы- водов — в табл. 12.23, структурная схема ум- ножителя приведена на рис. 12.31, временная диаграмма работы — на рис. 12.32. Микросхема включает в себи: регистр мно- жимого (регистр X), регистр множителя (ре- гистр У), триггер округления, блок умножения, сдвнгатель, регистры младшей и старшей час- тей произведения н выходные буферные каска- ды младшей и старшей частей произведения. Регистры X и Y выполнены на D-триггерах с одним тактирующим входом. Запись в них осуществляется по фронту сигналов CLKX и CLKY соответственно. Помимо 16 разрядов со- множителей в регистры X и У заносятся приз- наки множимого и множителя ТСХ и TCY, ко- торые имеют значение 1, если данный сомно- житель — число со знаком, и 0, если сомножи- тель — число без знака. Другими словами, ТСХ и TCY — знаки весового коэффициента Рис. 12.30. Условное гра- фическое обозначение КМ 1802 В Р5 Вывод Обозначение Тип вывода Функциональное назначение выводов 1—5, 54—64 X4—X0, X15—X5 Входы Множимое, разряды 4—0, 15—5 6 TRIL Вход Управление выходными буферны- ми каскадами младшей части про- изведения 7 CLKL Вход Синхронизация регистра младшей части произведения 8 CLKY Вход Синхронизация регистра множи- теля 9, 10, 11—24 PY0—PY15 Выходы/ входы Произведение/миожитель, разряды 0—15 25—40 P16—P31 Выходы Произведение, разряды 16—31 41 CLKM Вход Синхронизация регистра старшей части произведения 42 TRIM Вход Управление выходными буферны- ми каскадами старшей части про- изведения 43 RS Вход Управление сдвигом вправо стар- шей части произведения 44 FT Вход Управление «прозрачностью» реги- стров произведения 45—47 GND — Общий 48, 49 Ucc — Напряжение питания +5 В 50 TCY Вход Знак весового коэффициента стар- шего разряда множителя 51 тех Вход Знак весового коэффициента стар- шего разряда множимого 52 RND Вход Округление 53 CLKX Вход Синхронизация регистра множи- мого 84
85
86
Рис. 12.33. Взаимное расположение частичных произведений в 32-разрядном умножителе старшего разряда числа: при значении 1 — ми- нус, при 0 — плюс. По фронту одного из сигналов CLKY или CLKX в триггер округления записывается сиг- нал RND, по которому производится округле- ние произведения до 16 разрядов в случае RND=L Выходные буферные каскады управляются сигналами TRIL (младшаи часть произведе- ния) и TRIM (старшая часть). Каскады нахо- дятся в выключенном (третьем) состоянии, когда управляющий сигнал равен 1. Для уменьшения числа используемых выво- дов БИС разряды младшей части произведе- Рис. 12.35. Временная диаграмма работы 32-разрядного умножителя ния заведены на шину множителя У, которая является двунаправленной. Функционирование микросхемы КМ1802ВР5 аналогично описанному в §12.6. Взаимное расположение частичных произве- дений в 32Х32-разрядном умножителе показа- но иа рис. 12.33, его структурная схема при- ведена на рис. 12.34. Наличие регистров на вы- ходе LSP умножителей и триггеров Г, запоми- нающих значения ТСХ и TCY, позволяет уменьшить цикл перемножения (умножение в БИС УМ и сложение предыдущих частичных произведений в сумматорах проводятся одно- Рис. 12.36. Структурная схема умножителя с мультиплексированием 87
Таблица 12.24 Параметр Обозначение Значения параметров мин макс. Выходное напряжение низкого уровня, В, при Ucc = = 4,75 В и /оь = 4 мА ^OL — 0,5 Выходное напряжение высокого уровня, В, при {7сс = 4,75В и 7ои = 0,4 мА U ОН 2,4 — Ток потребления, мА, прн Усс = 5,25 В he — 800 Входной ток низкого уровня, мА, при Усс = 5,25 В и У/ь=0,5 В, для: 1IL выводов 1—5, 9—24, 44, 50—52, 54—64 —0,4 — выводов 6, 7, 41—43, 53 —1 ,0 — вывода 8 —2,0 — Входной ток высокого уровня, мкА, при Ucc = 5,25 В и 1Лн = 5,25 В, для 11Н выводов 1—5, 44, 50—52, 54—64 — 75 выводов 9—24, 6. 7, 41—43, 53 — 175 вывода 8 — 150 Выходной ток высокого уровня в состоянии «выключено», мкА, при Усс = 5,25 В и Уон = 5,25 В hzH — 100 100 Выходной ток низкого уровня в состоянии «выключено», мкА, при Ucc = 5,25 В и Уод. = 0,5 В hzi. — Время задержки распространения сигнала от входов CLKY, CLKX до выходов Р, нс h (CLKY-P) — 175 Время задержки распространгния сигнала от входа CLKM до выходов Р, нс h (CLKM—P) 35 Время задержки перехода от входа TRIM до выходов Р, hl (TR1M—P), — 35 нс hl (TRIM—P) Время задержки перехода от входа TRIL до выходов hl (7 R1L—PY), — 35 PY, нс {T2 (TR1L — PY) Время задержки распространения сигнала от входа CLKL до выходов PY, нс fP (C l-KL—PY) — 35 ’ 165 ч Время задержки распространения сигнала от входа до выходов Р с округлением произведения, ис hl (RS—P) — Время задержки распространения сигнала от входа Л5 до lP2 (RS—P) — 60 выходов Р без округления произведения, нс X Длительность сигнала высокого уровня на входах CLKX, CLKY, CLKM, CLKL, нс TH 30 Длительность сигнала высокого уровня на входах CLKX, CLKY, CLKM, CLKL, нс V 30 — Время установления сигнала на входах X, TCX, Y, TCY и RND относительно сигналов на входах CLKX, CLKY, нс hu — —30 I Время сохранения сигнала иа входах X, TCX, Y, TCY н {H 0 — 1 RND относительно сигналов на входах CLKX, CLKY, нс О )C fl 88
Окончание табл. 12.24 Параметр Обозначение Значения параметров мин макс. Время задержки распространения сигнала от входа FT до выходов произведения, нс + (ГТ—Р) 100 Время установления сигнала на входах CLKX, CLKY отно- сительно сигнала на входах CLKM. CLKY (время цикла), нс Тс -140 Примечание. Значения динамических параметров приведены при Ucc= 5,0 В и Г = —10 + +70 °C. временно). Временная диаграмма работы устройства приведена на рис. 12.35. Схема умножителя с мультиплексированием и временная диаграмма его работы показаны иа рис. 12.36 и 12.37 соответственно. 23 38 42 ОАО О Al ОА2 SM OSO 77 15 74 ^>OB7 **r*>OB2 31 ^'BC2 28 12 1L 41 то 007 002 003 НВ Стробирующий сигнал результата Рис. 12.37. Временная диаграмма работы умножителя с мультиплексированием Основные параметры микросхемы КМ1802ВР5 приведены в табл. 12.24. 12.8. Микросхема КР1802ИМ1 Микросхема сумматора КР1802ИМ1 — быстродействующая 4-разрядная наращивае- мая секция, предназначена для выполнения арифметического сложения и вычитания до че- тырех чисел (А, В, С и D), представленных дополнительным кодом. Микросхема сумматора может быть исполь- зована для построении быстродействующих многовходовых суммирующих систем при ре- 45 47 48 27 26 25 24 13 23 22 21 20 7 78 OPA OPB OPC OPO CAI CBI CCI cm CL К HL—\CLKS STB ST8S 2 13 11 ZB CAO CBO cco coo 36 Рис. 12.38. Условное графическое обозначение КР1802ИМ1 3 6 7 8 89
Таблица 12.25 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 CLK Вход Запись операндов 2 STB Вход Управление записью операндов 3-6 CAO, СВО, ССО, CD0 Выходы Переносы А, В, С, D 7 Р Вы ход Распространение переноса 8 G Вы ход Генерация переноса 9 НВ Вы ход Знак результата 10 OW Вы ход Признак переполнения 11 ZR Выход1 Признак нуля 12 GND — Общий 13 EDS Вход Управление буферной схемой результата 14—17 DS3—DS0 Выходы2 Результат, разряды 3—0 18 CLKS Вход Запись результата 19 STBS Вход Управление записью результа- 20—23 CDI, CCl, CBI, CAI EDD, EDC, EDB, EDA Входы Перенос D, С, В, А 24—27 Входы Разрешение D, С, В, А 28—35 DDO, DAO, DBO, DCO, DD1, DAI, DB1, DC1 Входы Данные D, А, В, С, разряды 0, 1 36 Ucc — Напряжение питания 37—44 DD2, DA2, DB2, DC2, DD3, DA3, DB3, DC3 Входы Данные D, А, В, С, разряды 2, 3 45—48 OP A, OPB, OPC, OPD Входы Операции А, В, С, D 1 С открытым коллектором. 2 С тремя состояниями. шении задач цифровой обработки сигналов, та- ких как спектральный и корреляционный ана- лиз и др. Условное графическое обозначение микро- схемы приведено на рис. 12.38, назначение вы- водов — в табл. 12.25, структурная схема по- казана на рис. 12.39, временные диаграммы работы — на рис. 12.40, Микросхема состоит из следующих основ- ных узлов: управляемых блоков инверсии М2; 4-разрядных регистров данных (А, В, С, D) RG; буферных схем сигналов STB к CLK; сум- мирующей матрицы SM; 5-разрядного регист- ра суммы и признака переполнения; выходной буферной схемы с тремя состояниями; схемы выделения признака нуля результата. Данные поступают на информационные ши- ны DA, DB, DC, DD и DS в инверсном виде. Управляемые блоки инверсии М2 служат для выработки инверсного кода операнда. Сум- мирующая матрица SM представляет собой комбинационную схему, выполняющую опера- цию суммирования четырех 4-разрядных чисел с выделением признака переполиеиия и сигна- лов простых и ускоренных переносов. Слагае- 90 мые могут быть выражены как прямым, так и дополнительным кодом. Знаки чисел подаются на старшие разряды устройства (DA3, DB3, DC3, DD3). На соот- ветствующие управляющие входы блока инвер- сий М2 ОРА, ОРВ, ОРС, OPD подаются сиг- налы управления видом операции (сложение или вычитание соответствующих чисел А, В, С или D), причем низкий уровень сигнала ОР соответствует сложению слагаемого по выбран- ному каналу, а высокий уровень — вычитанию. При операции сложения (на входы ОР по- дается напряжение низкого уровня) блок ин- версий пропускает инвертированный операнд (слагаемое) на информационный вход регист- ра данных. При операции вычитания (на входы ОР по- дается напряжение высокого уровня) операнд без изменений поступает на регистр данных. А так как вычитание числа есть сложение его дополнительного кода, то на соответствующий вход переноса CAI, CBI, CCl, CDI необходи- мо обеспечить подачу лог. 1. Если необходимое число слагаемых мень- ше четырех, то любое число слагаемых может
быть исключено подачей сигнала высокого уровня на соответствующий вход разрешения EDA, EDB, EDS, EDD. В этом случае инфор- мация, записываемая в регистр данных этого канала, зависит от соответствующего сигнала ОР. При низком уровне напряжения на входах ОР во все разряды этого регистра записывают- ся 0 и производится суммирование выбранных чисел. При высоком уровне напряжения на вхо- дах ОР в соответствующий регистр записыва- ются все 1 и результат суммирования будет иа 1 меньше. При низком уровне напряжения на входах ED разрешается работа соответствую- щего канала. Работа блоков инверсий М2 описывается следующими формулами: Д=ОРЛ ® DA /\TDA-, В =- OPD ф DB Л £DB; С ОРС ф DC Д EDC; D =OPD® DD /\~EDD, где А, В, С, D — информация в прямом коде иа выходе блока инверсий. Информация с выходов блоков инверсий М2 поступает иа соответствующие информаци- онные входы RG. Эти регистры выполнены на двухтактных D-триггерах с записью информа- ции в первую и вторую ступени соответственно по низкому и высокому уровням напряжения на входе CLK,. Вторая ступень триггера имеет также вход управления STB, который дает возможность исключить регистр данных как запоминающий элемент, делая его «прозрач- ным» при высоком уровне напряжения на вхо- де STB и низком уровне напряжения на входе CLK. С регистров RG данные поступают на сум- мирующую матрицу SM, состоящую из четырех ступеней суммирования. В состав первых двух ступеней входят полные одноразрядные сумма- торы. Выходы первых трех регистров данных (RGA, RGB, RGC) поступают на входы сум- маторов первой ступени, а выход четвертого регистра (RGD) — на вход сумматора второй Рис. 12.39. Структурная схема КР1802ИМ1 91
ступени. При этом сигналы переноса распрост- раняются диагонально от младшего разряда к старшему (кроме последней ступени, в которой используется сумматор с параллельным пере- носом). В суммировании принимают участие также входные переносы CAI, CBI, CCl, CDI. В результате суммирования вырабатывает- ся 4-разрядная сумма DS3—DS0, сигналы вы- ходных переносов CAO, СВО, ССО, CDO и сигналы предварительного просмотра перено- сов Р и G, а также вырабатывается признак пе- реполнения O1F. Признак переполнения выра- батывается правильно только при сложении не более двух чисел во всем диапазоне их пред- ставления. V ЛА, ИВ ВС, ВО 0Р,В1 Ш СМ3 CAI VB1 CCI СИ 13 НВ ZR 0W BBS 15 tsu(3-CLK,H) L, tSUtCAl-CLKS.nl , > JaH(CAI-CLK&.h] t * it——— HtCBI-CLKS.nl t -JL de _ , t_SUtCCI-CLKS.nl. 1 ^HlCCC-CLKi.H) t _ж_ dtd itSUlCll-CLKS.HL 1 tntCIU-CLKSjff- bdE_ - Рис. 12.40 Временные диаграммы работы КР1802ИМ1. i а — при синхронизации входных регистров, б при синхронизации входных и выходного регистров; в~ при «прозрачных» входных и выходном регистрах 92
AQ-A&-1) -,Н ' ВО-В(Н-П N CO-C(lt-l) К Л0-Л(Н-1) Н SM Л50 4 У МО SM ЛАЗ X^Z7 лвз, ^лсо лез BS3 НВ ЛАЗ ^^ЛВО ЛВЗ JJCO У ОРА OPB ОРС ОРЛ ллз ЕЛА ЕЛВ ЕЛС ЕЛЛ ОРН ОРВ ОРС ОРВ 0W ZR СП С П+1 Сп**~1 +5В CAT CBI CCI СЛТ {? Сп4 57»| 1 $795 § I ХО____ у О____ Х7____ Y1____ J Х#-2 ’1-Z г » лез ЛЛО ПЛЗ ЕЛА 4 3 2 ЕЛЛ OPR ОРВ ОРС ОРЛ ОАО сво ссо ело 5Г5 2 $7Э$| CAT C8I CCI СЛТ 8 BSO. лзз НВ 0W ZR ОАО сво ссо ело >CLK >CLKS STB STBS OSO лвз ,+5В +58 2 CAI CBI CCI СОТ ЛАЗ ,ЛВО лез ЛЛО ЛАО SM лее N Сумма лез НВ ‘-Л лее ллз ЕЛА ЕЛВ ЕЛС ЕЛЛ ОРА ОРВ ОРС ОРЛ 0W ZR ОАО сво ссо ело 6 ЛАЗ ЛВО Л53 ЛВЗ лез уЛЛО ллз ЕЛА >ЕЛВ ЕЛС ЕЛЛ ОРА ОРВ ОРС ОРЛ CAI CBI CCI СЛ1 >CLA >CIKS 5Г91 H STBS * У 1 НВ 0W ZR Знак Перепол- нение САО -* СВО ССО СЛО -► +5 В Нуль результата Рис. 12.41. Схема объединения микросхем К.Р1802ИМ1 для суммирования четырех Л/-разрядных чисел
налы распространения переноса Р и генерации переноса G не зависят от входного переноса CDI. Сигнал CDO связан с сигналами Р и G следующей зависимостью: CDO—G\JPf\CDI. Результат суммирования и признак перепол- нения поступают иа информационные входы регистра суммы, который аналогичен входному регистру данных и управляется соответственно сигналами CLKS и STBS. После записи в ре- гистр суммы вырабатываются признак равен- ства нулю результата (выход ZR) и отдельно знак результата (выход НВ). С регистра сум- мы результат суммирования выдается на вы- ходной буферный каскад, с которого он по- ступает на шину DS в инверсном виде. При высоком уровне напряжения на входе EDS выходной буферный каскад переходит в третье, выключенное состояние, запрещая вы- дачу результата суммирования на общую ши- ну, к которой теперь может подключаться дру- гое устройство. Результат суммирования определяется сле- дующей формулой: DS-(OPA Ф DA Л EDAj+CAl + (OPB Ф ф DB Л EDB)+CBI+ (ОРС ф DC Д Д £ОС)+СС/ + (ОРОфО£)Д EDD)A-CDI, где DS, DA, DB, DC, DD — 4-разрядные сум- ма и слагаемые А, В, С, D. На рис. 1Й.41 приведена схема наращива- ния БИС сумматора для сложения четырех А-разрядных чисел; БИС сумматора позволя. ет расширение разрядной сетки слагаемых до любого числа разрядов. С целью выполнения операции вычитания числа соответствующие входы управления ОРА, ОРВ, ОРС, OPD блоками инверсий -MS подаются иа соответствующие входы перено- сов младшей секции, что обеспечивает форми- рование дополнительного кода вычитаемого числа, и далее соединяются с одноименными входами всех А/4-секций. Так как выходы переноса CAO, СВО, ССО у всех секций имеют одинаковую соответству- ющую задержку распространения от информа- ционных входов, то при наращивании выходы переноса САО, СВО, ССО одной секции непо- средственно соединяются с соответствующими входами переноса CAI, СВ/, CCI другой сек- ции. При А>8 целесообразно использование схемы ускоренного переноса для формирования параллельного переноса по входам CDI, в про- тивном случае по цепи CDI—CDO будет на- капливаться задержка распространения сигна- ла CDO от секции к секции. Для получения признака нуля результата необходимо у всех секций объединить выходы ZR через резистор, подключенный к источнику питания 4-5 В. Основные параметры микросхемы КР1802ИМ1 приведены в табл. 12 26. Таблица 12.26 Пара метр Обозначение 3 Значения параметров мни. макс. Выходное напряжение низкого уровня, В: Uql для выводов 7, 8, 11, 14—17 при Дсс = 4,75 В и 1 г, l. = 15 мА для выводов 3—6, 9, 10 при Дес = 4,75 В и /ог = 5 мА 0,5 Выходное напряжение высокого уровня, В, при Дсс = 4,75 В и /он = 1 мА U он 2,4 — Ток потребления, мА, при Дес = 5,25 В !сс — 280 Входной ток низкого уровня, мА, при Ucc — = 5.25 В и UIL—0,5 В: hi. •< для выводов 1, 2, 18—23, 28—35, 37—48 -0,4 — для выводов 13, 24—27 —0,8 — Входной ток высокого уровня, мкА, при Ucc — = 5,25 В и Д1Н = 5,25 В !1Н — 40 Выходной ток низкого уровня в состоянии «вы- ключено, мкА, при Дсс = 5,25 В и Доь = 0,5 В Iqzl — 100 — Выходной ток высокого уровня в состоянии «вы- ключено», мкА, при Дес = 5,25 В и До и = 5,25 В hzH —- 100 94
Продолжение табл. 12.26 Параметр Обозначение Значения параметров мнн. макс. Выходной ток высокого уровня, --5,25 В и (7ОН = 5,25 В Время задержки распространения да CBI до выходов, нс: НВ ZR, OW Время задержки распространения да СС1 до выходов, нс CDO DS, Р, G НВ ZR, OW Время задержки распространения да CDI до выходов, нс: CDO, DS НВ ZR, О W мкА, при Ucc = сигнала от вхо- сигнала от вхо- сигнала от вхо- 1ои (Р (СВ1—НВ) (Р (CBI—ZR), lP (CBI -OW ) tp (ССI—CDO) fP (CCI—DS), fP (CCI-G), fP (CCI—P) lP (CCI—HB) fP (CC1—ZR), tp (CCI—OW ) fP (CD/ CDO), (P (CDI DS) fP (CDI HB) *P (CDI-ZR), tfl (CDI -OW ) 1 II i 1 II III 100 29 38 20 24 29 38 24 29 38 Время за гержки перехода от входа EDS ходов DS, нс Время задержки распространения сигнала да CLKS до выходов, нс НВ, ZR, OW DS Время задержки распространения chi нала да CLK до выходов, нс. С АО, СВО ССО CDO, Р, G до от от вы- вхо вхо hzL' (TZH lTLZ' 1ТНг lp (CLKS-HB), lP (CLKS- ZR), h’ (CLKS OU') 1Р (CLKS- DS) tp (CLK CAO), lP (CLK CBO) fP (CLK CCO) tp (CLK CDO), tp(CLK Р), ^р«:1 K—O) III 1 1 II 35 35 28 24 24 37 48 95
Продолжение табл. 12.26 Параметр Обозначение Значения параметров мии. макс. Время задержки распространения сигнала от вхо- да CAI до выходов, ис: CDO, Р, G tp (СAI—G), — 35 DS fP (CAI-P), lP (СА I —СПО) tp (CAI—DS) 40 НВ tp (CAI-НВ) — 29 ZR, OW fP (CAI—ZR), — 38 Время задержки распространения сигнала от вхо- да СВ1 до выходов, нс: CDO *P (CAI—OW) 1Р (CBI—CDO) 20 DS, Р, G tp (CBI—DS), — • 24 Время задержки распространения сигнала от вхо- да DC до выходов, нс: DS, CDO tp(CBI-P), tp(CBI-G) tp (DC—CDO), 55 С АО, С ВО, ССО, Р, G tp (DC—DS) tp (DC—CAO), — 40 Длительность сигнала высокого и низкого уров- tp (DC—CBO), tp (DC—CCO), tp (DC—P), tp (DC—G) CLK 10 ней на входах CLK, CLKS, нс Время установления сигнала на входах DA, DB, TL, CLK, XH, CLKS, XL, CLKS tsU (D—Cl К. H) —4 DC, DD относительно сигнала иа входе CLK, нс Время установления сигнала иа входах ОРА, fSU (Op—CLK, H), !SU (ED—CLK. H) —5 ОРВ, ОРС, OPD, EDA, EDB, EDD относительно сигнала на входе CLK, нс —8 — Время сохранения сигнала на входах DA, DB, tH(D-CLK, H), (H (OP—CLK. H), tfl (ED—CLK. H) tsu (CAI—CLKS, H) 0 DC, DD, ОРА, ОРВ, ОРС, OPD, EDA, EDB, EDC, EDD относительно сигнала на входе CLK, нс Время установления сигнала на входах относи- тельно сигнала на входе CLK, нс: CAI —25 CBI tsu (CBI—CLKS, H) —22 — 96
Окончание табл. 12.26 Параметр Обозначение Значения параметров мин. макс. СС! (sc/ (СС/—CLKS, Н) —18 — CDI (SU (CDI-CLKS, Н) — 12 — Время сохранения сигнала на входах относитель- но сигнала на входе CLKS, нс: СА1 *Н (CAI-CLKS, Н) — 10 СВ1 (CBI—CLKS, H) — 8 CCI *Н (CCI-CLKS, H) — 6 CDI (H (CDI—CLKS, H) — 3 Примечание. Значения динамических параметров пр иведены при Ucc~ 5,0 В и T = —10 — 1-70 °C 12.9. Микросхема КР1802ИП1 Микросхема К.Р1802ИП1 — матрица мно- гофункциональных ассоциативных регистров (МАР), предназначена для хранения данных, проведения контроля по четности, формирова- ния признаков ассоциативного сравнения, вос- становления исходной информации при сбоях в системах. Микросхема может быть использована для Таблица 12.27 Вывод Обозначение Тип вывода Функциональное назначение выводов 1, 23, 26, 46 DERDC, Входы Запрет чтения на магистра- 2, 3, 21, 22, DERDD, DERDB, DERDA АСО, АС1, AD1. Входы лях С, D, В, А Адреса С, D, В, А 27, 28, 44, 45 4 ADO, ABO, АВ1, АА1, AAO IKSYN Вход Синхронизация 5—7 1NS2—INS0 Входы Инструкция 8—11, 13 DC0—DC4 Входы Данные магистрали С 14—18 DD4—DD0 ВЫХОДЫ Входы' Данные магистрали D 31—35 DB0—DB4 выходы Входы 1 Данные магистрали В 38—42 DA4-DA0 выходы Входы' Данные магистрали А 12, 37 GND выходы Общин 19, 20, 29, A3—AO Входы, Непосредственная адреса- 30 24. 25, 47. DEW RD, ВЫХОДЫ Входы ция Запрет записи с магистра- 48 43 DEWRB. DEWRA, DEWRC INER Выход лен D, В, А, С Отсутствие ошибки 36 L'<-< — Напряжение питания iL_ 44 ААО AA1 АСАМ V ОАО BAI BA2 42 47 45 СЕКСА 40 47 ВЕШ ВАЗ BA4 39 ZU 27 2J_ ABO AB1 V вво OBI 31 26 ВЕКОВ BB2 25 BEWKB ВВЗ BB4 2 j АСО V 8 AC1 SCO BCI BEKBC AC? 10 48 BC3 11 BC4 22 ABO 0 BOO OBI 21 АВ1 -JS. 17 23 ВЕКВО 002 24 BEWKB 003 004 14 7 6 INSO INS! \ 41 30 29 INS2 42 A3 _ 20 79 4 INSYN Ш 43 CNO : Ucc : 36 Рис 12.42. Условное гра- фическое обозначение КР1802ИП1 4 I.IK У15 97
• ВА0~ВА1. . BCO-DCA smwj ал ЕЕ DB0-DB4 АМ.АМ 2. ' лмагЪ лзд-У <? ЖЗЕ^З юш.нягия ЯЕхвг.хтг’л kuKWEaa оохр!Гкт’“, изо-мг Зе IHSYH RGO-J HGl-l №2-1 BS3-1 ШчОШ\ Устрой- ство упроВяе- мия (СО) stmt’ ТПОЗГ BSO-2 KSr-2 ЯЁ2-2 KG3-2 sonars \pewei2 к ^sewnz AO-A3 5 5 Z S. Z ВЗО-ВВА. 5 Выходные Syipep- ные регистры с тремя состояни- ями шп SEMS! Схема контре- -ля чет- \1ЛМ&Л мости р" (со) I—Схема формироба- л _ ния сигналов л__________ INER A0-A3 Рис. 12.43. Структурная схема КР18О2ИП1 построения иа ее основе следующих видов бы- строй памяти: сверхоперативной (адресной) памяти с ор- ганизацией «четыре плюс четыре регистра по пять разрядов»: (44-4) Х5 р; сверхоперативной (адресной! памяти с ор- ганизацией «четыре регистра по 10 разрядов»: 4ХЮ р; ассоциативной памяти с поиском слова по равенству признака опроса (дескриптора) и ассоциативного признака, хранящегося в ре- гистре, с возможностью маскирования разря- дов сравниваемых чисел; памяти с двухкоординатным поиском сло- ва, т. е. выполнением ассоциативного сравне- ния в выбранной строке, с возможностью мас- кирования разрядов сравниваемых чисел; специальных видов памяти типов СТЕК, КЭШ. Устройства памяти, построенные с помощью микросхемы МАР, могут иметь любое число слов любой разрядности. При построении ассоциативной памяти ми- кросхема может работать как в поле ассоциа- тивных признаков, так и в поле основной ин- формации. Условное графическое обозначение микро- схемы приведено на рис. 12.42, назначение вы- водов - в табл. 12.27, структурная схема по- казана на рис. 12.43, временные диаграммы работы — на рис. 12.44. Микросхема включает в себя следующие ос- новные устройства: узлы матрицы ассоциатив- ных регистров RG0-1, RG1-1, RG2-1, RG3-1, RGO-2, RG1-2, RG2-2, RG3-2; устройство уп- равления СО; схему контроля четности СИ; схему формирования сигналов сравнения F; выходные буферные регистры с тремя состоя- ниями Z. Узлы RG0-J—RG3-1 связаны с магистраля- ми DA и DC; узлы RG0-2—RG3-2 — с магист- ралями DB и DD, Входы/выходы DA4, DB4, DC4, DD4 предна- значены для тетрадных контрольных разрядов. Структурная схема одной из строк микро- схемы МАР, содержащая два узла МАР,- при- ведена на рис. 12.45. В состав узла MAP (RG} входят следующие устройства: мультиплексор MS, регистр RG, схема контроля СИ, схема сравнения А, устройства чтения ARD. На мультиплексор MS и регистр RG узла МАР подаются сигналы выбора данных и записи SEWR. На соответствующую магистраль устройст- ва чтения подаются сигналы чтения RDA, RDB, RDC или RDD. Мультиплексор MS по сигналам выбора и записи SEWR осуществляет передачу на вход 98
регистра RG узла МАР информацию с вход- ных шин DAI пли DCI (в левом столбце) и DBI или DDI (в правом столбце), а также информацию с выхода соседнего регистра дан- ной строки. При этом каждый из трех сигна- лов SEWR разрешает прохождение информа- ции с одного из направлений. Регистр RG узла МАР является 5-разряд- ным регистром, построенным на О-трнггерах типа «защелка». Он производит запись и хра- нение информации, подаваемой на его вход с мультиплексора MS. Запись информации в ре- гистр происходит при наличии сигнала высо- кого уровня на одном из входов SEWR. Схема контроля СН узла МАР производит сложение по модулю 2 четырех разрядов дан- ных и тетрадного контрольного разряда, хра- нящихся в регистре RG узла МАР. Наличие напряжения высокого уровня на выходе СН свидетельствует о возникновении ошибки чет- ности информации в регистре RG узла МАР. Выходы СН всех RG МАР объединяются для формирования общего сигнала ошибки четности хранимой информации ER. Схема сравнения А обеспечивает сравне- ние внешнего признака опроса (дескриптора), поступающего по входной шине DAI (DBI), и ассоциативного признака, хранящегося в ре- гистре. При этом сравниваемые числа могут быть замаскированы таким образом, что нап- ряжение высокого уровня разряда маскн, по- ступающее по входной шине DCI (DD1), дает сигнал сравнения (напряжение высокого уров- ня) в данном разряде независимо от значе- ний содержимого соответствующих разрядов и А INS-iN Рис. 12.44. Временные диаграммы работы КР1802ИП1 в режимах: « — адресной записи; б - сквозной записи 'чтения; в — переписи данных; г — ассоциативного поиска; д адресного чтения; е — ассоциативной записи: ж — ассоциативного чтения 4 99
регистров и (ескринюра Контрольные раз- ряды четности в сравнении не участвуют. Ассоциативное сравнение содержимого реги- стров и дескриптора происходит сразу во всей строке МАР. Поэтому выходы схем срав- нения двух узлов МАР (одной строки) объе- диняются для формирования общего сигнала наличия сравнения в данной строке. Устройство чтения ARD по сигналам RDA (RDB) или RDC (RDD), поступающим из уст- ройства управления, пропускает на соответст- вующую выходную шину DAO (DBO) или DCO (DDO) информацию с выхода регистра RG узла МАР Устройство управления СО дешифрует ад- реса регистров узла МАР, к которым происхо- дит обращение, н коды инструкций, задающих режимы работы микросхемы, осуществляет синхронизацию микросхемы, вырабатывает сиг- налы выбора .(энных н записи (SEWR) и чте- ния (RDA, RDB, RDC, RDD) для каждого уз- ла МАР, а также сигналы разрешения вклю- чения 3-го состояния выходных буферных ре- гистров Z, формирует признак наличия нли от- сутствия ассоциативного сравнения в данной схеме, управляет работой схемы контроля чет- ности СН и схемы формирования сигналов сравнения F. Схема СН проводит проверку на четность хранимой в регистрах информации во всех ре- жимах работы, а также информации, находя- щейся на магистралях (т. е. дескриптора и маски), в режимах ассоциативного и двухкоор- динатного поисков. Наличие напряжения высо- кого уровня на выходе INER свидетельствует об отсутствии ошибки четности в схеме. В режимах ассоциативного н двухкоорди- натного поисков на выход / VER может пода- ваться ыкже сшнал oicxicihhh сравнения в данной схеме (напряжение высокого уровня). Схема формирования сигналов сравнения F вырабатывает сигналы, свидетельствующие о наличии ассоциативного сравнения в одной илн нескольких строках микросхемы при ассо- циативном илн двухкоординатном поиске (в последнем случае адрес строки задается в F с помощью сигналов из СО)- Выходные буферные регистры с тремя со- стояниями обеспечивают возможность работы МАР с бннаправленными магистралями дан- ных DA, DB, DC, DD, СО Режимы работы микросхемы МАР задают- ся с помощью входов инструкций INS2—INS0 н дополнительных сигналов управления. В ка- честве дополнительных сигналов управления используются сигналы, поступающие по адрес- ным шинам АА, АВ, AC, AD. Коды инструкций и соответствующие им режимы работы приведены в табл. 12.28 и 12 29. Синхронизация режимов работы микросхе- мы осуществляется путем подачи следующих сигналов: запрета записи DEWRA, DEWRB, DEWRC, DEWRD и запрета чтения DERDA, DERDB, DERDC, DERDD для синхронизации адресного режима работы с магистралями DA, DB, DC, DD соответственно; АСО (АС1) для синхронизации режима ас- социативного чтения по объединенной маги- страли DA—DB (DC—DD); INSYN для синхронизации остальных ассо- циативных режимов и переписи информации. Основным режимом работы микросхемы МАР является адресный (режим сверхопера- тивной памяти). При работе микросхемы в ад- ю-т М-т мя-мь MO-Wf ЯРАЯ! ШИО-ИПЬ Яво-1 Я60-2 0В0-Ц8А SEWRH2 1 ЯПВН2 Устройство Устройство чтения чтения (АКЙ21 (акрп uPO-JEfa РЯЮ-ХШ -<---- схема сра - внения . J Схема уп- равления КРОЯ! MS MS яе Re Схема кон- троля(СК) Тхёно кон- троля (СН) Устройааво \Усяройсяво чтения -1 чяения (АКИН ятг ЕЯ (сигнал осиивки четности) Рис. 12 45. ('.।руктурная схема строки '1АР 1П0
Таблица 12.28 Код управления Режим работы Дополнительные управляющие поля Синхро- низация INS2 INS1 /NSO 0 0 0 Отключение кристалла __ — 0 0 1 Ассоциативный доступ (чтение/запись) Чтение: АС Запись: AD АС 0 1 0 Перезапись столбцом влево __ INSYN 0 I 1 Перезапись столбцом вправо __ 1 0 0 Ассоциативный поиск активный АП: АА ДКП: АА, АВ 1 0 1 Ассоциативный поиск пассивный АП: АА ДКП: АА, АВ 1 1 0 Перезапись по входам АО—АЗ AD 1 1 1 Адресный режим — — Примечания: 1. АП — простой ассоциативный поиск, ДКП — двухкоордииатный поиск. 2. Операции, задаваемые дополнительными управляющими полями, см. в табл. 12.29. ресном режиме каждой магистрали соответ- ствуют управляющие сигналы: запрета чтения на данную магистраль (DERDA, DERDB, DERDC, DERDD для маги- стралей DA, DB, DC, DD соответственно); запрета записи с данной магистрали (DEWRA, DEWRB, DEWRC, DEW RD для ма- гистралей DA, DB, DC, DD соответственно); двухразрядного адреса конкретного узла MAP-столбца, работающего с данной маги- стралью. При этом магистралям DA и DC соответ- ствуют левый столбец узлов MAP (RG0-1— RG3-1) и адресные поля АА и АС соответст- венно, а магистралям DB и DD — правый столбец (RG0-2—RG3-2) и адресные поля АВ и AD соответственно. Адресация регистров узлов МАР с помо- щью адресных полей производится согласно табл. 12.30. При работе микросхемы в режиме сверх- оперативной (адресной) памяти с организа- цией «четыре плюс четыре регистра по пять разрядов» управление чтением и записью отно- сительно любой из магистралей производится независимо и одновременно. Это позволяет в один и тот же момент осуществить чтение лю- бого регистра столбца на любую из двух со- ответствующих магистралей и запись информа- ции в любой регистр столбца с любой из двух соответствующих магистралей. Одновременное чтение информации из не- скольких регистров на одну и ту же маги- страль и запись информации в несколько реги- стров с одной и той же магистрали невозмож- ны. В режиме сверхоперативной памяти с орга- низацией «четыре регистра по десять разрядов» микросхема МАР рассматривается как четыре байтовых регистра, имеющих по два тетрадных контрольных разряда. Один байтовый регистр образует два реги- стра RG одной строки. Регистры подключены к двум 10-разрядным (с линиями контрольных разрядов) бинаправленным магистралям. Дан- ная структура получается путем объединения по управлению магистралей DA с DB и DC с DD. Управление чтением/записью по каждой из полученных магистралей независимое, с ис- пользованием полей признаков чтения/записи (полей DERDA—DERDD и DEWRA—DEWRDj и адресных полей АА, АВ, AC, AD. В дальней- шем, если линии контрольных разрядов не участвуют в функциональном описании, объе- диненные магистрали называются байтовыми магистралями DA—DB и DC—DD. Адресный режим может использоваться од- новременно и независимо с любым (ругим ре- 101
Таблица 12.29 Окончание табл. 12.30 Адрес Операция Инструкция 1NS2 1NS1 OSNI 1 АА1 0 ААО 0 АП с выдачей на 1 0 X 0 1 INER сигнала ошиб- ки АП с выдачей сигна- 1 0 X 1 0 ла наличия сравнения ДКП с выдачей сиг- 1 0 X 1 1 нала ошибки ДКП с выдачей сиг- 1 0 X ABI 0 А ВО 0 нала наличия сравне- ния Адрес 0-й строки при 1 0 X 0 1 ДПК Адрес 1-й строки при 1 0 X 1 0 ДКП Адрес 2-й строки при 1 0 Л 1 1 ДКП Адрес 3-й строки при 1 0 X АО 0 АСО 0 ДКП Чтение на DA DB и 0 0 1 0 1 DC DD Чтение на DA -DB 0 0 1 1 0 Чтение на DC DD 0 0 1 1 1 Нет чтения 0 0 1 41)1 0 AD0 0 Запрещенный код 1 1 0 0 1 (результат не опреде- лен) Запись с DA—DB 0 0 1 1 0 Перезапись влево Запись с DC—DD 1 0 1 0 0 1 1 1 Перезапись вправо Нет записи/перезапи- 1 0 1 0 0 1 сн 1 1 0 Примечание АП простой ассоциативный поиск; ДКП двухкоординатный поиск; X - состоя- ние входа безразлично Адресуемый pel истр узла МАР АВ AD АВ! А Во AD1 А ОН RG, RG0-2 0 0 0 0 RG, RG1-2 0 1 0 1 RG, RG2-2 1 0 1 0 RG, RG3-2 1 1 1 1 каким-либо режимом может происходить толь- ко по свободным в данный момент магистра- лям. Ниже описываются все режимы работы ми- кросхемы МАР (см. табл. 12.28). При подаче кода INS2 INS1 1NS0 — 000 микросхема не воспринимает ни одного из уп- равляющих воздействий и фактически отклю- чается от внешней среды. Все выходы на эле- ментах с открытым коллектором (с тремя со- стояниями) переводятся в состояние «выклю- чено». Код INS2 INS1 1NSO = OO\ задает режим ассоциативного доступа. В этом случае для хранения информации используются четыре байтовых регистра со своими контрольными разрядами. Выбор регистра осуществляется с помощью сигналов, поступающих на входы не- посредственной адресации АО—АЗ. Выбор ре- гистров с помощью сигналов непосредственной адресации производится согласно табл. 12.31. Таблица 12.31 Состояние входов Адресуемые регистры узлов МАР Ао А! А 2 А 2 1 0 0 0 RG, RG0-1 RG, RG0-2 0 1 0 0 RG, RGI-I RG, RGI-2 0 0 1 0 RG, RG2-I RG. RG2-2 0 0 0 1 RG, RG3-I RG, RG3-2 0 0 0 0 Регистры не выбраны жимом; при этом в адресном режиме могут использоваться только те адресные поля, кото- рые не задействованы в данном режиме рабо- ты в качестве дополнительных. Обмен инфор- мацией в адресном режиме при совмещении с Таблица 12.30 Адресуемый регистр у<ла МАР 1А АС АА! А Ан АС! АСО RG. RG0-1 RG, RG1-I RG, RG2-1 RG. RG3-I 0 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 При подаче на несколько входов непосред- ственной адресации Напряжения высокого уровня происходит одновременный выбор нес- кольких соответствующих строк. Данная си- туация допустима в режиме ассоциативной записи (производится запись одинаковой инфор- мации в несколько строк матрицы!, но недо- пустима в режиме ассоциативного чтения, так как в этом случае информация на магистралях будет неопределенной. Управление чтением (записью) производит- ся с помощью позиционного кодирования в по- лях АС и AD (см. табл. 12.29). Синхронизация ассоциативной записи про- изводится с помощью сигнала INSYN низко- го уровня, а ассоциативного чтения — непо- средственно сигналами АСО, АС1. Данный ре- 102
жим используется при работе микросхемы в поле основной информации ассоциативной па- мяти, а также при необходимости осущест- вить чтение или запись информации по сигна- лам непосредственной адресации в адресной памяти. При подаче кода INS2 1NS1 =01 задается режим переписи столбцом. При этом при пода- че синхронизирующего сигнала INSYN низко- го уровня происходит перепись информации во всех строках одновременно в направлении, указанном с помощью разряда INS0 (см. табл. 12.28). Значение INS0 = 0 задает пере- пись влево, т. е. из регистров RG0-2—RG3-2 в регистры RG0-1—RG3-1 соответственно, значе- ние INS1 = \ задает перепись вправо, т. е. из регистров RG0-1—RG3-1 в регистры RG0-2— RG3-2 соответственно. Направление переписи может быть задано жестко (INS0 задается вместе с INS2, INS1) либо вычислено в ходе преобразований н ото- бражено значением INS0. Данная возможность может быть использована при организации вычислений по альтернативным ветвям. Так как дополнительных управляющих полей нет, режим переписи столбцом можно задавать совместно с адресным режимом в одной мик- рокоманде без какой-либо последующей ком- мутации управляющих потоков. Указанная особенность является важной для простой и эффективной реализации процедур восстанов- ления вычислительного процесса. При работе микросхемы в режиме ассоци- ативного поиска (INS2 INS1 = W) содержи- мое четырех байтных регистров, предназначен- ных в данном случае для хранения ассоциа- тивных признаков информации соответствую- щих ячеек поля памяти, сравнивается с внеш- ним признаком (дескриптором), подаваемым по байтовой магистрали DA—DB. Контроль- ные разряды в сравнении не участвуют. Разряды сравниваемых чисел могут быть замаскированы содержимым магистрали DC— DD так, что единичное значение разряда мас- ки дает сравнение в данном разряде незави- симо от значений соответствующих разрядов ассоциативного признака и дескриптора. Режим ассоциативного поиска в микросхе- ме МАР задается кодом INS2 INS1 = 10. Зна- чение INS0 определяет, является режим поис- ка активным (INS0 = 0) либо пассивным (1NSO=\). Режим активного поиска предпо- лагает выдачу по выходам непосредственной адресации АО—АЗ результатов поиска (АА'=1 — есть сравнение в соответствующей строке). Результаты сравнения по каждому байтовому ассоциативному признаку выдаются микросхе- мой для активизации режимов чтения или запи- си в соответствующих ячейках поля основ- ной памяти (на входы непосредственной адре- сации в случае использования в иих также микросхемы МАР). Выходы непосредственной адресации выполнены с открытым коллекто- ром, что позволяет расширить по горизонтали поле ассоциативных признаков до необходи- мых размеров. При этом несовпадение частей дескриптора и ассоциативного признака хотя бы в одной микросхеме юризонтального ряда дает общий сигнал отсутствия сравнения дес- криптора и признака. Синхронизация выдачи сигналов непосред- ственной адресации производится с помощью сигнала INSYN низкого уровня. Кроме опи- санного простого ассоциативного поиска мик- росхема МАР может производить двухкоорди- натиый поиск информации. В этом случае сиг- нал непосредственной адресации будет выдан только в одной, выбранной с помощью кода в адресном поле АВ (АВ1, АВО), строке при условии, что ассоциативный признак, храня- щийся в данной строке, равен дескриптору. Маскирование двухкоординатного сравнения производится так же, как и при простом ассо- циативном поиске. Вид поиска задается зна- чением АА1:АА1=0 — простой ассоциатив- ный поиск; АА1 = 1 — двухкоординатный по- иск. Двухкоординатный поиск может быть при- менен и в том случае, когда в поле ассоциа- тивных признаков сравнение признака и дес- криптора произошло не в одной, а в несколь- ких строках одновременно. При этом возника- ет необходимость выбора одной из них. Кон- кретный вид алгоритма выбора строки с по- мощью двухкоординатного поиска определяет- ся разработчиком ассоциативной памяти. Загрузка ассоциативных признаков в реги- стре микросхемы МАР может производиться либо в режимах сверхоперативной памяти, ли- бо в режиме ассоциативной памяти с исполь- зованием аппарата непосредственной адреса- ции (в режиме ассоциативного доступа). В пассивном режиме по выходам непосред- ственной адресации подается сигнал отсутст- вия сравнения (все AN = 0). Таким образом, вход INS0 при INS2 INS1 — \O может быть использован для активизации режима поиска в части памяти заданной конфигурации; при этом значения дополнительных управляющих полей могут быть любыми. Режим построчной переписи задается зна- чением кода INS2 1NS1 IN SO =110. Выбор конкретной строки, в которой долж- на быть произведена перепись информации, осуществляется заданием активного (высоко- го) уровня сигнала на соответствующем вхо- де непосредственной адресации (см. табл. 12.31)*: Направление переписи (влево/вправо) определяется полем AD (см. табл. 12.29). Ком- бинация ADI AD0=W) является запрещенной, так как результат переписи при этом непред- сказуем. Режим построчной переписи синхронизиру- ется сигналом INSYN. При подаче кода INS2 INSI INS0=i\] единственно возможным режимом работы яв- ляется адресный. Никакая другая информа- ция, относящаяся к другим режимам, не вос- принимается. Выходы непосредственной адре- сации АО—АЗ выключаются (АА=1). При работе микросхемы МАР во всех ре- жимах необходимо принимать меры по уст ранению возможного одновременного обраще- ния по записи к одному и тому же регистру с 103
нескольких направлений, поскольку сигналы выбора и записи SEWR вырабатываются уст- ройством управления независимо друг от дру- га. Анализ указанной конфликтной ситуации в микросхеме ие проводится. Достоверность хранимой в микросхеме ин- формации непрерывно контролируется с по- мощью проверки на четность информационных и контрольных разрядов. Если информация правильная, то сумма по модулю 2 разрядов тетрады и соответствующего контрольного раз- ряда равна нулю. В любом режиме при появлении ошибки в любом из регистров на входе INER появляет- ся общий нестробированный сигнал ошибки (INER = 0— есть ошибка информации в дан- ной микросхеме). Выход выполнен с открытым коллектором, что позволяет наращивать па- мять с контролем на микросхеме МАР до не- обходимых размеров. В соответствии с конкретными задачами по локализации ошибки объединение выходов INER можно производить различными спо- собами: объединять выходы INER всех микросхем, принадлежащих к одному горизонтальному ряду участка памяти; объединять выходы INER всех микросхем, принадлежащих к данному участку памяти. При этом возникновение ошибки хотя бы одной из микросхем горизонтального ряда (участка памяти) приведет к формированию сигнала ошибки для всего данного ряда (уча- стка памяти). Если не проводить объединения выходов INER, то можно определить конкретную мик- росхему, в которой произошла ошибка инфор- мации, однако это может привести к значи- тельному усложнению схемы анализа ошибок. В режиме ассоциативного поиска (INS2 INSl=\0) на выход INER может подаваться дополнительная информация, которая опреде- ляется сигналами на входах INSYN и ААО. При активном (низком) уровне сигнала INSYN и АА0=0 иа выход INER подается общая ин- формация об ошибках в регистрах и на маги- стралях (INER —0 соответствует наличию ошибки), т. е. в данном случае дополнительно производится контроль по четности информа- ции, находящейся на магистралях (дескрипто- ра н маски). При активном (низком) уровне сигнала INSYN и АА0= 1 на выход INER подается ин- формация о наличии сравнения ассоциативно- го признака и дескриптора хотя бы в одной строке данной микросхемы (INER —0 — есть сравнение). В режиме пассивного поиска при низком уровне сигнала на входе при INSYN = = 0 и АА0=1 на выход INER подается сиг- нал отсутствия сравнения (INER=}). При пассивном (высоком) уровне сигнала на входе INSYN в режимах ассоциативного поиска на выход INER подается информация об ошибках по четности хранимых в регист- рах данных. В ассоциативном режиме аппарат управле- ния микросхемой МАР позволяет выполнить следующее: ассоциативное чтение. В этом режиме из непосредственно адресуемого регистра (по входам АО—АЗ) на любую из магистралей (DA—DB, DC—DD) читается информация, ас- Рис. 12.46. Пример построения ассоциативной памяти глубиной 4(А+1) слов на мик- росхемах КР1802ИП1 104
Рис, 12.47. Пример построения сверхоперативной памяти емкостью 4 п слов на микро- схемах КР1802ИП1 соцнативный признак которой совпадает с дескриптором; при этом сравниваемые числа могут быть замаскированы. Сравнение с дес- криптором происходит в микросхемах МАР, хранящих ассоциативные признаки; ассоциативная запись. Информация с одной из магистралей заносится в непосредственно адресуемый регистр, ассоциативный адрес ко- торого равен дескриптору (с учетом маскиро- вания). Сравнение происходит в микросхемах МАР, хранящих ассоциативные признаки; смешанный режим ассоциативного чте- ния/записи; смешанные адресный и ассоциативный ре- жимы чтения/записи (с учетом адресной сов- местимости). Пример построения ассоциативной памяти глубиной 4р+1) слов с (m-f-1)-байтовым полем признака н (п+1)-байтовым полем ос- новной информации показан на рис. 12.46. Пример построения адресной сверхопера- тивной памяти емкостью 4 п слов на микро- схеме МАР показан на рис. 12.47. В приведен- ном примере при одинаковом управлении все- ми микросхемами по парам магистралей DA—DB и DC—DD получается двухвходовая (двухадресная) память, каждый байт которой имеет выход на любую из двух бинаправлен- ных байтовых магистралей, в совокупности составляющих полноразрядные (ш+1)-байто- вые магистрали данных DA—DB и DC—DD. При независимом управлении микросхемами МАР по каждой из магистралей DA, DB, DC, DD получаются две (ш+1)/2-байтовые двухвходовые памяти с возможностью перепи- си информации внутри строк из одной памяти в другую. Основные параметры микросхемы КР1802ИП1 приведены в табл. 12.32. Таблица 12.32 1 Idpa метр Оботачение Значения параметров мин. макс. Выходное напряжение низкого уровня, В. для выводов 3, 24, 27, 47 при (7с< =4,75 В и l(>i.= = 8 мА 1ля остальных выводов при 17сс = 4,75 В и /ы. = = 15 мА Выходное напряжение высокого уровня, В, при Ucc — = 4,75 В и /о н = 1 мА UOL U ОН 2,4 0,5 0,5 105
Продолжение табл. 12.32 Параметр Обозначен и< Значения параметров мнн макс Ток потребления, мЛ, при Ucc=5,25 В Входной ток низкого уровня, мА, при Ucc —5,25 В и 0'/ь = 0,5 В Входной ток высокого уровня для выводов 1—7, 21—28, 44—48, мкА, при Ucc —5,25 В и Uih =5.25 В Выходной ток высокого уровня в состоянии «выключе- но» для выводов 8—11, 13—20. 29—35, 38--43, мкА, при Псе=5,25 В н Ui и =5,25 В Выходной ток высокого уровня, мкА, при 1/сс = = 5,25 В и (Л, л =5,25 В Время задержки распространения сигнала от входов А до входов выходов D, нс Время задержки распространения сигнала от входа INSYN до входов выходов А2, нс Время задержки распространения сигнала от вхота DEWRC до входа/выхода DA, не Время задержки распространения сигнала от входа DEWRD до входа выхода DB, нс Время задержки распространения сигнала от входов DEWR до выхода INER, нс Время задержки перехода от входов DEPD до входов' выходов D, нс Время задержки распространения сигнала от входа /VS до входа/выхода А2, нс Время задержки распространения сигнала от вхо юв А до входа/выхода А2, нс Время задержки распространения сигнала от входа INSYN до входов выходов D, нс Время задержки распространения сигнала от входа INS2 до выхода INER, нс Время задержки перехода от вхо юв DERD ю вхо- дов’выходов D, нс Время задержки распространения сигнала от входов/ выходов D до входов выходов D, нс Время задержки перехо ia от входа INS2 то входов 'вы- ходов D, нс Время задержки перехода от вхота/выхо ia АО до вхо- дов'выходов D, нс Время задержки перехода от входа АС ю входов выхо- дов D, нс Время сохранения сигналов на входах DA, DB, DC, DD. A. INS. AD относительно сигнала иа вхоле 1NSYN, вс Длительность сигнала низкого уровня на входах DEWRA, DEWRB, DEWRC, DEWRD, нс Длительность сигнала низкого уровня на входе INSYN, нс Время установления сигнала на входах DA, DB, DC, DD, АА, АВ, AC, AD относительно сигналов на входах DEWRA, DEWRB, DEWRC, DEWRD. нс Время сохранения сигналов на входах DA, DB, DC, DD, АА, АВ, AC. AD относительно сигналов иа входах DEWRA. DF.WRB, DEWRC, DEWRD. нс 1ц 11Н l(>zn 1(->Н *Р (A D) tp(IMY\’ ‘р (DFW RC DA) lP (О/Д1 RD DR) 1Р (DFK'R l\!U< tp (DI RD D) tp (/VS 1?) tp (/1 tp(l\SY\ £>> tp (1 \ S.< 1 V/ «) *Т-> (Df'RD D) tp (D O) !T(I\SJ D) (AO D) tp (Ar. D) tll(I\'SY\ D). tH(IHW' A). tn(INSYV M.S)' ttfl/VSYN AD) T/ DF.K R Т/ 1 V.SY V fSL (DfKR D) (ОГК R Д) fH (DTK R D) tp (DF« R A) —0,4 5 20 20 5 300 40 100 100 35 55 60 60 75 70 55 50 60 70 50 50 70 70 70 —5 106
Окончание табл. 12.32 Параметр Обозначение Значения параметров мин. макс. Время установления сигналов на входах DA, DB, DC, DD, A. //VS, AD относительно сигнала на входе INSYN, нс 'st' ( ! VSY V - D) 'st; (/VSY.V- .4). 'st.' (ZW.SYV /.VS), 'st.' (/.VSY/V AD) — -5 Примечание. Значения дннамнческнх параметров приведены при Ucc-5.0 В и Г = 10 4- + 70 WC 12.10. Микросхема КР1802ВВ1 Микросхема KP1802BBI служит для обме- на информации (ОИ) и предназначена для ис- пользования в качестве сверхоперативного за- поминающего устройства с возможностью ор- ганизации на одном из регистров счетчика с увеличением содержимого на 1 и коммутатора магистралей. Условное графическое обозначение микро- схемы приведено на рис. 12.48, назначение вы водов — в табл. 12.33, структурная схема по- казана на рис. 12.49, временная диаграмма работы — на рис. 12.50. Таблица 12.33 Вывод Обснна чсние Тип выводи Функциональное назначение выводов 1. 42 А ВО. АВ1 Входы Адрес регистра при обмене ии- 3. 2 AC0, АС1 Вхо 1Ы формацией с каналом В Адрес регистра при обмене ин- 5. 4 АХО. АХ1 Входы формацией с каналом С Адрес регистра при обмене ин- 14,13, DCO DC3 Входы вы- формацией с каналом Л Информация канала С 6. 7 12,10. DX0-DX3 ходы 1 Входы вы- Информация канала X 9. 8 29.31. DB0—DB.3 ходы 2 Входы вы- Информация канала В 34. 36 30.33. DAO DA3 ходы 1 Входы вы- Информация канала А 35. 37 25.26. RA, RB. ходы 1 Входы Считывание информации кана- 15. 16 23.21. RC. RX WA. WB. Входы лов А, В. С, X Запись информации каналов 20. 18 24.22. WC. WX ЕСА. ЕСВ. Входы А, В, С. X Разрешение обмена информа- 19, 17 27 ЕСС. ЕСХ С1 Вход цией с каналами А, В, С, X Перенос 28 F Выход 3 Признак равенства содержнмо- 39 СО Выход го R0 и R3 Перенос 11. 40 ААО, АА1 Входы Адрес регистра при обмене ин- 11. 38 GiVD формацией с каналом А Общий 32 Uee Напряжение питания 1 Бинаправлснныс, с тремя состояниями ' Бииаправлсяиыс магистральные, с открытым коллек гором 1 С. открытым коллектором ВАМ ВАО ' НА! < ВА2 । Ваз < ВВС , ВВ7 < ВВ2 ' ввз < всо < ВС7 ВС2 вез ВХО 1 ВХ7 ' ВХ2 вхз I СО 1 Л Ucc 1 6NB ; г л , 37 Гл , 34- }36 ^£. t 73 , 7 О. , 33 28 ЕЛ 1ЕЛ Н» &Л АА1 > WA 'ЕСА • Я А . АВО А87 > WB < ЕС0 АСО АС7 > WC ' ЕСС > ЯС. АХО АХ7 । ИГХ > ЕСХ > ях < а 1 42 27 , 73 , 1 27 , Рис. 12.48. Условное ipa- фпческое обозначение КР1802ВВ1 107
В состав микросхемы ОН входят следую- щие основные узлы: У11, У9, У7— 4-разряд- ные регистры (RG1—RG3)\ У13 — 4-разряд- иый двоичный счетчик (RG0)', У1—У4 — де шифраторы выбора регистра (DCX, DCC, DCB, DCA); У12, У10, У8, Уб— мультиплексоры выбора информации (MS0—MS3)\ У15—схе- ма сравнения; У14 — блок приема/выдачи данных; У5 — схема записи. Микросхема ОИ состоит из трех 4-разряд- ных регистров RG1—RG3 (У 11, У9, У7), реа- лизованных на D-триггерах типа «защелка», одного 4-разрядного двоичного счетчика RG0 (У13) с возможностью параллельной загруз- ки, реализованного на D-триггерах типа «Л4—S» с записью информации по фронту сиг- нала, четырех дешифраторов DC (У1—У4) вы- бора необходимого регистра по каналам Д, В, С, X соответственно, узлов управления, четы- рех мультиплексоров MS0—MS3 (У 12, У10, У8, Уб) выбора информации для записи в регист- ры, схемы сравнения (У 15), вырабатывающей признак равенства содержимого регистра RG0 (У 13) и содержимого регистра RG3 (У7) и блока приема/выдачи данных (У 14) на кана- лы А, В, С, X. Регистр RG0 может работать в режиме счетчика с прибавлением к содержи- мому 1. Синхронизация режимов работы микросхе- мы ОИ осуществляется путем подачн управля- ющих сигналов на входы разрешения обмена информацией, входы разрешения записи, чте- ния и управления счетом. Режим работы по Каждому каналу задается независимо от ре жимов работы других каналов. Логическая схема выбора. Разрешением об- мена информацией с каналами А, В, С, X уп- равляют входы ЕСА, ЕСВ, ЕСС, ЕСХ (выво- ды 24, 22, 19, 17). При наличии лог. О на вхо- де ЕСА (Un. —О—0,5 В) разрешен обмен ин- формацией выбранного регистра с каналом А. При наличии лог. 0 иа входе ЕСВ разрешен обмен информацией выбранного регистра с ка- налом В При наличии лог. 0 на входе ЕСС разрешен обмен информацией выбранного ре гистра с каналом С. При наличии лог. 0 на входе ЕСХ разрешен обмен информацией вы- бранного регистра с каналом X. Управление режимами. Режим считывания или записи может быть задан только при раз- решении обмена информацией с выбранным каналом, что определяется входами ЕСА, ЕСВ, ЕСС, ЕСХ. Входы /М, RB, RC, RX (выводы 25, 26, 15, 16) определяют режим считывания информации из регистров микросхемы ОИ иа каналы А, В, С, X. При наличии лог. 0 на входе RA разрешено считывание содержимого выбранного регистра на канал А. При наличии лог. 0 на входе RB разрешено считывание на канал В. При нали- чии лог. 0 на входе RC разрешено считыва- ние на канал С. При наличии лог. О на входе RX разрешено считывание содержимого вы- бранного регистра матрицы на канал X. При наличии лог. 0 на входах RA, RB, RC, RX раз- решено одновременное считывание содержн- Рис. 12.49. Структурная схема КР1802ВВ1 108
чого любого выбранного регистра на каналы А, В, С, X Входы WA, WB, WC, WX (выводы 23, 21, 20, 18) определяют режим записи информации с каналами А, В, С, X в выбранные регистры микросхемы ОИ. При наличии лог. О на вхо- де Vi'А разрешена запись информации с кана- ла А в выбранный регистр микросхемы ОИ. При наличии лог. О на входе WB разрешена запись информации с канала В в выбранный регистр. При наличии лог. О на входе WC раз- решена запись информации с канала С в вы- бранный регистр. При наличии лог. О на входе WX разрешена запись информации с канала X в выбранный регистр. При наличии лог. О на входах WA, WB, WC, 1ГХ разрешена запись информации с каналов А, В, С, X в выбран- ные регистры. Выбор регистров. Выбор необходимого ре- гистра микросхемы ОИ как в режиме записи, так и в режиме считывания осуществляется четырьмя дешифраторами DC (У1—У4) мето- дом задания двоичного кода на входы ад- реса: ААО—АА/ (выводы 41, 40) — для кана- ла А; АВО—АВ1 (выводы 1, 42) —для канала В; АСО—АС1 (выводы 3, 2)—для канала С; АХО—АХ1 (выводы 5, 4) — для канала X. Переход регистров RG1—RG3 из одного состояния в другое осуществляется при пода- че на входы записи лог. 0. Переход регистра RG0 из одного состояния в другое осуществляется перепадом напряже- ния на одном из входов записи из 0 в 1 с фронтом не более 150 нс. Схема сравнения производит непрерывное сравнение содержимого RG0 (У13) и RG3 (У7), и при их совпадении на выводе F будет лог. 1. В режиме счета содержимое регистра RG0 будет увеличиваться при подаче на вход CI (вывод 27) положительного перепада напря- жения. Для правильного выполнения опера- ции счета при этом не допускается подавать хотя бы на одну из адресных шин кол, опре- деляющий адрес регистра RG0 при наличии разрешения обмена с соответствующими ка- налом и сигналом записи. Из описания режимов работы следует, что возможно производить непосредственную пере- Рис. 12.50. Временная диаграмма работы КР1802ВВ1 дачу информации с одной магистрали на дру- гую через любой регистр, кроме RG0. Для это- го управляющие сигналы магистрали источни- ка информации следует установить так, чтобы происходила запись информации с магистра- ли источника в один из регистров, а управля- Таблица 12.34 Параметр Обозначение Значения параметров мин макс Выходное напряжение низкого уровня. В: для выводов 8, 10, 12 при (7сс = 4.75 В и Л>ь = 60мА для выводов 7, 6, 13, 14, 26—31, 33—37, 39 при Ucc = 4,75 В и Inc — 15 мА иО1. — 0,8 0,5 Выходное напряжение высокого уровня, В, при Ucc = = 4.75 В и 1о и = 1 мА ^он 2,4 — Ток потребления, мА, прн Ucc — 5,25 В h'.c — 280 109
Продолжение табл. 12.34 Значения Параметр Обогни ченне параметров мин. макс. Входной ток низкого уровня, мА, при Uil—0,5 В: 1ц. для выводов 6—10, 12—16, 18, 20, 21, 23, 25, 26, 29—31, 33—37 -0,25 для выводов 17, 19, 22, 24 -0,5 — для выводов 1—5, 40—42 —0,75 для вывода 27 -2.0 Входной ток высокого уровня, мкА, при Ucc = 5,25 В н 4Лн=5,25 В: 11/1 для выводов 15, 16, 18, 20, 21, 23, 25, 26 40 для выводов 17, 19, 22, 24 — 80 для выводов 1—5, 40—42 — 120 для вывода 27 —- 160 Выходной ток высокого уровня в состоянии «выключе- но», мкА, при Ucc = 5,25 В и 47он=5,25 В ^OZH — 100 Выходной ток высокого уровня, мкА, при Uс с = 5,25 В и U он =5,25 В Время задержки распространения сигнала от входа ад- реса регистра до входа/выхода информации, нс: Ц/Н — 100 по каналам А, В, С lP (А-D) — 60 по каналу X Время перехода из состояния низкого уровня в состоя- tp(A DA) — 66 ние «выключено» и из состояния «выключено» в состоя- ние низкого уровня от входа считывания информации до входа выхода информации, нс: по каналам А, В, С 'т (R — D) 37 по каналу А' tf (R -DX) - 41 Время задержки распространения сигнала от входа'вы- хода информации до входа выхода информации, нс: по каналам А—В, А—С, В—А, В—С, С—А, С—В '/• (D D) 66 по каналам X—А, X—В, Х-С fP (DX — D) — 70 tp (DX-DC) --- 77 по каналам А—X. В—X, С-Х tp (D — DX) — 80 Время задержки распространения сигнала от входа пе- реноса до выхода переноса, нс 1Р (DC DX) — 88 tp (Cl CO) - 28 Время задержки распространения сигнала от входа пе- реноса до входа выхода информации, нс: по каналам В, А, С tp (Cl -D) 70 но каналу А 80 lP(Cl DX) Время задержки распространении сигнала от входа пе- реноса до выхода признака, нс tp (Cl P) — 60 Время задержки распространения сигнала от входа за- писи информации до выхода признака, нс Время задержки распространения сигнала от входа за- писи до входа выхода информации, нс: tp (U F) - 70 по каналам А, В, С tp (U - l>) 70 ио каналу X tp (1Г - DX) — 80 Время задержки распространения сигнала от входа'вы- tp (D- F) — 60 хода информации до выхода признака, нс Время перехода из состояния низкого уровня в состоя- ние «выключено» и нз состояния «выключено» в состоя- ПО
Окончание табл. 12.34 Параметр Обозначение Значения параметров мин. макс. вне низкого уровня от входа разрешения обмена ин- формации до входа выхода информации, нс: по каналам А, В, С по каналу X Время установления информации относительно сигнала записи, нс Минимальная длительность сигнала записи, нс Время установления адреса относительно сигнала запи- си, нс Время сохранения данных относительно сигнала записи, нс Время сохранения адреса относительно сигнала записи, нс !! </- !» h' (Е -DX) lSU (D — W . Н) Р fSU (A--W . /.) hl (D-W , W) (A---W. НЧ 50 50 15 30 15 37 41 ющие сигналы магистрали приемника инфор- мации установить в режиме чтения на маги- страль приемника из того регистра, в который происходит запись информации с магистрали источника. Магистрали DA3—DAO, DB3—DBO, DC3— DC0 (выводы 30, 33, 35, 37, 29, 31, 34, 36, 6, 7, 13, 14) предназначены для работы на ко- роткие линии связи, например внутриплатные магистрали информации; магистраль DX3— DX0 (выводы 8, 9, 10, 12) может работать на длинные согласованные линии связи. Основные параметры микросхемы приведе- ны в табл. 12.34. 12.11. Микросхема КР1802ВВ2 Микросхема КР1802ВВ2— схема интерфей- са, предназначена для использования в одно- процессорных илн многопроцессорных вычис- лительных системах в качестве схемы управ- ления обменом информацией по совмещенной магистрали с асинхронной дисциплиной об- мена. Условное графическое обозначение микро- схемы приведено на рнс. 12.51, назначение вы- водов— в табл. 12.35, выполняемые операции показаны в табл. 12.36, структурная схема да на на рис. 12.52. В состав микросхемы входят следующие основные узлы: Уб — регистр инструкций (РИ); У//— дешифратор инструкций (ДШ); УЗ— триггер готовности (ТГ); У4— триггер запроса цикла (ТЗЦ); У12 — цифровая линия задержки (ЛЗ); У10—регистр сдвига (PC); У14 — устройство обмена (УО); У17 — триггер обмена (ТО); У13 — триггер цикла (ТЦ); У7 — регистр хранения запросов «главного» (РХЗГ). При описании микросхемы используются и другие устройства и сигналы: ТЗПД — триггер запроса прямого доступа; ТЗЦГ — триггер запроса цикла «главного»; ТЗППР — триггер запроса периферийного процессора; ТЗПД, ТЗЦГ и ТЗППР входят в состав РХЗГ; ТЗЦНГ — триггер запроса цикла «неглав- ного»; ТЗПР — триггер запроса прерывания; ТЗЦНГ, ТЗПР входят в состав УО; CLR — сигнал перевода микросхемы в ис- ходное состояние. Назначение узлов структурной схемы: РИ — 5-разрядиый регистр инструкции, реа- лизованной на D-трнггерах типа «защелка». Запись информации в РИ производится при низком уровне напряжения на входе WF, хра- нение—при высоком; ДШ — комбинационная схема, осуществля- ющая предварительную расшифровку инструк- ций. Работа ДШ описывается двумя уровнями значений сигналов на выходах F, EI/О (см. табл. 12.36); ТГ — триггер, сигнализирующий об окон- чании цикла работы микросхемы; ТЗЦ устанавливается в 1, если ТЦ = 0 при записи новой ниструкции в РИ; ЛЗ служит для исключения «гонок» с целью однозначной реакции микросхемы на все поступающие запросы; PC служит для формирования временной диаграммы работы микросхемы, которая пред- ставлена на рис. 12.53 для случая выполнения самых продолжительных инструкций ЗПС и ЗПБ. В других инструкциях PC сбрасывается раньше (одновременно с ТЦ). УО принимает и анализирует входные сиг- налы, формирует временные диаграммы сиг- налов на выходах микросхемы соответственно заданной инструкции; РХЗГ принимает и хранит запросы на ма- гистраль для «главного» процессора. Состоит 111
из трех триггеров: ТЗПД, ТЗЦГ, ТЗППР. При установлении в 1 хотя бы одного из триггеров установка в 1 других триггеров блокируется. Кроме сокращенных обозначений сигналов, используются следующие сигналы: ____ ЗАПП — запрос прерывания (WF-ENOy, ХТЗЦ-ЗПРА/V); ЗАПЦ—запрос цикла (WF • F.1 /ОТЗЦХ ХЗПР). Упорядочение использования информацион- ных лнннй и линий сигналов сопровождения информации магистрали различными устройст- вами (арбитраж) выполняется по алгоритму, представленному на рис. 12.54. Выполнение 35 п TCU BAE1 < 40 у- F1 F2 Н INR < BAEO < INA < 4 t42 28 | WF 5 1 >ЕХСВ । REB > WEB \ASWB > WBB 2 15 10 'ЙТЯ EXCO REO WEO W80 33 , '3AR2 i ACS F EI/O 25 27 'BARI • BAEl ' AIN ASWI RBY 30 AO Al 20 23 CLK T & 18 Т 26 'CLR1 CLR2 WEI < WE2 • BLB > BLK RE1 RE2 A Ucc 6NB Таблица 12.35 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 EXCB Вход вы- ход 1 Синхронизация устройства 2 EXCO Выход Синхронизация устройства 3, 20 AO, Al Выходы Адрес 4 INR Выход 2 Запрос прерывания 5 INA Выход2 Разрешение прерывания 6 ASWB Вход вы- ход 1 Ответ 7 ASW1 Вход Ответ 8 WEO Выход Разрешение записи 9 WEB Вход вы- ход 1 Разрешение записи 10 WBO Выход Запись байта 11, 38 GND — Общий 12 WBB Вход/вы- хол ’ Запись байта 16, 13 RE1, RE2 Выходы 3 Чтение 14 REB Вход/вы- хол 1 Разрешение чтения Г5 REO Выход Разрешение чтения 17, 21 WEI, WE2 Выходы 3 Запись 18, 19 CLR1, CLR2 Входы 4 Сброс 22 T Выход 3 Синхронизация счетчика 23 CLK Вход Синхронизация 24 AIN Вход Разрешение прерывания 25 F Выход Фиксация пути 26 BLD Вход Блокировка чтения записи дан- ных 27 EI/O Выход Разрешение внутреннего обме- на 28 WF Вход Запись микроинструкции 29 BLK Вход Блокировка чтения команды 30 RDY Выход 3 Сигнал готовности 31 H Вход Определение главного пронес сора 32 Ucc — Напряжение питания 35,34, 33 FO, Fl, F2 Входы Микроинструкции 36 DARI Вход Запрос прямого доступа 37 DAR2 Вход вы- ход 4 Запрос прямого доступа 39 ACS Вход'ВЫ- ХОД 2 Подтверждение выборки 40 DAE1 Выход 2 Подтверждение прямого досту па 41 DA El Вход Разрешение прямого доступа 42 DAEO Выход 2 Разрешение прямого доступа 1 Бинаправленные шины с открытым коллектором н магистральными приемопередатчиками. 2 Магистральный выход с открытым коллектором 3 С открытым коллектором. 4 Магистральный приемник. Рис. 12.51. Условное гра- фическое обозначение КР1802ВВ2 112
Рис. 12.52. Структурная схема КР1802ВВ2 данного алгоритма инициируется каждый раз, как только заканчивается очередной акт об- мена. Алгоритм арбитража включает в себя две взаимно исключающие части, одна из которых реализуется в микросхеме с признаком «глав- ный» (ГЛ), а другая с признаком «не глав- ный» (ГЛ). Запуск цикла работы микросхемы, реализующий алгоритм арбитража, осуществ- ляется при выполнении определенных логиче- ских условий, указывающих на окончание оче- редного обмена по магистрали, а именно: для микросхемы с признаком ГЛ ACSX .Х£ХСВ-ТЦ=1; _______ для микросхемы с признаком ГЛ ТЦ=1. При выполнении этих условий схеме арбитража разрешается анализировать нали- чие запросов на магистраль для БИС с при- знаком ГЛ нли наличие запросов или сигна- лов разрешения для БИС с признаком ГЛ. Запросы на магистраль для БИС с призна- ком ГЛ поступают по линиям DARI, DAR2 и от микросхемы интерфейса, формирующей сигнал ЗАПЦ, для БИС с признаком ГЛ — от микросхем, формирующих сигналы ЗАПЦ, ЗАПП и по линиям разрешения DAEI и AIM. Работа схемы арбитража для БИС с признаком ГЛ заключается в следующем: Таблица 12.36 Мнемокод Операция Код операции FO F/| F2 то чтк Чтение команды 0 0 0 X 0 чтс Чтение слова 0 0 1 X 0 ЧТФП Чтение слова с фиксацией пути 0 1 0 X 0 зпс Запись слова 0 1 1 X 0 ЗСФП Запись слова пос- ле ЧТФП 0 1 1 X 1 ЗПБ Запись байта 1 0 0 X 0 ЗБФП Запись байта пос- ле ЧТФП 1 0 0 X 1 ЗПР Инициирование прерывания с пе- редачей вектора 1 0 1 0 0 ЧПР Прием вектора прерывания 1 0 1 1 0 АПР Адресное преры- вание 1 1 0 X 0 ВП Выдача пассивная 1 1 1 X 0 Примечания; I. Остальные коды запре- щены. 2. ТО — состояние внутреннего триггера обмена, устанавливается в 1 после выполнения операции ЧТФП. 113
Рнс. 12.53. Временная диаграмма работы ре- гистра сдвига микросхемы КР1802ВВ2 анализируется наличие сигналов DAR1, DAR2 и ЗАПЦ; запоминаются значения сигналов DARI, DAR2 н ЗАПЦ на триггерах запросов ТЗПД, ТЗППР, ТЗЦГ при установлении хотя бы бд- ного нз сигналов; блокируется установление состояния триг- геров запросов ТЗПД, ТЭППР, ТЗЦГ до сле- дующего цикла арбитража; производится приоритетный анализ состоя- ния триггеров запросов, при котором старшим по приоритету является ТЗПД, следующим ТЗЦГ н младшим ТЗППР. В соответствии с алгоритмом формируется сигнал разрешения прямого доступа DAE1, либо устанавливается триггер цикла ТЦ, либо формируется сигнал разрешения периферийно- му процессору DAEO. В случае выдачи сигналов DAEI илн DAEO ожидается установление сигнала ACS и осу- ществляется сброс сигналов DAE1 н DAEO н триггеров запросов. Схема арбитража БИС с признаком ГЛ реализует вторую часть алгоритма, включаю- щего четыре параллельные ветви. Две нз них обеспечивают выдачу запросов на обмен ин- формацией и арбитраж по запросам на об- мен информацией, две другие предназначены для реализации выдачи запроса на прерыва- ние и арбитраж по запросам на прерывание БИС с признаком ГЛ. При выполнении условия ТЦ=0 иницииру- ется проверка четырех условий: 1. DAEI = \\ 2. ЗАПЦ- DAEI=l; 3. А/А = 1; 4. ЗАПП (REB У ЕХСВ) Д д7а = 1. При выполнении одного из этих условий разрешается выполнение соответствующей вет- ви алгоритма. При этом легко видеть, что удовлетворение условий 1 и 3 исключает удов- летворение условий 2 и 4. Однако в силу того, что реальная проверка каждого условия зани- мает определенный интервал времени, сущест- вует возможность появления «гонок», при ко- Рис. 12.54. Структурная схема алгоритма арбитража 114
Рис. 12.55. Схема запросов и пе- редачи управления магистрали торых возможно выполнение двух или более ветвей алгоритма. С целью обеспечения одно- значной реакции микросхемы алгоритмы каж- дой пары ветвей построены так, что присвое- ние значений определенных сигналов в одной ветви проверяется другой ветвью, гарантирую- щей с соответствующей задержкой надежное срабатывание микросхем. Допустим, что в момент перехода сигнала из 0 в 1 поступил сигнал ЗАПЦ=1; при этом схема проверки условия ЗАПЦ-£>4£/=1 успе- ла выдать сигнал удовлетворения условия. В другой ветви выполнилось условие DAEI=\. Однако проверка условия ТЗЦНГ=1 должна быть задержана, так как в триггере ТЗЦНГ еще не закончились пере- ходные процессы. После задержки проверяется условие ТЗНЦГ=1, и при его выполнении устанавливаются ТЦ и ACS; в противном слу- чае формируется сигнал DAEO, который сохра- няется до тех пор, пока присутствует DAEI. В ветви, инициируемой условным операто- ром ЗАПЦ-£>А£7= 1, после выполнения опе- ратора ТЗЦНГ=1 проверяется условие DAEl=\, и при его невыполнении формиру- ется сигнал запроса DAR2, который сохраняет- ся до установления сигнала DAEI=\, На этом выполнение данной ветви обрывается. Остав- шаяся часть алгоритма реализуется ветвью, описанной выше. Две другие ветви алгорит- ма, обеспечивающие выдачу запросов на пре- рывание и арбитраж по запросам на прерыва- ние, аналогичны двум первым, описанным выше. Если арбитраж заканчивается установкой ТЦ, то микросхема интерфейса переходит на отработку временной диаграммы заданной ин- струкции. В передаче управления участвуют все ак- тивные устройства на магистрали. Следует заметить, что на магистрали может быть только один арбитр. Только одна микро- схема интерфейса может иметь признак ГЛ, остальные имеют признак ГЛ. Каждое активное устройство, желающее стать ведущим в обмене, устанавливает сигнал DAR2. Если это устройство имеет приоритет выше арбитра (например, устройство прямого доступа к памяти), то он поступает на вход DARI микросхемы с признаком ГЛ; если при- оритет ниже арбитра —то на вход DAR2. Арбитр производит анализ входных сигна- лов DARt и DAR2 (см. рис. 12.54) и выдает сигналы предоставления магистрали DAE1 (для устройств, имеющих приоритет выше «главного») или DAEO (для устройств, имею щнх приоритет ниже «главного»). Сигнал пре- доставления магистрали поступает на вход DAEI микросхемы с признаком ГЛ. Устройство, не готовое стать ведущим, пос- ле получения входного сигнала DAEI устанав- ливает сигнал DAEO, который сбрасывается после сброса входного сигнала предоставления магистрали. Устройство, готовое стать веду- щим, после получения сигнала DAEI блокиру- ет его дальнейшее распространение, сбрасыва- ет сигнал DAR2 н принимает управление ма- гистралью на себя. Схема запросов от внешних устройств и пе- редачи управления магистрали показана на рис. 12.55. Процедура предоставления прямого доступа к памяти выполняется под управлени- ем трех сигналов: DARI, DAEI, ACS. Внешнее устройство вырабатывает сигнал требования прямого доступа к памяти (если в этом устройстве используется микросхема ин- терфейса, то это сигнал с выхода DAR2), тре- буя передачи ему канала. Запрос поступает на вход DAR1 микросхемы с признаком ГЛ. Пос- ле окончания текущего цикла обращения к каналу микросхема с признаком ГЛ выраба- тывает сигнал предоставления прямого досту- па DAE1. Сигнал предоставления прямого до- ступа к памяти последовательно проходит че- рез внешние устройства (поступает на вход DAEI н появляется на выводе DAEO микро- схемы с признаком ГЛ) и предоставляет канал устройству с наивысшим приоритетом, запро- сившему прямой доступ к памяти. Если первое устройство запрашивало канал, то оно запре- тит передачу сигнала DAE1, если нет — пере- даст этот сигнал следующему устройству, и Т. д. 115
DARZ (выход) РАЕ1(Вход) ACS (выход) *мрАа.ш.-Асмц ^P(PACI.HL-ACS.LH> а) Рис. 12.56. Временные диаграммы режима пря- мого доступа к памяти: а — устройства, запрашивающего прямой доступ к памяти; б — устройства, предоставляющего прямой доступ к памяти; « — устройства, не запрашивающе- го прямой доступ к памяти Устройство, запросившее канал, отвечает иа сигнал DAEI выработкой сигнала 4CS и сня- тием сигнала DAR2, который поступает на вход DAR1 микросхемы с признаком ГЛ. Сигнал ЛСХ вырабатывается микросхемой с признаком ГЛ в ответ на сигнал DAE1 мик- росхемы с признаком ГЛ н говорит о том, что устройство приняло управление каналом иа себя. После этого устройство, запрашивающее прямой доступ к памяти, может производить обмен данными, используя для этого стандарт- ные циклы обращения к каналу. Микросхема с признаком ГЛ снимает сигнал DAE1 и ожи- дает завершения операции прямого доступа к памяти. Арбитр Схема наивысшего приоритета Схема самого низкого приоритета Рис. 12.57. Схема запроса и предоставления прерывания на микросхеме КР1802ВВ2 Временная диаграмма процедуры предо- ставления прямого доступа к памяти показа- на на рис. 12.56. Предоставление магистрали менее приоритетному устройству, чем арбитр, происходит аналогично предоставлению прямо- го доступа к памяти. Отлнчие заключается в том, что сигнал предоставления магистрали по- является не на выводе DAE1 микросхемы с признаком ГЛ, а иа выводе DAE0 этой микро- схемы. Сигнал на выводе DAE0 появится только в том случае, если окончен текущий цикл обра- щения к каналу и отсутствуют запросы на ма- гистраль от устройств, имеющих более высокий приоритет. Схема запроса и предоставления прерыва- ния показана на рис. 12.57, временная диа- грамма операций по прерыванию программы — на рис. 12.58. Последовательность операций следующая. Устройство, которому необходимо обслужива- ние, вырабатывает сигнал INR (его может выработать только микросхема интерфейса с признаком ГЛ). Процессор удовлетворяет тре- бование прерывания, записывая в регистр ин- струкции микросхемы интерфейса с признаком ГЛ микроинструкцию чтения вектора прерыва- ния. Микросхема с признаком ГЛ вырабаты- вает сигнал предоставления прерывания INA, который поступает на вход A1N микросхемы с признаком ГЛ устройства, электрически бли- же расположенного к микросхеме с призна- ком ГЛ и, следовательно, имеющего более вы- сокий приоритет. Рис. 12.58. Временные диаграммы режима прерывания программ: а — устройства, запрашивающего прерывание; б — устройства, принимающего прерывание; в — устройст- ва, не запрашивающего прерывание 116
Таблица 12.37 Параметр Обозначение Значения параметров мин | макс. Ток потребления, мА !сс — 250 Выходное напряжение низкого уровня, В, при Ucc= = 4,75 В, /о г = 60 мА (для выводов 1, 4—6, 9, 12, 14, Uql — 0.5 37, 39, 40, 42), /оь=15 мА (для выводов 2, 3, 8, 10, 13, 15—17, 20—22, 25, 27, 30) Выходное напряжение высокого уровня, В, при Ucc = =4,75 В и i ‘>н = 1 мА V он 2,4 — Выходной ток низкого уровня, мА, при Uс с = 5,25 и UIL=0,5 В U,L —0,25 __ Входной ток высокого уровня, мкА, при t/cc = 5,25 В н (Лн = 5,25 В 1IH — 40 Выходной ток высокого уровня, мкА, при Ucc = 5,25 В Время задержки распространения от входа синхрониза- ции, нс, до: !он — 100 выхода записи fP (CLK —WE 1) — 125 выхода чтения RE1 {Р (CLK — RE1) — 200 выхода чтения RE2 lP (CLK — RE 2) — 195 выхода готовности lP (CLK - RDY) — 200 выхода адреса АО fP (С! К—АО) — 240 выхода адреса А1 *Р (CLK-Al) — 165 входа/выхода разрешения чтения *P (CLK-REB) — 195 выхода разрешения прерывания *P (CLK-INA) — 125 выхода сннхроннзацни счетчика (P (CLK-T) — 195 входа/выхода синхронизации *P (CLK —EXCB) — 185 входа/выхода ответа *P (CLK-ASWB) — 125 входа/выхода разрешения записи *P (CLK-WEB) — 125 входа/выхода записи байта fP (CLK —WBB) — 195 Время задержки распространения сигнала от входа за- писи микроинструкции, нс, до; выхода готовности tp (WF — RDY) .— 70 выхода запроса прерывания fP (WF — INR) .— НО выхода адреса fP (WF-A1) — 180 Время задержки распространения от входа/выхода от- вета, нс, до: выхода готовности (Р (ASWB—RDY) — 145 выхода адреса fP (ASWB —AO) — 115 fP (ASWB —Al) — 120 выхода записи fP (ASWB-W El) — 150 входа/выхода синхронизации устройства lP (ASWB —EXCB) — 135 Время задержки распространения сигнала от входа раз- *P (AIN — INR) 180 решения прерывания до выхода запроса прерывания Время задержки распространения сигнала от входа/вы- (P (REB-ASWB) 50 хода разрешения чтения до входа/выхода ответа, нс Время задержки распространения сигнала от входа от- tp (ASWB INA) 245 вета до выхода разрешения прерывания Время задержки распространения сигнала от входа от- вета до входа/выхода разрешения чтения tp (ASWB-REB) 245 — 117
Окончание табл. 12.37 Папам? гр Обозначение Значения параметров мни. макс Время задержки распространения сигнала от входа под- tp (ACS — DAE1) 55 — тверждения выборки до выхода подтверждения прямо- го доступа Время задержки распространения сигнала от входа раз- tp (AIN — ASWB) 70 — решения прерывания, нс, до входа выхода ответа Время задержки распространения сигнала от входа раз- решения прямого доступа, нс, до: входа'выхода подтверждения выборки tp (DAEI -ACS) 50 — входа выхода запроса прямого доступа tp (DAEI - DARI) 35 — Время задержки распространения сигнала от входа за- проса прямого доступа до выхода подтверждения пря- мого доступа, нс Минимальная тактовая частота по входу CLK. МГц tp (DARI — DAE t) f 130 10 — Если это устройство не требовало прерыва ння (не вырабатывало сигнала INR), то оно пропустит сигнал предоставления прерывания к следующему устройству. При этом сигнал 1NA будет уже выходным по отношению к первому устройству и входным (вход AIN) по отношению ко второму, и т. д. Устройство, которое требовало прерыва- ния, получая сигнал предоставления прерыва- ния на вход A1N, запретит распространение этого сигнала к другим устройствам. Таким образом, линия сигнала предоставления пре- рывания, проходя последовательно через все устройства, обеспечивает их поочередный опрос и, следовательно, различный приоритет обслуживания. Устройство, запросившее пре- рывание, помещает адрес вектора прерывания на линии адрес — данные, вырабатывает сиг- нал ASWB и снимает сигнал требования пре- рывания INR. Микросхема интерфейса с признаком ГЛ вырабатывает сигналы приема вектора преры- вания в регистр обмена и снимает сигналы REB и IN А; устройство завершает передачу адреса вектора и снимает сигнал ASWB. Направление передачи при выполнении опе- раций обмена данными определяется по отно- шению к ведущему устройству. При выполне- нии инструкции «Чтение» данные передаются от ведомого устройства к ведущему. При вы- полнении цикла «Запись» данные передаются от ведущего устройства к ведомому (напри- мер, запись данных в память). Основные параметры микросхемы КР1802ВВ2 приведены в табл. 12.37. 12.12. Микросхема КР1802КП1 Микросхема КР1802КП1 —многофункцио- нальный коммутатор магистралей (МКМ) («активный» коммутатор четырех 5-разрядных магистралей — одна информационная тетрада и контрольный разряд дополнения до четно- сти), предназначен для коммутации магистра- лей с предварительной обработкой данных: проверкой на четность н хранением прини- маемой информации; маскированием с одновременным использо- ванием до трех масок. Возможно использование МКМ в качестве элемента систем дублирования и мажориро- вания. Вся выдаваемая МКМ информация сопро- вождается контрольным разрядом дополнения до четности. Условное графическое обозначение микро- схемы МКМ приведено на рнс. 12.59, назна- чение выводов — в табл. 12.38, структурная схема показана на рис. 12.60. Микросхема МКМ состоит нз следующих основных узлов: регистров хранения данных RGA, RGB, RGC, RGD (У1—У4); схем разрешения выдачи данных на маги- страли (У5—У8): схемы выработки признаков дублирования и мажорирования (особых режимов) (У9); схем управления коммутацией данных на магистрали С и D (У10 н УН соответст- венно) ; мультиплексоров — селекторов коммутации данных (У 12—У15); схемы сравнения и проверки на четность содержимого регистров (У16). С внешними источниками и потребителями информации МКМ связана четырьмя 5-разряд- ными бннаправленными шииамн DA0—DA4, DB0—DB4, DC0—DC4, DD0—DD4, для удоб- ства обозначаемыми магистралями А, В, С и D соответственно. Все операции выполняются микросхемой только при подаче на вход запрета выбора микросхемы DECS напряжения низкого уров- ня U!L. 118
Таблица 12.38 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 INER Вход ВЫХОД Отсутствие ошибки 2—4 SEDB2— SEDB0 Входы/ выходы Выбор данных на магистраль В 5 SEDB3 Вход Выбор данных на магистраль В 6 DEWRB Вход Запрет записи с магистрали В 7 DER.DB Вход Запрет считывания на магистраль В 8—11, 13 DB4—DB0 Входы/ выходы Данные магистрали В 12, 37 GND — Общий 14—18 DA0—DA4 Входы: выходы Данные магистрали А 19 DERDA Вход Запрет считывания на магистраль л 20 DEWRA Вход Запрет записи с магистрали А 21 DECS Вход Запрет выбора микросхемы 22 SEDA3 Вход Выбор данных на магистраль А .23—25 SEDA1, SEDAO, SEDA 2 Вход/ выход Выбор данных на магистраль А 26—28 SEDC2— . SEDCO Входы Выбор данных на магистраль С 29 DEWRC Вход Запрет записи с магистрали С 30 DERDC Вход Запрет считывания на магистраль г 31—35 DC4—DC0 Входы/ выходы Данные магистрали С 36 UCC — Напряжение питания 38—42 DD0—DD4 Входы/ выходы Данные магистрали D 43 DERDD Вход Запрет считывания на магистраль п 44 DEW RD Вход Запрет записи с магистрали D 45—48 SEDD3— SEDDO Входы/ выходы Выбор данных на магистраль D Примечания: В режиме «Дублирование» выводы SEDAO - вы- ход признака ошибки в RGA, SEDAI — выход признака ошибки в RGB. SEDA2 — выход признака ошибки в RGC, SEDA3 — вход разрешения выдачи признаков, 2, В режиме «Мажорирование» SEDB0 — выход признака совпадения RGA с RGB, SEDB1 — выход признака совпадения RGA с RGC, SEDB2 - вы ход признака совпадения RGB с RGC. Рис. 12.59. Условное гра- фическое обозначение КР1802КП1 К 22 ВЕШ ВЕКА SEBA3 $ RGBS ВАО ВА1 14 15 24 SEBAO ВА2 16 2J SEBA1 ВАЗ п 25 SEBA2 ВА4 18 6_ BEWR8 Ь ВЕ8ВВ < 7J 5 SEBB3 ВВО $ ВВ1 77 4 SEBB0 ВВ2 10 2 SEBB! ввз 3_ SEB62 BB4 ВЕВ7ВС $ 35 30 BEBDC Tico" 34 28 27 SEBCO ЗЕВ01 ВС! ВС2 вез 33 32 26 44 43 48 47 SEBC2 ВС4 J7 BEWKB ВЕШ SEBB0 SEBBI $ fro ВВ1 ВВ2 38 33 40 48 45 SEBB2 SEBB3 ввз ВВ4 47 42 2! BECS 1_ 12,37 GNB Ucc 36 Запись данных в регистры осуществляется при подаче напряжения U!L на соответству- ющие входы DEWRA—DEWRD, выдача ин- формации из регистров—при подаче напря- жения U1L на соответствующие входы DERDA—DERDD (выполняют функцию вхо- дов чтения данных нз МКМ) • Характер информации, коммутируемой на магистраль А, определяется кодами управля- ющих сигналов SEDA0—SEDA3 согласно табл. 12.39, где, например, код 0100 — команда выбора содержимого RGC для выда- чи на магистраль А, а операция «Нет выдачи» осуществляется путем перевода выходов муль- типлексора в состояние высокого сопротивле- ния. Управление коммутацией данных на маги- страли В осуществляется по командам SEDB0—SEDB3 (см. табл. 12.39), на магист- рали С — по командам SEDC0—SEDC2 (табл, 12.40), на магистрали D — по командам SEDD0—SEDD3 (табл. 12.41); часть кодовых комбинаций SEDD0—SEDD3 воспринимается МКМ как команды перехода к особым режи- мам. В особых режимах сигнал SEDA3-1 ис- пользуется для управления выдачей из МКМ следующих специальных признаков: результатов проверки на четность содержи- мого регистров RGA, RGB, RGC по бинаправ- ленным шинам SEDA0—SEDA2 соответст- венно; результатов попарного сравнения RGA, RGB и RGC в режиме мажорирования, выдаваемых по биионаправленным шинам SEDB0—SEDB2, 119
DERDA SEDAO J SEDA? *2 SEP AZ J SEPA3. DAO DA* DAI DA3X DA Ц-, Z 3 £ 5 DEWRA DERDB SEDBO 7, SEPl^Z SEDBZ3 SEDB& DBS J DBZ. J вв^У DE WBB DERDC SEDCO 1, SEDC1 2 sepczbI DOO Г per з PCZ3 DC3 _ A- DEWRC DERDD SEPPO 7, SEPPI Z SEPPZ3 SEPP39 DPO t 1 PD^ *2 DPZt3 DD3\ DP^'jS DEWED DECS EDER MS $ £0 5£Z7 4 DA S 5 DA DB DC <*C 31г DD MS $ SEP DA DB 5 DC 313 DD MS 0 E^ SED DA DB 5 DC 4 DD £0 SED DA DB DC DD FL Z_ 3 z 3 z 3 4- PA 0 z 3 DB 0 2 3_ 5 e DD 0 2Ы 3 4 DC 0 2-fi RB ERi i ft Рис. 12.60. Структурная схема КР1802КП1 4L_____ R6 DB DC RB 33 DD <>£C 7 X/S L 4 39 L- Х/У о 1 2_ 3 5 120
Таблица 12.39 Таблица 12.41 Код управления Информация на магистрали SEDA3 (SEDB3) SEDA2 I (SEDB?) SEDA1 (SEDB1) SEDA0 (SEDB0} 0 0 0 0 Нет выдачи 0 0 0 1 RGA 0 0 1 0 RGB 0 0 1 1 RGA E RG В 0 1 0 0 RGC 0 1 0 1 RGAЛRGC 0 1 1 0 RGB Д RGC 0 1 1 1 RGA/\RGB/\RGC 1 0 0 0 RGD 1 0 0 1 RGA Д RGD 1 0 1 0 RGB/\RGD 1 0 1 1 RG A A RG В A RGD 1 1 0 0 RGC A RGD 1 1 0 1 RGA/\RGC/\RGD 1 1 1 0 RGB A RGC A RGD 1 1 1 1 RGA ERG BE. A RGC A RGD где SEDBO—признак сравнения RGA с RGB, SEDB1 — признак сравнения — RGA с RGC, SEDB2 — признак сравнения RGB с RGC. Пятиразрядные регистры RGA—RGB пред- назначены для приема и хранения поступаю- щих с магистралей данных. Схемы разрешения выдачи осуществляют управление состоянием высокого сопротивле- ния мультиплексоров —• селекторов данных. Узлы У10, У11 управляют выдачей инфор- мации на магистрали С и D согласно табл. 12.40—12.42. Мультиплексоры — селекторы данных пред- назначены для коммутации данных на магист- рали согласно управляющим сигналам с авто- матическим формированием контрольного раз- ряда по четности выдаваемой информации. Схема У9 предназначена для формирования признаков особых режимов в соответствии с кодами управления магистрали D. Схема сравнения и проверки на четность У16 предназначена для: контроля четности содержимого регистров с выдачей общего признака ошибки данных Таблица 12.40 Код управления Информация на магистрали С SEDC2 SEDCI SEDCO 0 0 0 Нет выдачи 0 0 1 RGA 0 1 0 RGB 0 1 1 0 1 0 ROA/\RGB RGC 1 0 1 RGA Е RGC 1 1 0 RGB/\RGC 1 1 1 RGD Код управления Информация на магистрали D SEDD 3 SEDD2 SEDD! 1 SEDDO 0 0 0 0 Нет выдачи 0 0 0 1 RGA 0 0 1 0 RGB 0 0 1 1 RGA ERG В 0 1 0 0 RGC 0 1 0 1 RGA A RGC 0 1 1 0 RGB A RGC 0 1 1 1 RGA A RGB A RGC 1 0 0 0 RGD 1 0 0 1 RGA /\ RGD 1 0 1 0 RGB/\RGD 1 0 1 1 Дублирование 1 1 1 0 0 RGC A RGD 1 1 0 1 Дублирование 2 1 1 1 0 Дублирование 3 1 1 1 1 Мажорирование Примечание. В режимах «Дублирование 1, 2, 3» и «Мажорирование» нет выдачи на маги- страли А, В, С; описание работы см. в табл. 12.42. RGA—RGD в режиме коммутации; выдается по выходу 1NER с открытым коллектором; если есть ошибка, то иа выходе INER— на- пряжение низкого уровня; контроля по четности содержимого регист- ров RGA, RGB, RGC с раздельной выдачей признаков ошибки на выходы SEDA0—SEDA3 в особых режимах: Таблица 12.42 Операция Признак сравнения Информа- ция иа ма- гистрали D Дублиро- вание 1 RGA = RGB RGA^RGB RGA RGC Дублиро- вание 2 RGA = RGB RGA^RGB RGC Нет выдачи Дублиро- вание 3 RGA =- RGB RGA RGB Нет выдачи RGC Мажори- рование RGA = RGB^RGC RGA = RGB^RGC RGB = RGC^RGA RGA = RGC^RGB RGA^RGB^RGC RGA RGA RGC RGA Нет выдачи 121
ASMS ASA ASS ASC ASS 6) . т ASMS ASA ASS ASC ASS Рнс. 12.61. Варианты использования микросхе- мы КР1802КП1: а - магистральный переключатель на четыре направ- ления; б —байтовая магистраль с регистром маски; в 16 разрядная магистраль данных с регистром маски ASMS AGA AGB | ASC А6Д сравнения регистров RGA, RGB, RGC меж- ду собой с выдачей признаков попарного сов- падения в режиме мажорирования. Микросхема МКМ может работать в сле- дующих режимах: Режим коммутации и маскирования. При- ем в регистры данных с магистралей А—D осуществляется вместе с контрольным разря- дом (КР) по сигналам DEWRA—DEWRD. С выходов регистров информационные тетра- ды поступают на мультиплексоры выдачи дан- ных без КР и на схему У16 в сопровождении КР; выдача данных на магистрали осуществ- ляется по командам DERDA—DERDD при от- сутствии нулевых комбинаций кодов управле- ния, сигналы запрета выдачи формируются схемами У5—У8 и управляют состоянием вы- сокого сопротивления выходов мультиплексо- ров. Магистрали А и В идентичны и допускают одновременное применение до трех масок; на магистрали D допускается использование од- новременно не более двух масок, иа магистра- ли С — одной. При коммутации данных без маскирования все магистрали равнозначны. На шину INER в режиме коммутации н маскирования из схе- мы У16 выдается общий результат проверки на четность содержимого регистров. Режим дублирования. Согласно табл. 12.42 МКМ может работать в трех режимах дубли- рования. В каждом из иих информация иа магистрали определяется признаком совпаде- ния RGA с RGB, который выдается из схемы У16 по выходу INER. Признаки режимов дуб- лирования совместно с признаком совпадения RGA и RGB в виде сигнала 1NER поступают в схему У11, управляющую коммутацией дан- ных на мультиплексоре У15 согласно табл. 12.42, и в схему УЗ, запрещающую вы- 122 дачу в режимах «Дублирование 1,2» (см. табл. 12.42) путем управления состоянием вы- сокого сопротивления выходов мультиплексора У15. По команде SEDA3 результаты проверки на четность RGA, RGB и RGC выдаются из схемы У16 на шины SEDAO—DESA2. g t p(DEWR.L-DDl tp(DewR,L-SE)r. DEWRA, DEWR8, \ dewrc Iх- tP(D-SE) ГГД4, СЕРВ 1NER tP(IKER-IIII) Р(Р~РР/ DD (выходы) 6) Рис. 12.62. Временные диаграммы работы КР1802КП1: а —в режиме коммутации и маскирования; б- в ре- жимах дублирования и мажорирования
Режим мажорирования. В режиме мажори- рования информация считается истинной н выдается на магистраль D при совпадения данных хотя бы на двух входных магистра- лях из трех. Признак мажорирования нз блока У9 сов- местно с результатами попарного сравнения RGA—RGC нз схемы У16 поступает в схему УН, управляющую коммутацией данных иа магистрали £>. Результаты сравнения н про- верки на четность RGA, RGB и RGC по команде SEDA3 выдаются иа шины SEDA0— SEDA2 и SEDB0—SEDB2 из схемы У16. Признак полного несовпадения всех трех регистров выдается из МКМ по выходу INER и поступает на схему У8, управляющую состо- янием высокого сопротивления выходов муль- типлексора У15. Таким образом, прн полном несовпадении содержимого RGA, RGB и RGC выдача данных на магистраль D не произво- дится. Во всех режимах МКМ осуществляет по- тетрадную проверку на четность поступающей информации н автоматическое вычисление контрольного разряда выдаваемой информа- ции; при необходимости выходная информация может быть вновь принята в регистр МКМ и затем проверена на четность. Таким образом, в МКМ все операции при- ема, хранения и передачи информации подвер- гаются контролю либо внутри самой микро- схемы, либо в местах приема данных с нее. Некоторые способы использования МКМ как «активного» коммутатора приведены на рис. 12.61. При работе МКМ в качестве маги- стрального переключателя на четыре направле- ния (рис. 12.61, а) управление по каждой маги- страли осуществляется независимо. Прн рабо- те МКМ с байтовой магистралью (рис. 12.61,6) должны быть объединены соответствующие входы записи н чтения (DERDA и DERDB, DEWRA и DEWRB, DERDC и DERDD, DEWRC и DEWRD), а также правильно по- даны и синхронизированы коды управления коммутацией на магистралях А н В. При совместной работе нескольких МКМ (рис. 12.61, в) дополнительно объединяются шины DECS и 1NER. Временные диаграммы работы микросхемы показаны на рис. 12.62. Основные параметры микросхемы КР1802КП1 приведены в табл. 12.43. Таблица 12.43 Параметр Обозначение Значения парамет- ров [макс, (мин.)] Выходное напряжение низкого уровня, В U()L 0,5 Выходное напряжение высокого уровня, В Входной ток низкого уровня, мА: для входа DECS для остальных входов Входной ток высокого уровня, мкА: для входа DECS для остальных входов ! IL !IH (2,4) 0,8 0,4 80 40 Ток в состоянии «выключено», мкА — 100 Ток потребления, мА !CC 300 Время установления сигналов на входах данных DA, DB, DC, относительно сигналов на входах запрета за- писи DEWRA, DEWRB, DEWRC, DEWRD, нс lSU (D -DEVCR, H) —5 Время сохранения сигналов на входах данных DA, DB, DC, DD относительно сигналов на входах запрета за- писи DEWRA, DEWRB, DEWRC, DEWRD, нс lH (D - DEW R, H) (10) Длительность сигналов на входах запрета записи DEWRA, DEWRB, DEWRC, DEWRD, нс Время установления сигнала иа входе запрета выбора микросхемы относительно сигналов на входе, нс: DEVCR. L (35) запрета записи DEWRA, DEWRB, DEWRC, DEWRD lSU (DECS, L —DEVCR. 1.) —5 запрета чтения DERDA, DERDB, DERDC, DERDC Время сохранения сигнала на входе запрета выбора микросхемы DECS относительно сигналов на входе, нс: (SU (DECS, L — DER. L) — 15 запрета записи DEWRA, DEWRB, DEWRC, DEWRD (H (DECS, H-DEVCR.H) (5) запрета чтения DERDA, DERDB, DERDC, DERDD {H (DECS. H — DER. H) (0) 123
Окончание табл. 12.43 Параметр Обозначение Значение параметров [макс, (мин.)] Время задержки распространения сигнала от входа за- прета выбора микросхемы DECS до выхода отсутствия ошибки INER, нс lP {DECS, Н — INER, Н) 20 Время задержки распространения сигнала от входа за- прета записи DEWRA, DEWRB, DEWRC, DEWRD до выхода отсутствия ошибки INER, нс 1Р {DEWR, H — INER) 50 Время задержки распространения сигнала от входов данных DA, DB, DC до выхода отсутствия ошибки 1NER, нс 1Р {D — INER) 50 Время задержки распространения сигналов от входов данных DA, DB, DC, DD до выходов данных DA, DB, DC, DD, нс (Р (D D) 35 Время задержки распространения сигналов от входов выбора данных SEDA, SEDB, SEDC, SEDD до выходов данных DA, DB, DC, DD, нс tp (SE — D) 55 Время задержки распространения сигналов от входов данных DA, DB, DC до выходов данных DD, нс fP {D — DD) 45 Время задержки распространения сигналов от входов запрета записи DEWRA, DEWRB, DEWRC до выхода данных DD, нс (P {DEVS'R, L — DD) 45 Время задержки распространения сигналов от входов запрета записи DEWRA, DEWRB, DEWRC до выходов выбора данных SEDAO, SEDA1, SEDA2, SEDBO, SEDB1, SEDB2 в особых режимах, нс lP {D WR, L — SE) 45 Время задержки распространения сигналов от входов данных DA, DB, DC до выходов выбора данных SEDAO, SEDAl, SEDB2, SEDBO, SEDB1, SEDB2 в осо- бых режимах, нс tp {D — SE) 45 Время задержки распространения сигнала от входа от- сутствия ошибки INER до выхода данных DD, нс Время перехода выходов данных DA, DB, DC, DD из высокоомного состояния в состояние низкого уровня, высокого уровня и наоборот, нс: fP {INER — DD) 45 от входа запрета выбора микросхемы DECS {TZ {DECS — D) 35 от входа запрета чтения DERDA, DERDB, DERDC, DERDD lTZ {DER — D) 30 от входов выбора данных SEDA, SEDB, SEDC, SEDD Время перехода выходов выбора данных SEDAO, SEDA1, SEDA2, SEDBO, SEDB1, SEDB2 из состояния высокоомного в состояние низкого уровня, высокого уровня и наоборот, нс. {TZ {SE — D) 40 от входа запрета выбора микросхемы DECS hz {DECS — SE) 30 от входа выбора данных SEDD3 (TZ {SEDD - S£* 40 от входа выбора данных SEDA3 (TZ {SEDA — SE) 40 Время перехода выходов данных DA, DB, DC из высо- коомного состояния в состояние низкого уровня, высо- кого уровня н наоборот от входа запрета выбора дан- ных SEDD, нс {TZ {SEDD -D) 55 Время перехода выхода данных DD из высокоомного состояния в состояние низкого уровня, высокого уровня и наоборот от входа отсутствия ошибки INER, нс lTZ {INER — DD) 40 124
12.13. Микросхема КР1802ВВЗ Микросхема КР1802ВВЗ — программируе- мый адаптер последовательного интерфейса (ПАПИ), предназначен для применения в си- стемах, в которых необходимо прямое и обрат- ное преобразования параллельно-последова- тельной информации, в частности в накопите- лях на магнитных дисках, дисплейных систе- мах, системах передачи и приема данных и т. д. Характеристики ПАПИ обеспечивают возможность его использования для построе- ния систем преобразований информации по магистрально-модульному принципу. Условное графическое обозначение микро- схемы приведено иа рис. 12.63, назначение вы- водов— в табл. 12.44, структурная схема по- казана на рис. 12.64. Микросхема ПАПИ имеет в своем составе следующие основные узлы: У1 - устройство управления передачей (УУПЕР); У 2— дешиф- ратор чтення/записи (ДШЧЗ); УЗ — регистр передачи (РПЕР); У4 — триггер записи в РПЕР (ТЗРПЕР); У5— регистр управления (РУП); Уб — триггер включения питания (ТВП); У7—регистр буферный передачи (РБПЕР); У8— счетчик передачи (СЧПЕР); У9— селектор передачи (СПЕР); У10 — схе- ма сравнения при передаче (ССПЕР); УН — схема формирования контрольного разряда (СФКР); У12 — мультиплексор выходной ин- формации при передаче (МВИ); У13—регистр состояния (РСОС); У14 — устройство управ- ления приемом (УУПР); У15 — счетчик при- ема (СЧПР); У16 — схема сравнения при при еме (ССПР); У17—дешифратор приема (ДШПР); У18 — регистр буферный приема (РБПР); У19 — регистр приема (РПР); У20 — мультиплексор приема (МПР); У21 — устрой- ство выработки прерывания (УВП); РУП — 7-разрядный регистр, реализованный на D-триггерах типа «защелка»; информация на РУП поступает с шин DB6—DB0. Выходы регистра управления определяю; вид контроля, положение БИС при наращи- вании и число передаваемых и принимаемых разрядов. Формат регистра управления представлен в табл. 12.45. Функции полей РУП поясняются табл. 12.46—12.48. В табл. 12.48 число разря- дов указано для обмена без контроля. Если обмен осуществляется с контролем по четно- с;и илн нечетности, то при передаче информа- ции дополнительно будет передаваться конт- рольный разряд, сформированный СФКР, а при приеме будет дополнительно приниматься контрольный разряд, который, однако, ие за- писывается ни в РБПР, ни в РПР, а поступа- ет в УУПР для формирования результата контроля. Функции дешифратора операций чтения и записи информации (ДШЧЗ) поясняются табл. 12.49. Запись информации в РУП произ- водится при соответствующем коде на шинах управления WjR. RGS и CS при наличии на- Таблица 12.44 Вывод Обозначение Тин вывода Функциональное назначение выводов / DO Выход1 Последовательная информация 2 RQT Выход Запрос передачи 3-6, DB0—DB7 Входы Информация 9—12 выходы2 7 GND — Общий 8 INT Выход2 Прерывание 13 RQA Выход Запрос приема 14 DI Вход Последовательная информация 15 RDYA Выход Готовность приема 16 EXA Вход Расширение приема 17 BEGA Вход Начало приема 18 Cl. К A Вход Синхронизация приема 19 CLK Вход Синхронизация 20 ENDA Выход Конец приема 21 Ucc Напряжение питания 22 ENDT Выход Конец передачи 23 EXT Вход Расширение передачи 24 СЕКТ Вход Синхронизация передачи 25 CS Вход Выборка микросхемы 26 RGS Вход Выборка регистра 27 W'/R Вход Управление заиисыо/чтением 28 BEGT Выход Начало передачи 1 С тремя состояниями. 2 Бинаправлснные. с тремя состояниями. 3 С открытым коллектором. J , Г, У", 25 . 26 ТГ 13 78 17 № 23 24. >ПВО 1 DB1 >1382 >883 >884 > 085 > DB6 >1)67 RAST RQA ENBA ША no RQT BEST ENBT 8NB Ucc 13 20 15 , 7 2 > CS RGS W/R CLK CLKA ВЕ8А > ВТ ЕХА EXT акт 28 22 1 8 7 21 Рис. 12.63. Условное гра- фическое обозначение КР1802ВВЗ
3_1_ t__Z 5__Д б__О У_6_ Ю_6_ 11__7_ 12§ 1 ДШПР 1Р 2 г1 г2 У17 Р6ПР 1 Z 4 5 б 7 о I-- ---со ---С1 ---CZ ---03 ---со ---05 ---06 ---07 РПР о 1 Z 30 31 32 33 —|С г_ з 26 27 Z5 1У 16 п 18 23 го- 5_ 6_ 7 АО А1 AZ АЗ 44 « АЗ -А7 00 01 32 03 00 05 ГМ 'муго 7 ч- с СЧ№ 51У15^ ст Увп дшчз 3Z1 316 ЗЗПР 2_ 5_ О- о кос 6____ 313 S 6 о 1 г 3 1—кя^РУП г к. so S1 sz S3 $4 SS S6 я 1 Z. 3, О д. 6. 7 13 15 Z0 1"- z< >- З'ь 4-' ► 5'- в^ в СПЕР 33 зз Лог.1 ТЗРПЕР CVKP о 1 Z Ч|» -46 - с. зз зо is 36 w РЛЕР -ай П "И ; -чзг ; Z 3 6 7 В СОПЕР \к 36 310 УУПЕР 31 ►я 6 о 1 2 о 1 Z 3 4 5 6 7 РбПЕР 30 31 321 зо 31 май А в $ т СУПЕР * на 1? 2 22 23 Рис. 12.64. Структурная схема KPI802BB3
пряжения высокого уровня на входе CLK. Импульс записи в РУП также переводит мик- росхему в исходное состояние. Запись инфор- мации в РПЕР производится при наличии на- пряжения высокого уровня на входе CLK и соответствующем коде на шинах управления. Дополнительным условием для записи в РПЕР является состояние триггера ТЗРПЕР. Запись в РПЕР может произойти при условии, что выход Q триггера ТЗРПЕР находится в состо- янии низкого уровня По срезу импульса иа входе CLK выход Q триггера ТЗРПЕР уста- навливается в состояние высокого уровня. ТВП — выход Q этого триггера устанавли- вается в состояние высокого уровня при пода- че на микросхему напряжения питания. Сброс ТВП осуществляется импульсом записи в РУП. Если выход 0 триггера ТВП находится в состоянии высокого уровня, то микросхема ПАПИ переводится в исходное состояние (как и импульсом записи в РУП). РСОС — 7-разрядный регистр состояний со- стоит из семи триггеров и буферного регистра состояния (РБСОС) Запись информации в РБСОС производится по срезу CLK, если вы- полняемая операция не соответствует чтению РСОС (см. табл. 12.49). Если выполняемая операция соответствует чтению РСОС, то запись в РБСОС блокируется. Это предотвра- щает изменение разрядов РБСОС во время считывания информации из РСОС. В состав РСОС входят следующие триг- геры «Тракт приема полон» (ТТПРП), разряд 6; «Запрос приема» (ТЗПР), разряд 5; «Ошибка приема» (ТОШПР), разряд 4; «Сбой формата приема» (ТСФПР), раз- ряд 3; «Сбой формата передачи» (ТСФПЕР), раз- ряд 2; «Тракт передачи занят» (ТТПЕРЗН), раз- ряд 1; «Запрос передачи» (ТЭПЕР), разряд 0. Отметим, что после чтения регистра состо- яния, т. е. по срезу сигнала чтения РСОС, триггеры ТСФПЕР, ТСФПР и ТОШПР сбрасы- ваются, если за время действия сигнала чте- ния РСОС в состоянии высокого уровня про- шел хотя бы один импульс синхронизации CLK. УВП — устройство выработки прерывания представляет собой логическую схему ИЛИ— НЕ, на которую поступает информация с раз- рядов 4, 3, 2 РБСОС Таблица 12.45 Назначение полей разрядов 0.1 2. 3 4 ь Вид Положение Число принимав- контроля БИС при наращивании мых и передавае- мых разрядов Т а б л и ц а 12.46 Состояние разрядов РУП Вид контроля принимаемой и передаваемой информации 0 1 1 0 0 Без контроля 0 1 1о же 1 1 0 1 Контроль по четности Кон I роль по нечетности Таблица 12.47 Состояние разрядов РУП о о 1 1 о 1 о 1 Положение ЬИС при наращивании з БИС работает одна БИС первая при наращивании БИС средняя при наращива- нии БИС последняя прн наращива- нии Таблица 12.48 Таблица 12.49 Состояние входив управления Выполняемая операция CS И//Я KGS 1 X X Нет операции 0 0 0 Запись в РУП 0 0 1 Запись в РПЕР 0 1 0 Чтение РСОС 0 1 1 Чтение РПР Примечание X состояние входа безраз- лично. 127
Для различных режимов работы схемы ис- пользуются следующие сигналы управления: CLKT— синхроимпульсы передачи. Опреде- ляют частоту передаваемой последовательной информации и моменты нахождения передава- емой информации на выходе DO; RQT — запрос передачи. Вырабатывается после переписи информации из РПЕР в РБПЕР по срезу одного из импульсов CLK. Используется как запрос на запись новой ин- формации в РПЕР; BEGT — начало передачи. Вырабатывается по одному из импульсов CLKT одновременно с передачей первого бита посылки на выход DO; ENDT - - конец передачи. Вырабатывается по одному из синхроимпульсов CLKT одновре- менно с передачей последнего бита посылки на выход DO; EXT — расширение передачи. Используется при объединении нескольких микросхем ПАПИ для передачи информации. CLKA— синхроимпульсы приема. Опреде- ляют частоту принимаемой последовательной информации и моменты записи этой информа- ции со входа D! в РБПР; RQA — запрос приема. Вырабатывается по срезу одного из сигналов CLK после приема последнего бита посылки н переписи данных из РБПР в РПР. Используется как запрос на чтение данных из РПР; BEGA— начало приема. Вырабатывается устройством, передающим последовательную информацию в микросхему ПАПИ по одному из сигналов CLKA одновременно с передачей в ПАПИ первого бита посылки; ENDA — конец приема. Вырабатывается по одному из сигналов CLKA одновременно с приемом последнего бита посылки со входа £>/; ЕХА — расширение приема. Используется при объединении нескольких микросхем ПАПИ для приема информации; RDYA — прием готов. Вырабатывается по срезу одного из синхросигналов CLK после приема РБПР последнего бита посылки, если предыдущая информация из РПР не была счи- тана. В начале работы система записывает в РУП управляющее слово, которое определяет формат передачи и приема, режим работы. При этом микросхема переводится в исходное состояние. Передача без расширения формата. После записи управляющего слова вырабатывается сигнал RQT, который разрешает запись ин- формации в РПЕР. Система вырабатывает код «Запись в РПЕР». Информация заносится в РПЕР. При этом сигнал RQT снимается и следующая запись информации в РПЕР блокируется. После этого УУПЕР вырабатывает сигнал ЗРБПЕР. Информация переписывается из РПЕР в РБПЕР. Когда перепись закончена, по срезу сигнала CLK вырабатывается сигнал RQT, который говорит о том, что микросхема может принять в РПЕР следующую информа- цию из системы для ее передачи. После этого УУПЕР одновременно с передачей первого би- та на выход Do по высокому уровню синхро- импульса CLKT вырабатывает сигнал BEGT, который определяет начало посылки. При записи новой информации в РПЕР сигнал RQT снимается. Если система пытается записать информацию в РПЕР, причем преды- дущая информация из РПЕР не была перепи- сана в РБПЕР, то выход второго разряда РСОС устанавливается в состояние высокого уровня и вырабатывается сигнал 1NT, а запись новой информации в РПЕР блокируется. Одновременно с передачей последнего бига посылки при низком уровне синхроимпульса CLKT ПАПИ вырабатывает сигнал ENDT, а после перезаписи новой информации из РПЕР в РБПЕР устанавливает сигнал RQT и начи- нает выдачу последовательного кода на выход Рис. 12.65. Объединение микросхем КР1802ВВЗ при разрядности шины, равной мак- симально возможному формату 128
Рис. 12.66. Объединение микросхем КР1802ВВЗ при оазрядности тины меньше форма- та посылки DO по фронту CLKT. Если задан режим с пе- редачей контрольного разряда, то сигнал ENDT вырабатывается одновременно с пере- дачей контрольного разряда. Прием без расширения формата. Микросхе- ма ПАПИ принимает информацию с шины DI только при отсутствии сигнала RDYA. Передающий блок начинает посылку, со- провождая первый бит сигналом «Начало при- ема», который поступает на вход BEGA ПАПИ. Если прием производится с контролем, последним в посылке должен быть контроль- ный разряд. После приема последнего бита посылки вырабатывается сигнал ENDA, и если предыдущая информация из РПР была прочи- тана, то происходит перезапись данных из РБПР в РПР. При этом по срезу сигнала СЕК вырабатывается сигнал RQA, который сообща- ет системе о возможности чтения новой инфор- мации из РПР. Если предыдущая информация из РПР не прочитана, то при приеме новой информации перезапись данных нз РБПР в РПР не происходит, а по срезу сигнала CLK вырабатывается сигнал RDYA, который блоки- рует прием информации с шины DI. Если прием посылки не был закончен, а передающий блок выдал сигнал BEGA, то \станавливается триггер ТСФПР и по срезу CLK вырабатывается сигнал INT. При этом в РБПР записывается смешанная информация (часть предыдущей посылки н часть новой) и правильность работы ПАПИ нарушается. Если передающий блок выдал сигнал BEGA в то время, когда сигнал RDYA соответство- CLKT Первая [BEST вис \endt ср^я ШВТ Последняя} БИС 4 Первая 6ИС DO БИТ! X бите х ^битв\ БИТ9 х битю х pm ~битп \ БитГвТконтр.витТ °) Первая 5ИС Средняя 6ИС С СКА ВбБА ЕМА\ ГХД J .ЕНЯА 1 ПоследняябИС N CJA I Первая 6ИС ПХА ) Рис. 12,67. Временные диаграммы передачи (н) и приема (б) информации 5 Зак 91й 129
Таблица 12.50 Параметр ()Г»о<н«1ченис Значения пара метров мни. макс. Выходное напряжение низкого уровня, В, при UCc = = 4,75 В н /о/. = 10 мА !'о1. — 0.5 Выходное напряжение высокого уровня, В, при 7Сс = = 4,75 В н 1он = \ мА UOJI 2,4 — Ток потребления, мА, при (7с<? = 5,25 В ‘сс — 280 Входной ток низкого уровня, мА, при С/сс = 5,25 В и (Ль = 0,5 В Входной ток высокого уровня, мкА, при 7/сс = 5,25 В и (Ли = 5,25 В: ‘ 1 L 1IH -0,25 — для входов DB0—DB7 — 100 для остальных входов — 40 Выходной ток высокого уровня, мкА, при 7/сс = 5,25 В ‘он — 100 Выходной ток низкого уровня в состоянии «выключено», мкА, при (Усс = 5,25 В и С/он = 5,25 В ‘ozi. - IOO — Выходной ток высокого уровня в состоянии «выключе- но», мкА Время задержки перехода от входа W/R до входов вы- ходов DB, нс. ‘oZH — 100 ‘и W/R DB). ‘t’(\\,R DB) 85 Время задержки распространения сигнала от входа RGS до входов выходов DB, нс ‘pi (RGS- DB). ‘p' (RGS DB) — 70 Время задержки распространения сигнала от входа CL К до выхода RQT, нс ‘p (CLK RQT) — 90 Время задержки распространения сигнала от входа CLK до выходов INT RGA, нс ‘p(CLK INT). ‘p (CI.K RGA) 95 Время задержки распространения сигнала от входа CLKA до выхода ENDA, ис ‘piCLKA ENDA) — 80 Время задержки распространения сигнала от входа CLK до выхода RDYA, нс ‘p (CLK RDYA) -— 85 Время задержки распространения сигнала от входа CLKT до выхода BEGT, нс ‘p (CLKT BEGT) — 60 Время задержки распространения сигнала от входа CLKT до выхода ENDT, нс ‘p (CLKT END!) 90 Время задержки распространения сигнала от входа CLKT до выхода DO, нс ‘p (CLKT DO) 135 — Время задержки перехода от входа CLKT до выхода DO, нс ‘Pl (CLKT DO), ‘pj (CLKT DO) 140 — Время задержки перехода от входа CS до входов вы- ходов DB, нс ‘tI (CS -DB) , 't:! (CS DB) 80 — Длительность сигнала высокого уровня иа входе CLK, TH. CLK 50 — НС Длительность сигнала низкого уровня иа входе CLK. нс TL. Cl К 50 -- Время установления сигнала на входах U'z R. RGS отно- сительно сигнала на входе CI.K, ис ‘s (VC'/R CIK). ‘s (RGS CLK) — —5 Время установления сигнала на входе CL относительно сигнала на входе CLK, нс ‘s (CS CI.K) -10 Время сохранения сигнала на входах U-7/?. RGS относи- тельно сигнала на входе CLK, нс ‘h (W‘R -CLK) , ‘h (RGS- CLK) 5 Время сохранения сигнала на входе CS относительно сигнала иа входе CLK, нс ‘fl (CS CLK) 10 130
Окончание табл. 12.50 Параметр Обо UldMl ни< Значения параметров мин макс Время установления сигнала на входах DB0—DB7 от- носительно сигнала на входе CLK, нс Время сохранения сигнала от входах DB0—DB7 отно- сительно сигнала СЕК, нс Длительность сигнала высокого уровня на входе СЕКТ, нс Длительность сигнала низкого уровня на входе СЕКТ, нс Время тстановлення сигнала на входе EXT относитель- но сигнала на вхо те СЕКТ, нс Время сохранения сигнала на нходе EXT относительно сит нала па вхо те СЕКТ, нс Длительность сигнала высокого уровня на вхо те CLKA, нс Длительность сигнала низкого уровня на вхо те CLKA, нс Время установления сигнала на вхо те DI относительно сигнала на входе CLKA, нс Время сохранения сигнала на входе D! относительно сигнала па входе CLKA, нс Время установления сигнала на вхо те BEGA относи- тельно сигнала на входе CLKA, нс Время сохранения сигнала на вхо те BEGA относитель- но сигнала на входе CLKA. нс Время установления сигнала на входе ЕХА относитель- но сигнала на входе CLKA, нс Время сохранения сигнала на входе ЕХА относитель- но сигнала на входе CLKA. нс Z,S (DB 1'1 К} hl (DB Cl А ) xlf СI A / T7 1 1 KI lS (EX 7 < 1 К 1) hl(E\l Cl KI} XH ( I KA xl. Cl A 1 \ (DI < 1 KA} hl (DI Cl KA} !S (ВЕСА CLKA} hl (ВЕСА LIKA} lS(E\A Cl KA} hl (EX 1 Cl A 1) 20 100 100 50 100 100 40 10 50 - 15 -10 -20 -20 -10 вал высокому уровню, то также устанавлива- ется триггер ГСФПР и вырабатывается сигнал INT, а информация в РБПР не искажается При ошибке по контролю (после принятия контрольною разряда) устанавливается трит- Iср ТОШПР и вырабатывается сигнал INT. При необходимости обмена посылками, формат которых превышает 8 бит, несколько микросхем ПАПИ могут быть объединены. Возможны следующие варианты объединения 1 Разрядность системной бинаправленной шины равна максимально возможному форма ту (рнс. 12 65) Так как системная шина данных обеспечи вает обмен информацией со всеми мнкросхе мамн ПАПИ одновременно, то входы CS, W/R. RCS всех микросхем объединены. В регистрах управления указано, что БИС1 — первая, БИС2 — средняя, а БИСЗ — последняя. Эга информация указывает, что БИС1 начинает обмен, БИС2 продолжает, а БИСЗ заканчива- ет Сигнал RQT берется с последней микро- схемы, линии DO всех БИС объединены Ин формацию об окончании передачи своей пор- ции данных БИС1 перетает БИС2 по выхо ту ENDT. соединенному с выводом EXT БИС2. По этой же схеме связи передается свертка по модулю 2 переданной информации при работе в режиме контроля после передачи сигнала «Конец передачи». Связь БИС2 и БИСЗ ана логична связи БИС1 и БИС2. Сигнал ENDT БИСЗ сообщает БИС1 о возможности начала нового цикла передачи 2. Разрядность системной шины меньше формата посылки (рис 12.66). В этом случае каждая БИС адресуется с помощью своего входа CS и каждая имеет независимые линии сигналов запросов приема, передачи, прерыва- ния и готовности приема, по которым микро- схемы обслуживаются системой Работа микросхемы в режиме приема при наращивании аналотнчна режиму передачи Кроме этих двух возможны и трутне способы объединения микросхем ПАПИ, зависящие от конкретных задач Временные диаграммы передачи и приема информации с расширением формата приведе- ны на рис. 12 67 Основные параметры микросхемы КР1802ВВЗ приведены в табл 12 50 5: 131
Глава 13 Микропроцессорный комплект серии КМ 1804 Микропроцессорный комплект КМ 1804 предназначен для построения широкого клас- са быстродействующих средств вычислитель- ной техники — от микроконтроллеров до высо- копроизводительных микро-ЭВМ. Микропро- граммируемость и возможность разрядного расширения позволяют эмулировать практиче- ски любую систему команд. Высокая произво- дительность реализуется за счет применения конвейерной обработки и ТТЛШ-технологии. В состав комплекта входят 19 микросхем большой н средней степени интеграции. Разно образце схем, ориентированных на применение в составе различных устройств, обеспечивает большую архитектурную гибкость прн разра- ботке вычислительной аппаратуры (табл, 13.1), Микросхемы серии КМ1804 работают при напряжении источника питания +5 В±5%. Обеспечивается полная совместимость с мик- росхемами других серий, имеющими ТТЛ-, ТТЛШ-уровни логических сигналов (UL0,5 В, UH^2A В). Для тактирования микросхем применяется однофазная синхронизация с ча- стотой до 10 МГц. Быстродействие комплекта до 1()7 мпкрокоманд/с. Т а б л и и. а 13.1 Тип микро- схемы Ф\нкциональное на <наченпс Тип корпуса км 1804 ВС 1 4-разрядная микропроцессорная секция 2123.40-6 КМ1804ВС2 4-разрялная микропроцессорная секция с расширенными ноз- можностямн 2126.48-1 КМ1804ВР1 Схема ускоренного переноса 201.16-13 КМ1804ВР2 Схема управления состоянием и сдвигами 2123.40-6 КМ1804ВУ1 4-разрядная секция управления адресом микрокоманд 2121.28-6 КМ1804ВУ2 4-разрядная секция управления адресом микрокоманд 2140Ю.20-2 КМ 1804 ВУЗ Схема управления выбором следующего адреса 201.16-13 КМ1804ВУ4 12-разрядная схема управления адресом микрокоманд 2123.40-6 КМ1804ВН1 8-разрядная схема векторного приоритетного прерывания 2123.40-6 КМ 1804 В РЗ Схема-расширитель векторного приоритетного прерывания 2140Ю.20-2 КМ1804ВА1 4-разрядный канальный приемопередатчик 2120.24-1 КМ1804ВА2 4-разряднын канальный приемопередатчик 214010.20-2 КМ 1804 В АЗ 4-разрядпын канальный приемопередатчик с интерфейсной ло- гикой 2120.24-1 КМ1804ИРЗ 8-ра.|ридиы“: параллельный двунаправленный регистр 2121.28-6 КМ1804ГГ1 Системный тактовый генератор 2120.24-1 КМ1804ВУ5 4-разрядная секция управлении адресом программной памяти 2121.28-6 КМ1804ВЖ1 16-раэрядная схема обнаружения и коррекции ошибок 2126.48-1 КМ 1804 ИР 1 4-разрядпый параллельный регистр 201.16-13 КМ1804ИР2 8-разрядный параллельный регистр 2108.22-1 13.1. Микросхема КМ1804ВС1 Микросхема КМ1804ВС1 представляет со- бой 4-разрядпую наращиваемую микропроцес сорную секцию с высоким быстродействием, предназначенную для применения в составе центральных процессоров мнкро-ЭВМ, перифе- рийных контроллеров, устройств дискретной автоматики и цифрового управления. Микросхема имеет: двухадресную архитектуру внутреннего 16X4 бит регистрового запоминающего уст- ройства; восемь функций АЛУ (сложение, два вычи- тания и пять Л01НЧССКНХ функций); большой выбор пар источников операндов АЛУ; 132 ennui и плево, вправо независимо от АЛУ; четыре флага состояния (перенос, перепол- нение, нуль н знак). 5'с.юнное графическое обозначение микро- схемы приведено на рис. 13.1, назначение вы- водов - в табл. 13.2, структурная схема нока- >ана па рис. 13.2, временная диаграмма вход- ных сигналов — на рис. 13.3. В состав микросхемы входят: регистровое ЗУ (РЭУ). селектор источников данных (СИД). арифметико-логическое устройство (АЛУ), селектор выходных данных (СВД), регистры (Рг. А, Рг. В, Рг. Q), сдвнгателн (Сд. Q, Сд. С), устройство управления (УУ). Любые дна слова РЗУ, адресуемые через адресные порты А и В, можно одновременно считывать на соответствующие внутренние
Таблица 13.2 Вывод Обозна- чение Тип вывода Функциональное назначение выводов 1 АЗ Вход Адрес, 3-й разряд 2 А2 Вход Адрес, 2-й разряд 3 А1 Вход Адрес, 1-й разряд 4 АО Вход Адрес, 0-й разряд 5 16 Вход Выбор приемника, 6-й разряд 6 18 Вход Выбор приемника, 8-й разряд 7 17 Вход Выбор приемника, 7-й разряд 8 PR3 Вход/ Двунаправленный вывод сдвига старшего ВЫХОД разряда РЗУ 9 PRO Вход,- Двунаправленный вывод сдвига младшего выход разряда РЗУ 10 сс — Напряжение питания 11 г Выход Признак 0-го результата АЛУ 12 ю Вход Выбор источника, 0-й разряд 13 н Вход Выбор источника, 1-й разряд 14 12 Вход Выбор источника, 2-й разряд 15 Т Вход Тактовый сигнал 16 PQ3 Вход/ Двунаправленный вывод сдвига старшего ВЫХОД разряда регистра Q 17 ВО Вход Адрес, 0-й разряд 18 В1 Вход Адрес, 1-й разряд 19 В2 Вход Адрес, 2-й разряд 20 ВЗ Вход Адрес, 3-й разряд 21 PQ0 Вход/ Двунаправленный вывод сдвига младшего выход разряда регистра Q 22 D3 Вход Данные, 3-й разряд 23 D2 Вход Данные, 2-й разряд 24 D1 Вход Данные, 1-й разряд 25 DO Вход Данные, 0-й разряд 26 13 Вход Выбор функции, 3-й разряд 27 15 Вход Выбор функции, 5-й разряд 28 14 Вход Выбор функции, 4-й разряд 29 СО Вход Перенос в АЛУ 30 GND — Общий 31 F3 Выход Старший разряд результата АЛУ 32 G Выход Генерация переноса АЛУ 33 С4 Выход Последовательный перенос АЛУ 34 OVR Выход Переполнение АЛУ 35 Р Выход Распространение переноса АЛУ 36 УО Выход Данные, 0-й разряд 37 Y1 Выход Данные, 1 -й разряд 38 Y2 Выход Данные, 2-й разряд 39 Y3 Выход Данные, 3-й разряд 40 of: Вход Разрешение выходов Рис. 13.1. Условное графическое обозна- чение КМ1804ВС1 4 АО MPS Л/ А.2 АЗ 17 во JL. 13 5/ В2 20 S3 3 PRO PR3 8 21 Р00 PS3 IS ио Y0 36 01 Y1 37 23 OZ YZ за 22 03 УЗ 11 12 /о 13 !1 Z 11 ft 12 F3 31 13 зц ?R OVK 21 5 /4 /5 & 33 35 16 р< ! п G ( 32 б 18 ?Ч СО 15 т 4^ >ОО SHIP, (30 шины данных А и В. Если адреса А и В сов- падают, то на шинах данных А и В появля- ется одно и то же слово. Регистры Рг. А, Рг. В, стоящие на выходных портах данных А и В РЗУ, пропускают данные, если на вход Т по- дано напряжение высокого уровня. Если на вход Т подано напряжение низкого уровня, то в регистрах хранятся последние данные, по- ступившие из РЗУ. Данные с выхода Сд. F записываются в РЗУ по адресу В при напряжении низкого уровня на входе Т; при высоком уровне на входе Т ячейки РЗУ переводятся в режим хра- нения/считывания. Селектор источников данных предназначен для выбора операндов R и S АЛУ. Для вы- бора операндов АЛУ используются входы 12—10 микрокоманды (табл. 13.3). Арифметико-логическое устройство выпол- няет три арифметических и пять логических функций над операндами R и S согласно табл. 13.4. При наращивании разрядности микросхемы могут соединяться по схеме ускоренного пере- 133
Рис. 13.2. Структурная схема КМ1804ВС1 носа или по схеме с последовательным пере- носом. Для организации последовательного пе- реноса в АЛУ имеются вход переноса СО и выход переноса С4. Выходы Р, G использу- ются при соединении АЛУ микросхем по схе- ме ускоренного переноса. Остальные выходы (Z, F3, OVR) служат для выдачи результата выполнения функции АЛУ. Выход Z (откры- тый коллектор) является признаком нулевого результата. Если на выходах F АЛУ все раз- ряды имеют нулевое значение, то на выходе Z устанавливается высокий уровень. Выход F3 соединен со старшим (знаковым) разрядом выхода АЛУ. Выход OVR показывает, что Таблица 13.3 Сигналь на входах источнике выбора Источники операндов. АЛУ /2 - ю Операнд R ОперандS 0 0 0 A Q 0 0 1 А В 0 1 0 0 Q 0 1 1 0 В 1 0 0 0 А 1 0 1 D А 1 1 0 D Q 1 1 1 D 0 Таблица 13.4 Рис. 13.3. Временная диаграмма входных сиг- налов КМ1804ВС1 Сигналы на входах выбора функции 15 1 4 / i 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 1 1 0 1 1 1 0 1 Функция АЛУ R -t S 1 СО S—R—1+C0 R — S—1+C0 PiVSi RiASt RiASf R,®s; Ri®S,- Примечание. — логическая операция ИЛИ. Д — логическая операция И; © — логическая one рация исключающее ИЛИ. 134
Таблица 13.5 Сигналы на вхо- дах выбора приемника Функция РЗУ Функция Рг. Q Выход У Сдвиговые выводы Сд. F Сдвиговые выводы Сд. Q 18 17 16 Сдвиг Загр> зка в регистр Сдвиг Загрузка в регистр PRO PR3 PQ0 PQ3 0 0 0 X Нет Нет F-+Q F X X X X 0 0 1 X Нет X Нет F X X X X 0 1 0 Нет F-B X Нет А X X X X 0 1 1 Нет F-+B X Нет F X X X X 1 0 0 Вправо F/2-^В Впр аво Q/2->Q F F0 Вх. 3 Q0 Вх.З 1 0 1 Вправо F/2^B X Нет F _F0 Вх. 3 Q0 X 1 1 0 Влево 2F-+B Влево 2Q-»Q F Вх. 0 F3 Вх. 0 Q3 1 1 1 Влево 2F-+B X Нет F Вх. 0 F3 X Q3 Примечание. X — состояние входа безразлично; Вх.З, Вх.О — выводы, являющиеся входами в 3-й, 0-й В — регистр РЭУ, адресуемый входами разряды соответствующих регистров. ВЗ—ВО; результат выполнения арифметической опера- ции выходит за границу разрядности чисел в дополнительном коде. Селектор выходных данных представляет собой двухвходовый коммутатор, который в зависимости от состояния сигналов иа входах 18—/6 выдает иа шины Y функцию АЛУ (F) или выход канала А РЗУ (А) (табл. 13.5). При ОЕ—1 выходы селектора Y переводятся в состояние «отключено». Результат АЛУ может быть записан в Рг. Q по положительному фронту тактового сигнала Т при наличии внутренних сигналов разрешения записи, определяемых кодом мик- рокоманды (см. табл. 13.5). Сдвигатель Сд. Q, стоящий на входе регистра, обеспечивает воз- можность сдвига его содержимого на одни разряд влево или вправо. Для организации сдвигов содержимого Рг. Q в системе микро- процессорных секций имеются двунаправлен- ные выводы сдвига PQ3, PQ0. Сдвигатель Сд. Q выполняет только логические сдвиги. Во время сдвига в сторону младших разрядов двунаправленный вывод PQ3 является входом, Таблица 13.6 Па ра метр Обозначение Значения парамет- ров [макс. (МИИ.)] Режим измерения Вывод микросхемы Выходное напряжение низкого уровня, В U О L 0,5 /оь /о L /оь /оь = 16 мА = 10 мА = 8 мА = 6 мА Y3—Y0, Z, G, С4 OVR, Р F3, PR3, PRO, PQ3, PQ0 Выходное напряжение высоко- го уровня, В и он (2.4) /он /он /он /он = -1,6 мА = —1,0 мА =—0,8 мА = —0,6 мА Y3--Y0, G С4 OVR, Р F3 PR3, PRO, PQ3, PQ0 Входной ток низкого уровня, мА I,L -3,6 —0,8 —0,72 —0,36 Ult. = 0,5 В СО PRO, PR3, PQ0, PQ3 D3—D0, 17, 13—15 Остальные входы Входной ток высокого уровня, мкА 1,н 200 100 40 20 и,„ = 2,7 В СО PRO, PR3, PQ0,PQ3 D3---D0, 17, 13—15 Остальные входы Максимальный входной ток высокого уровня, мА Гок потребления, мА 11Н max 1 ,о и,н = 5,5 В Все входы I СС 280 Ucc = 5,25 В — Время задержки распростране- ния сигнала, нс 1р 85 100 60 с,.- = 50 нФ От А, В до Y От А, В до PR3, PRO От 13—15 до Y 135
Таблица 13.7 Вход Значение tp до выходов НС 3 <3 OVR PR'i, PRO PQ3, PQO 1 А, В 80 80 7Е 65 8 7 85 95 D (при арифмети- ческих операциях) 45 45 4 53 5 5 7 55 65 — D (при логических операциях) 40 40 52 — 60 — со 30 30 20- 47 30 50 10—12 55 55 5 3 45 67 65 75 13 — 15 55 55 55 50 67 65 75 — 16 — 18 30 — >— — 30 30 ОЕ (разрешение/ запрет) 35/ 25 — — — — А (мииуя АЛУ) 45 — — .— — Т (положительный перепад) 60 60 60 50 72 70 80 30 a PQO— выходом. При сдвигах в сторону старших разрядов вывод PQ0 является входом, a PQ3—выходом. Сдвигатель функции АЛУ Сд. F обеспечи- вает логический сдвиг вправо (влево) данных перед их записью в РЗУ (см. табл. 13.5). Вы- воды PR3, PRO аналогичны соответствующим выводам PQ3, PQ0 и служат для наращива- ния разрядности. Устройство управления преобразует сигна- лы на входах выбора микрокоманды 18—10 во внутренние управляющие сигналы /0*—/п*. В табл. 13.6 приведены основные электриче- ские параметры микросхемы КМ1804ВС1, в табл. 13.7— типовые значения динамических параметров. 13.2. Микросхема КМ1804ВС2 Микросхема КМ1804ВС2 представляет со- бой 4-разрядную наращиваемую микропроцес- сорную секцию. Она выполняет все функции микропроцессорной секции КМ1804ВС1 и до- полнительно ряд функций. Возможность не- ограниченного наращивания емкости внутрен- ней памяти и трехпортовая трехадресная архи- тектура, выполнение операций умножения и деления, наличие микрокоманд нормализации чисел, наличие схемы генерации четности, воз- можность расширения знака чисел обеспечива- ют большую гибкость применения микросхемы. Условное графическое обозначение микро- схемы приведено на рис. 13.4, назначение вы- водов— в табл. 13.8, структурная схема пока- зана иа рис. 13.5, временная диаграмма ра- боты— на рис. 13.6. В состав микросхемы КМ1804ВС2 в основ- ном входят те же блоки, что и в состав КМ1804ВС1, только сдвигатель Сд. F распо- ложен между АЛУ и выходами Y3—Y0 с целью уменьшения времени выполнения опера- ции умножения, 136 Работу РЗУ необходимо рассматривать совместно с работой регистров Рг. А, Рг. В и буфером данных (БД). Любые два слова РЗУ, адресуемые через адресные порты А и В, можно одновременно считывать на соответст- вующие внутренние шины данных А и В. Если адреса А и В совпадают, то иа шинах дан- ных А и В появляется одно и то же слово. Регистры Рг. А, Рг. В, стоящие на выходных портах данных А и В РЗУ, пропускают дан- ные, если на вход Т подано напряжение вы- сокого уровня. Если же на вход Т подано на- пряжение низкого уровня, то в регистрах хра- нятся последние данные, поступившие из РЗУ. Данные В могут быть прочитаны на двуна- правленных выводах DB, если на вход ОЕВ подано напряжение низкого уровня. Внешние данные записываются в РЗУ по адресу В с двунаправленных /-выводов или с выходных шин Сд. F, если на входы WE и Т одновременно подано напряжение низкого уровня. АЛУ может выполнять семь арифметиче- ских и девять логических операций над двумя 4-разрядными операндами. Коммутаторы Км. S, Км. R иа входах АЛУ обеспечивают возможность выбора источников операндов АЛУ. В зависимости от состояния входа ЕА коммутатор Км. R выбирает либо шину DA внешних данных, либо данные А РЗУ в каче- стве одного из источников операнда АЛУ. Сигналы на входах ОЕВ и 10 управляют ра- ботой Км. S, который выбирает либо данные В РЗУ, либо входы DB, либо содержимое Рг. Q в качестве другого источника операнда АЛУ. Таким образом, АЛУ может работать с данны- ми от двух внешних источников, либо от од- ного внутреннего и одного внешнего источни- ка, либо от двух внутренних источников. В табл. 13.9 приведены все возможные пары источников операндов АЛУ. Стандартные функции, выполняемые АЛУ, определяются состоянием входов микрокоман- ды II—14 н приведены в табл. 13.10. Если на входы 10—14 подано напряжение низкого уровня, то микросхема выполняет спе- циальные функции, определяемые состоянием входов микрокоманды 15—18 (табл. 13.11). При наращивании разрядности микросхемы могут соединяться по схеме ускоренного пере- носа или по схеме последовательного перено- са. Для организации последовательного пере- носа в АЛУ имеются вход переноса СО и выход переноса С4. Выходы G/N, P/OVR используются при со- единении АЛУ микросхем по схеме ускоренно- го переноса. При этом в зависимости от от- носительного положения микропроцессорной секции (МПС) в младшей и средней МПС вы- ходы G/N, P/OVR являются выходами сигна- лов ускоренного переноса (генерации G и рас пространения Р переноса), а в старшей МПС данные выходы используются как знаковый Л' и переполнения OVR. Выход N — обычно старший разряд результата операции, исполь- зуется для определения знака результата АЛУ.
Таблица 13.8 30 Д/ Д2 /3 mps Вывод Обозна- чение Тип вывода Функциональное назначение выводов 4» 80 1 PQO Вход'выход Двунаправленный вывод сдвига 48 81 2 ЕА Вход младшего разряда регистра Q Разрешение данных А 47 в*> 83 3 DA0 Вход Данные А, 0-й разряд 3— 2АО 080 4 DA1 Вход Данные А, 1-й разряд 5 DA1 081 25 5 6 DA2 DA3 Вход Вход Данные А, 2-й разряд Данные А, 3-й разряд б ПАЗ 282 283 26 7 12 Вход Микрокоманда, 2-й разряд № В 8 13 Вход Микрокоманда, 3-й разряд 21 PF3 pro 20 9 14 Вход Микрокоманда, 4-й разряд 48 PQ3 PQO |"V 10 СО Вход Перенос в АЛУ 7 11 С4 Выход Перенос АЛУ > ГА YO 18 12 P'OVR Выход Распространение переноса/переполне- 42 10 17 ние АЛУ 2L. 11 Y2 18 13 GND Общий 12 Y3 14 G/N Выход Генерация переноса'знак АЛУ 9 13 15 OEY Вход Разрешение выходов Y 35 15 16 YO Вход,'выход Двунаправленный вывод данных, 0-й 34 15 разряд ~32~ п 17 Y1 Вход выход Двунаправленный вывод данных, 1-й 18 ! И 22 разряд \1ЕН z 18 Y2 Вход выход Двунаправленный вывод данных, 43 — 2-й разряд C4 If 19 Y3 Вход'выход Двунаправленный вывод данных, 3-й 10 СО G/N 14 разряд 15 P/OVK 20 PFO Вход выход Двунаправленный вывод сдвига 10ЕУ младшего разряда результата АЛУ 33 \LSS 21 PF3 Вход/выход Двунаправленный вывод сдвига 40 •>w/ks IT 36 старшего разряда результата АЛУ 37 ucc 13 22 Z Вход'выход Двунаправленный вывод признака нулевого результата АЛУ 23 24 DBO DBI Вход/выход Вход/выход Данные В, 0-й разряд Данные В, 1-й разряд Рис. 13.4. Условное 25 26 DB2 DB3 Вход/выход Вход/выход Данные S, 2-й разряд Данные В, 3-й разряд графическое обозна- чение КМ1804ВС2 27 A3 Вход Адрес. 3-й разряд 28 A2 Вход Адрес, 2-й разряд 29 Al Вход Адрес, 1-й разряд 30 AO Вход Адрес, 0-й разряд 31 DEB Вход Разрешение выходов данных В 32 18 Вход Микрокоманда, 8-й разряд 33 17 Вход Микрокоманда, 7-й разряд 34 16 Вход Микрокоманда, 6-й разряд 35 15 Вход Микрокоманда, 5-й разряд ' 36 ucc Напряжение питания 37 WE Вход Разрешение записи в РЗУ 38 IEN Вход Разрешение микрокоманды 39 LSS Вход Управление относительным положе- нием 40 W'MSS Вход/выход Двунаправленный вывод управления относительным положением 41 11 Вход Микрокоманда, 1-й разряд 42 10 Вход Микрокоманда, 0-й разряд 43 T Вход Тактовый сигнал 44 BO Вход Адрес, 0-й разряд 45 Bl Вход Адрес, 1-й разряд 46 B2 Вход Адрес, 2-й разряд 47 B3 Вход Адрес, 3-й разряд 48 PQ3 Вход/выход Двунаправленный вывод сдвига стар шего разряда регистра Q
Рис. 13.5. Структурная схема КМ1804ВС2 Выход OVR показывает, что результат выпол- нения арифметической операции выходит за границу разрядности чисел в дополнительном коде. В табл. 13.12 приведены логические вы- Таблица 13.9 Сигналы иа входах выбора источника Источники операндов АЛУ ЕА IO ОЕВ Операнд R | Операнд 5 ражения сигналов состояния в зависимости от выполняемой операции АЛУ. В зависимости от состояния входов микро- команды 15—18 сдвигатель Сд. F пропускает результат АЛУ F на выход либо без сдвига, либо со сдвигом влево или вправо. В микро- Т а б л и ц а 13.10 О О О 1 1 1 о о 1 о о 1 о 1 X о 1 X Данные А РЗУ Данные А РЗУ Данные А РЗУ Вход DA Вход DA Вход DA Данные В РЗУ Вход DB Данные Q Данные В РЗУ Вход DB Данные Q Примечание. X -- состояние входа безразлич- но. Рис. 13.6. Временная диаграмма входных сиг- налов КМ1804ВС2. Значения параметров tsu и /и приведены в табл. 13.17 Сигналы на вхо- дах выбора фуикцин Функция АЛУ F 14 13 12 ' и 0 0 0 0 Специальные функции при /0 = 0 0 0 0 0 1111 при 10 — 1 0 0 0 1 S— R — 1 + СО 0 0 1 0 R—S — 1-f-CO 0 0 1 1 R + S + CO 0 1 0 0 s+co 0 1 0 1 S+CO 0 1 1 0 R+CO 0 1 1 1 R + CO 1 0 0 0 0000 1 0 0 1 RiASj 1 0 1 0 Ri®Sj 1 0 1 1 Ri®St 1 1 0 0 RiAS; 1 1 0 1 RiVS; 1 1 1 0 RtASi 1 1 1 1 RiVS; Примечание. V - логическая операция ИЛИ; Л -- логическая операция И; ff> — логическая операция исключающее ИЛИ. 138
схеме предусмотрена возможность осуществ- лять логические и арифметические сдвиги. При арифметических сдвигах разряды сдвигаются в обход старшего (знакового) разряда резуль- тата операции АЛУ в старшей МПС, а при логических сдвигах старший разряд сдвигает- ся вместе с остальными разрядами. Во время сдвигов в сторону младших разрядов двуна- правленный вывод PF3 обычно является вхо- дом, а вывод PF0 — выходом. При сдвигах в сторону старших разрядов вывод PF3 обычно является выходом, а вывод PF0 — входом. Сдвигатель Сд. F также имеет возмож- ность распространения знака иа все разряды результата АЛУ. Имеется микрокоманда, ког- да сигнал иа входе PF0 (знаковый) передает- ся иа выходы У0—Y3 и PF3. На некоторых микрокомандах Сд. F гене- рирует сигнал контроля четности результата АЛУ с учетом сигнала иа входе PF3. Данный Таблица 13.11 Входы Функция Функция АЛУ F Функция Сд. F Состояние выводов Функции Сд. Q и Рг. Q Состояние выводов PFO 18 17 16 15 Старшая МПС Дру- гие МПС PQ3 PQO г 0 0 0 0 Умножение S + СО, если Лог. X Вход F0 Лог. Вход Q0 0 0 0 1 0 без знака Умножение 2 = 0, R+S+C0, если Z — 1 S + СО, если Г/2->Г (см. прим. 1) Лог. X Вход F0 Q/2-><? Лог. Вход Q0 0 0 1 0 0 в дополни- тельном ко- де Прибавле- Z = 0, /?+s+co, если Z = 1 S+14-C0 F/2^Y (см. прим. 2) F->Y Вход Вход Чет- <2/2—>Q Хранение X X 0 0 1 0 1 иие к числу единицы или двойки Преобра- S+СО, если F-+Y В ход Вход ность Чет- Хранение X X 0 0 1 1 0 1 0 0 0 зование чис- ла в допол- нительный код Умножение в дополни- тельном ко- де (послед- ний цикл) Нормали- z=o, S+СО, если Z=1 S + C0, если Z=0, S—R— 14-С0, если Z = 1 S+C0 (см. прим. 3) Лог. F/2-+Y (см. прим. 2) F->Y X F3 Вход F3 ность F0 X Лог. Q/2->Q Лог. Вход Q3 Q0 Вход 0 0 1 0 1 0 зация слова одной дли- ны Нормали- S+C0 Лог. R3&F3 F3 Вход 2Q— Лог. Q3 Вход 0 1 1 0 0 зация слова двойной длины Деление S-f-/?+C0, 2F--YF Лог. R3&F3 F3 Вход Лог. Q3 Вход 0 1 1 1 0 в дополни- тельном ко- де Деление если Z=0, $-/?-! + + С0, если Z=1 S+fl + C0, 2F—»Y F-+Y F3 F3 X 2Q->Q Лог. Q3 Вход 0 п Р и меч в дополни- тельном ко де, коррек ция а н и я. 1. Тол если Z=0, s-/?-i+ + C0, если Z = 1 ько в старшей МПС на Y3 выводится С4. 2Q->Q 2. Только в старшей МПС иа Y3 выводится F3® OVR. 3. Только в старшей МПС на Y3 выводится S3&F3. 4. X — состояние «отключено»; Четность^PF3®F3QF2 @ Fl @ F0: МПС — микропроцессорная секция; © — логическая операция исключающее ИЛИ. 139
Таблица 13.12 сигнал выводится на выход PF0. Возможность контроля четности в микросхеме обеспечивает обнаружение ошибок АЛУ. Выходы Сд. F представляют собой ТТЛ-веитили с тремя со- стояниями. Работой этих вентилей управляет вход разрешения У выводов OEY. Если на вход OEY подано напряжение низкого уровня, то двунаправленные выводы У работают как выходы Сд. F. Если же иа вход OEY подано напряжение высокого уровня, то выводы У ра- ботают как входы РЗУ. В табл. 13.11 и 13.13 приведены операции, выполняемые сдвигате- лем Сд. F. Работу регистра Рг. Q рассмотрим совме- стно с работой Сд. Q. Обычно Рг. Q предна- значен для использования в операциях деле- ния и умножения, однако его можно приме- нять как накопительный регистр общего на- значения. Результат АЛУ может быть записан в Рг. Q по положительному фронту тактового сигнала Т при наличии внутренних сигналов разрешения записи, определяемых кодом мик- рокоманды. Сдвигатель Сд. Q, стоящий на входе регистра, обеспечивает возможность сдвига его содержимого на один разряд влево или вправо. Для организации сдвигов содержи- мого Рг. Q в системе МПС имеются двунаправ- ленные выводы сдвига PQ0 и PQ3. Сдвигатель Сд. Q выполняет только логические сдвиги. Во время сдвигов в сторону младших разря- дов двунаправленный вывод PQ3 является входом, а вывод PQ0 — выходом. При сдви- гах в сторону старших разрядов вывод PQ3 является выходом, а вывод PQ0— входом. Микросхема обеспечивает возможность арифметических и логических сдвигов двойной длины. Для осуществления этих сдвигов необ ходимо соединить вывод PQ3 старшей МПС с выводом PF0 младшей МПС. Сдвиг двойной длины осуществляется микросхемой, если на входы управления подана микрокоманда, на которой происходит одновременный сдвиг ре- зультата АЛУ и содержимого Рг. Q. В табл. 13.11 и 13.13 приведены функции Рг. Q и Сд. <2, выполняемые ими в зависимости от состояния входов микрокоманды 15—18. Устройство управления представляет собой комбинационную схему и предназначено для преобразования внешних сигналов управления (10—18, IEN, LSS, W/MSS, Z) во внутренние сигналы управления(/о—/«)• Входы 10—18 являются входами микро- команды. В зависимости от значений логиче- ских сигналов на этих входах выбирается кон- кретная микрокоманда, выполняемая микро- схемой согласно табл. 13.9—13.13. С помощью входа LSS и двунаправленного вывода W/MSS можно запрограммировать место расположе- ния каждой секции в многоразрядном уст- ройстве обработки данных. Если на вход LSS подано напряжение низкого уровня, то микро- схема программируется как младшая МПС и двунаправленный вывод W/MSS работает как выход W. При соединении данного двунаправ- ленного вывода W/MSS с входом WE (в млад- шей МПС) происходит запрет записи данных 140 Входы микрокоман- ды (код шестнадца- °1 теричный) 18, 17,16, 15 14, 13.12, 11, 10 (Т=0-3) X 0 1 0 X 1 X X 2 X Ri/\Sf X 3 X RiAS) X 4 X 0 X 5 X 0 X 6 X 0 X 7 X 0 X 8 X 0 X 9 X RiASi X А X RiAsi X В X R;Asi X С X R;Asi X D X R/AS; X Е X RiAS; X F X RiA-Sf 0 0 0 0, если Z=0; RiASi, если Z=1 2 0 0 0, если Z=0; RiASj. если Z=1 4 0 0 См. прим. 1 5 0 0 0 6 0 0 0, если Z=0; RiAS;, если Z=1 8 0 0 0 А 0 0 0 С 0 0 R; A если Z =- 0; Ri/\ Si. если Z= 1 Е 0 0 Ri/\Si. если Z = 0; Rt/\ Sit если Z= 1 Примечания. 1. GO=SO\ Gl, G2, G3=0 2. P0=l; Pl, P2, P3 = S1—-S3 для младшей МПС. 3. C4 = Q3rF Q2 для старшей МПС, C4 — G\IP/\C0 4. C4=F3 ф F2 для старшей МПС, C4~G\/P/\C0 5 Z= QFFOFFI f\F2J\F3 _ _ у(С2ЛР0ДР£Л££); Y=YO/\Y1/\Y2/\Y3-, Q~Q0/\Q 1 /\Q3/\Q3
t>l "Cl t>l ~l tl tl tl QI QI Q| QI Q| QI QI rep знака Триг- 1 знака a n Дтэ s 2 к 2 • 7 сл -Ol Вход S3 ^1 Вход , a □3tj П X s 2 o 2 • Й СП -Ol Вход Вход -<l Вход EC’S n и s 2 О 2 • 1=3 СП <OI QO Вход •^1
2 йз <Z Nq Co — —- II > II -• — 3C| *3 -“ x? 5^*5^ x? x? s <^2 <^2 Go q II ' § N 1^ Co — 2 -* b s I'D ь X Co Co Co Coj Co 2 Ф 2 С) Со ф о о о о о о а -U X С X X X X с Со Се Со Со Со Со Со оофффффффо X X X X X X X >U >£», »Ск Старшая МПС о X? ei ы © © © о © © о о "al "al "bl “el “el “el “el о Другие МПС 2 Сс Сс Сс Сс Сс Сс СёСеСеСеСеСеСеСеСеСе Старшая МПС ! С5 «1 Qi QI QI OI QI QI XI XI XI XI Xl XI Xl XI XI XI Q| Другие МПС Вход Вход | ^1 -^| -<1 XI XI XI XI XI xl XI XI XI XI XI xl XI Стар- шая । МПС Вход Вход XI XI XI XI XI XI XI XI XI XI XI XI XI XI х! XI Сред- няя МПС N -О О) гО XI XI XI XI XI XI XI XI Xl Xl XI xl Xl xl xl XI 2Е s Состояние выводов
Таблица 13.13 Входы Функция Сд. F Состояние выводов Функции Сд. Q и Рг. Q Состояние выводов PF3 Y3 У? Y1 Y0 PF0 W7 /8 /7 16 15 Старшая МПС Другие МПС Старшая МПС Другие МПС Старшая МПС Другие МПС PQ3 PQ0 0 0 0 0 Ариф. Fi2->Y Вход Вход F3 PF3 PF3 F3 F2 F1 F0 0 Хране- ние X X 0 0 0 1 Лог. F/2— Вход Вход PF3 РРЗ F3 F3 F2 F1 F0 0 Хране- ние X X 0 0 1 0 Арифм. F/2—У' Вход Вход F3 PF3 PF3 F3 F2 F1 F0 0 Лог- Q/2->Q Вход Q0 0 0 1 1 Лог. Fi2-»-Y Вход Вход PF3 PF3 F3 F3 F2 F1 F0 0 Лог. Q/2— Вход Q0 0 1 0 0 F-YY Вход Вход F3 F3 F2 F2 FJ F0 Чет- ность 0 Хране- ние X X 0 1 0 1 F-+Y Вход Вход F3 F3 F2 F2 F1 F0 Чет- ность 1 Лог- Q/2-+Q Вход Q0 0 1 1 0 F-+Y Вход Вход F3 F3 F2 F2 Р1 F0 Чет- ность 1 F-+Q X X 0 1 1 1 F-+Y Вход Вход F3 F3 F2 F2 F1 F0 Чет- ность 0 F-+Q X X 1 0 0 0 Арифм. 2Т-- F2 F3 F3 F2 F1 F1 F0 PF0 Вход 0 Хране- ние X X 1 0 0 1 Лог- 2F-*-Y F3 F3 F2 F2 F1 F1 F0 PF0 Вход 0 Хране- ние X X 1 0 1 0 Арифм. 2F-PY F2 F3 F3 F2 F1 FJ F0 PF0 Вход 0 Лог. 2Q^Q Q3 Вход 1 0 1 1 Лог. 2F-+Y F3 F3 F2 F2 F1 F1 F0 PF0 Вход 0 Лог. 2Q-^Q Q3 Вход 1 1 0 0 F->Y F3 F3 F3 F3 F2 F2 F1 F0 X 1 Хране- ние X X 1 1 0 1 F-+Y F3 F3 F3 F3 F2 F2 F1 РО S 1 Лог. 2Q^Q Q3 Вход 1 1 1 0 Распро- стране- ние PF0 PF0 PF0 PF0 PF0 PF0 PF0 PF0 Вход 0 Хране- ние X X 1 1 1 1 F-+Y F3 F3 F3 F3 F2 F2 F1 F0 X 0 Хране- ние X X Примечание. Четность = РРЗ ®F3®F2®F 1&F0-, ® — лслическая операция исключающее ИЛИ; X — состояние «отключено». в РЗУ на некоторых микрокомандах, когда №=1 (см. табл. 13.11 и 13.13). Если же иа вход LSS подано напряжение высокого уров- ня, то двунаправленный вывод W/MSS стано- вится входом. Микросхема программируется Таблица 13.14 Сигналы на входах Положение микро- схемы в системе МПС ГС'/МЗЗ £55 Выход 1 0 0 1 1 Младшая МПС Средняя МПС Старшая МПС как старшая МПС, если на вход MSS подано напряжение низкого уровня, и как средняя МПС, если на вход MSS подано напряжение высокого уровня. Программирование места рас- положения каждой секции в многоразрядном устройстве представлено в табл. 13.14. Двунаправленный вывод Z признака нуле- вого результата АЛУ используется как выход с открытым коллектором и показывает, что при выполнении АЛУ некоторой функции получен нулевой результат. Данный вывод может быть объединен между различными МПС по схеме монтажное ИЛИ. При выполнении микросхе- мой специальной функции «деление» (см. табл. 13.11, 13.12) вывод Z в старшей МПС является выходом триггера сравнения знака. Триггер сравнения знака предназначен для правильного выполнения операции «Выравии- 142
Таблица 13.15 Параметр Обозначение Значения параметров [макс, (мни.)] Режим измерения Вывод микросхемы Выходное напряжение низ- кого уровня, В и OL 0,5 10 L — 16 мА /ol = 8 мА /ci— 18 мА Iol —10 мА УЗ—УО, Z PFO, PF3, PQO, PQ3, С4, W/MSS, DB3—DB0 G/N PiOVR Выходное напряжение высо- кого уровня, В У он (2,4) 1он =—1,6 мА 1 о н — —0,8 мА УЗ—УО, G/N Остальные выходы Входной ток низкого уров- ня, мА 11L —3,6 — 1,08 -0,72 —0,36 ТЛь=0,5 В СО Y3—Y0 DA3—DA0, 14—10, PF3, PFO, PQ3, PQ0, DB3—DB0, W/MSS Остальные входы Входной ток высокого уров- ня, мкА IIH 120 110 90 40 20 Uih = 2,7 В СО Y3—Y0 DB3—DB0, W/MSS, PF3, PFO, PQ3, PQ0 DA3—DA0, 14—10 Остальные входы Максимальный входной ток высокого уровня, мА Ток потребления, мА 11Н max Icc 1,0 350 {7/и = 5,5 В Ucc = 5,25 В Все входы Время задержки распро- странения сигнала, нс tp 87 49 49 49 101 61 64 104 49 65 Cl = 50 пФ От А до У От В до DB От DA до G От DA до Р От DA до PF0 (чет- ность) От DB до У От СО до Z От В до PF3 От 10 до W/MSS От 11—14 до С4 ваиие делителя». По положительному фронту тактового сигнала Т в триггер сравнения зна- ков записывается инверсная сумма по моду- лю 2 текущего значения старшего разряда де- лителя со старшим разрядом делителя преды- дущего цикла. На некоторых специальных функциях вывод Z используется как вход, уп- равляя которым можно изменять функцию АЛУ микросхем (см. табл. 13.11 и 13.12), Если на вход разрешения микрокоманды IEN подан Таблица 13.16 Таблица 13.18 Мииимал ь- Значения tp, нс Параметр иые значения параметров Измеряемая цепь Разреше- ние (Q = Запрет (Q = 5 пФ) Длительность сигнала низкого уровня на входе Т, нс Длительность сигнала высокого уровня на входе- Т, нс Длительность сигналов низкого уровня на входах Т и IT'f одно- временно, нс 40 40 40 50 пФ) От ОЕУ до УЗ—УО От ОЕВ до DB3—DB0 От 18 до PF3, PF0 От 18—15 до PQ3, PQ0 От 14—10 до PQ3, PQ0 От LSS до WE 27 31 65 31 25 25 25 60 60 25 143
Таблица 13.17 Значения параметров, нс (см. рис. 13.6) Вход Счл 1Н1 ‘SU2 <Н2 Примечание У №Е=1 WE = 0 А, В как источник В как приемник PQO, PQ3 18—15 IEN— I 1EN = O 14—10 25 27 6 24 30 24 Без изменений 3 Без изменений Без изменений Без изменений 20 Без изменений 30 Без изменений 21 Без изменений Без изменений 30 68 3 0 0 3 3 0 0 0 0 Y-+P3Y, У—Pr. Q Запрет записи Запись в РЗУ WE = 0 Сдвиг Рг. Q Запрет записи в Рг. Q Запись в Рг. Q Таблица 13.19 Вход Значения tp до выходов, нс Y3- Y0 С4 G, Р г Л’ OVR OB3 — DBO W/MSS PQ3, PQO PFO PF3 PFO (четность) АЗ—АО (ариф- 86 81 69 110 86 108 — — — 84 94 115 метика) ВЗ—ВО (ариф- 99 88 81 123 99 112 49 — — 94 104 140 метика) АЗ—АО (логи- 87 — 68 Ill 89 — — — — 79 94 115 ка) ВЗ—ВО (логи- 84 — 73 108 84 — 49 — — 84 90 120 DA3—DA0 63 60 49 87 64 89 — — — 60 70 101 (арифметика) DB3—DB0 61 59 47 85 62 84 — — — 62 68 98 (арифметика) DA3—DA0 64 — 48 88 66 — — — — 61 72 101 (логика) DB3—DB0 55 — 32 79 57 — — — — 52 61 93 (логика) ЕА 59 53 42 83 59 83 57 64 98 СО 40 30 — 64 40 58 — — — 38 46 67 10 52 48 36 76 52 63 — 49 X 50* 58* 93* 14—11 71 65 72 95 69 84 — 49 X 66* 73* 105* 18—15 42 — — 66 — — — 50 60* 42* 45* 42* 1EN — — — — — — — 22 — — — — PF3, PF0 26 — — 50 — — — — — — 29 36 Т 87 87 71 111 88 108 37 — 40 84 92 105 Y3—Y0 — — — 24 — — — — — — — — W/MSS 44 — 44 68 44 44 — — — 44 46 44 Примечание. X — выход переключается в состояние «выключено» (см. табл. 13.18). * Время задержки при переключении в состояние «включено». 144
Таблица 13.20 Вход Значения tp до выходов, нс Y3-Y0 С4 G, Р Z N OVR DB3—DB0 W/MSS PQ3, PQO PFO PF3 PFO (четность) АЗ—АО ВЗ—ВО 138 113 81 123 138 112 49 94 120 140 DA3—DA0 DB3—DB0 98 75 49 87 98 89 62 84 101 ЕА 93 53 42 — 59 83 — — — 57 91 — СО 79 54 — 64 79 58 — — — 38 68 67 10 112 99 99 90 100 120 — 49 X 98* 108* 131* 14—11 115 96 85 95 100 124 — 49 X 97* 108* 131* 18—15 105 95 84 95 97 120 — 50 X 96* 108* 138* Т 118 101 71 118 118 108 37 — 40 84 130 105 Z 91 66 54 — 74 98 — — — 71 79 114 IEN — — — — — — — 22 — — — — PF3, PF0 26 — — — — — — — — — — — Примечание. X — Выход переключается в состояние ♦ выключено» (см. табл. 13.18). * Время задержки при переключении в состояние «включено». высокий уровень напряжения, то иа выходе разрешения записи данных в РЗУ W появляет- ся напряжение высокого уровня. Триггер срав- нения знаков, РЗУ и Рг. Q при этом находят- ся в режиме хранения. Таким образом, управляя входом 1EN, мож- но запрещать запись информации во все внут- ренние регистры микросхемы. Основные электрические параметры микро- схемы КМ1804ВС2 приведены в табл. 13.15, типовые значения динамических параметров — в табл. 13.16, 13.17 (времена подготовки и удержания сигнала), 13.18 (задержки до вы- ходов с тремя состояниями), 13.19 (комбина- ционные задержки для стандартных функций АЛУ) и 13.20 (комбинационные задержки для специальных функций). 13.3. Микросхема КМ1804ВР1 Микросхема КМ1804ВР1 предназначена для обеспечения ускоренного переноса АЛУ при наращивании разрядности микропроцессорных секций КМ1804ВС1, КМ1804ВС2. Одна микро- схема КМ1804ВР1 обеспечивает ускоренный перенос для четырех микропроцессорных сек- ций (длина слова 16 бит). При большей длине Таблица 13.21 Вывод Обозна- чение Тип вывода Функциональное назначение выводов 1 G! Вход Генерация переноса 1-го разряда 2 Pl Вход Распространение переноса 1-го разряда 3 GO Вход Генерация переноса 0-го разряда 4 Р0 Вход Распространение переноса 0-го разряда 5 G3 Вход Генерация переноса 3-го разряда 6 РЗ Вход Распространение переноса 3-го разряда 7 P Выход Распространение переноса 8 GND — Общий 9 CZ Выход Перенос старшей группы 10 G Выход Генерация переноса 11 CY Выход Перенос средней группы 12 CX Выход Перенос младшей группы 13 CO Вход Перенос 14 G2 Вход Генерация переноса 2-го разряда 15 P2 Вход Распространение переноса 2-го разряда 16 U(X — Напряжение питания Рис. 13.7. Условное графическое обозна- чение КМ1804ВР1 А7 СО LAC ОХ !2 4 3 . >ро во CY 11 ,2 1 , ./>/ CZ S Й1 15 /4 , Р2 62 Р в > 7 t Л7 [_№ 6 'РЗ Осс'‘ 5 63 6Ю'. 145
Рис. 13.8. Структурная схема КМ1804ВР1 слова обеспечивается многоуровневый уско- ренный перенос с помощью нескольких микро- схем КМ1804ВР1. Условное графическое обозначение микро- схемы приведено на рис. 13.7, назначение вы- водов— в табл. 13.21, структурная схема по- казана на рис. 13.8. Схема ускоренного переноса обеспечивает на выходах следующие функции: Р=.РО V Pl V Р2 \/РЗ; G^P3 /\ G3 V Р2 \G2 \G3\t Pl \G1 [\ Л G2 Д G3 V GO Д GI A G2 Д G3-, CX = C0 [\G0 V PO /\G0; CY^C0\G0\G\ V PO X. GO Д GiyPl /\ Gl\ CZ = C0 /\G0 /\G1 A G2 V PO X GO /\G1 A A G2 V Р/Д G/Д G2 V P2 Д G2. Схема является чисто комбинационной, по- этом)' временных ограничений на подачу вход- ных сигналов не накладывается. Основные электрические параметры микро- схемы КМ1804ВР1 приведены в табл, 13.22. Таблица 13.22 Параметр Обозначение Значения па- раметров [макс, (мин.)] Вывод микросхемы Режим измерения Выходное напряжение низкого уровня, В Выходное напряжение высоко- го уровня, В и OL 0,5 I оь~ 16 мА Все выходы Ион (2,4) 1он~—и,Ь мА Все выходы Входной ток низкого уровня, I1L —2 Un.=0,5 В СО мА —4 —6 —8 — 14 — 16 РЗ Р2 Р0, Pl, G3 GO, G2 G1 Входной ток высокого уровня, In, 50 СО мкА 100 150 200 350 400 G/L = 2,4 В РЗ Р2 Р0, Pl, G3 GO, G2 G1 Максимальный входной ток высокого уровня, мА /1 Н max 1,0 С//п = 5у5 В Все входы Ток потребления. мА /сс 109 Ucc = 5,25 В — Время задержки распростране- ния сигнала, ис tp 15 CL = 15 пФ От входов до выходов 13.4. Микросхема КМ1804ВР2 Микросхема КМ1804ВР2 предназначена для замыкания данных вокруг микропроцессорных секций КМ1804ВС1, КМ1804ВС2 прн постро- ении устройств обработки данных центральных процессоров микро-ЭВМ, обеспечивает также функции регистра состояния и формирователя сигнала переноса, семь источников входного переноса АЛУ, организовывает 32 типа сдви- гов (арифметические, логические, цикличе- ские), которые могут быть обычной или двой- 146
Таблица 13.23 Вывод Обозна- чение Тип вывода Функциональное назначение выводов 1 17 Вход Микрокоманда, 7-й разряд 2 CEN Вход Разрешение записи в регистр состоя- ния N 3 16 Вход Микрокоманда, 6-й разряд 4 15 Вход Микрокоманда, 5-й разряд 5 14 Вход Микрокоманда, 4-й разряд 6 13 Вход Микрокоманда, 3-й разряд 7 СЕМ Вход Разрешение записи в регистр состоя- ния М 8 EZ Вход Разрешение записи в разряд 2 регистра Д| 9 IZ Вход Признак состояния Z (нуль) 10 Ucc — Напряжение питания 11 ЕС Вход Разрешение записи в разряд С регист- ра М 12 IC Вход Признак состояния С (перенос) 13 EN Вход Вход Разрешение записи в разряд N регист- ра м 14 IN Признак состояния N (знак) 15 EOV Вход Разрешение записи в разряд OVR реги- стра М 16 10V Вход Признак состояния OVR (переполнение) 17 Т Вход Тактовый сигнал 18 10 Вход Микрокоманда, 0-й разряд 19 11 Вход Микрокоманда, 1-й разряд 20 OEY Вход Вход Разрешение двунаправленных выводов признаков состояния 21 12 Микрокоманда, 2-й разряд 22 III Вход Микрокоманда, 11-й разряд 23 112 Вход Микрокоманда, 12-й разряд 24 СХ Вход Перенос 25 СО Выход Перенос в АЛУ 26 ЕСТ Вход Разрешение выхода условия 27 СТ Выход Условие 28 YOV Вход/выход Вход/выход Двунаправленный вывод признака со- стояния OVR 29 YN Двунаправленный вывод признака со- стояния N 30 GND — Общий 31 YC Вход 'выход Вход/выход Двунаправленный вывод признака со- стояния С 32 YZ PQ3 Двунаправленный вывод признака со- стояния Z Вход/выход 33 Двунаправленный вывод сдвига стар- шего разряда регистра Q PQ0 Вход/выход 34 Двунаправленный вывод сдвига млад- шего разряда регистра Q Вход/выход 35 PF3 Двунаправленный вывод сдвига стар- шего разряда результата АЛУ Вход выход 36 PF0 SE Двунаправленный вывод сдвига млад- шего разряда результата АЛУ Вход 37 Разрешение двунаправленных выводов 38 НО Вход Вход Вход сдвига Микрокоманда, 10-й разряд 39 19 Микрокоманда, 9-й разряд 40 18 Микрокоманда, 8-й разряд Рис. 13.9. Условное графическое обозна чеиие КМ1804ВР2 77 9 IZ 14 16 20 т sscu YZ YC YN YOV 32 31 23 28 1г IC IN IOV >OEY 26 ЕСТ CT 27 18 10 13 I! 21 6 5 IZ 13 14 IS 16 4g п 18 ЗЯ 22 IS 110 I1t 23 112 24 гх CO 2S 7 ЧСЕМ PFO 36 V; 2 ) CEN PF3 37 >SE POO PQ3 33 8 >EZ 10 7Р ЧЕС 4 EN % END' Д >EOV 147
Рис. 13.10. Структурная схема КМ1804ВР2 нон длины, содержит два 4-разрядных регист- ра состояния, позволяет выполнять операции с 4-разрядным словом состояния и с отдельны- ми его разрядами, выполняет 16 операций по формированию сигнала условия. Условное графическое обозначение микро- схемы приведено на рис. 13.9, назначение вы- водов— в табл. 13.23, структурная схема по- казана на рис. 13.10, временная диаграмма ра- боты— на рис. 13.11. Микросхема КМ1804ВР2 имеет четыре дву- направленных вывода сдвига (PQ3, PQO, PF3, PF0), выход условия СТ, выход переноса СО, входы управления, входы признаков состояния, вход переноса СХ. Под воздействием внешних сигналов уп- равления микросхема формирует сигналы для организации переносов и сдвигов в блоке об- работки данных. Обрабатывая признаки состо- яния, поступающие с АЛУ, микросхема форми- рует сигнал условия для схемы микропро- граммного управления. В своем составе микросхема содержит два 4-разрядных регистра состояния с коммутато- Рис. 13.11. Временная диаграмма входных сигналов КМ1804ВР2. Значения параметров tsi и tn приведены в табл. 13.35 рами, блок управления 'переносом, блок про- верки условия, блок управления сдвигами, уст- ройство управления. Выход условия микросхемы СТ является выходом на три состояния. Синхронизация внутренних регистров микросхемы осуществля- ется положительным фронтом сигнала, посту- пающим на тактовый вход Т. Блок хранения и модификации признаков (БХМП) состоит из двух 4-разрядных регист- ров состояния (Рг. М, Рг. N), трех коммута- торов (Км. М, Км. N, коммутатора призна- ков состояния — КПС) и буферной схемы при- знаков состояния (БПС). Блок хранения и модификации признаков предназначен для хранения и модификации признаков состояния микропроцессорного устройства: переноса С, знака N, переполнения OVR и нуля Z. Четырехразрядные регистры состояния Рг. М, Рг. N построены на триггерах £)-типа. Запись информации в них происходит по по- ложительному фронту синхросигнала, посту- пающего на тактовый вход микросхемы Т. Запись возможна лишь при наличии сигналов разрешения записи. В Рг. А информация поступает с выхода двухвходового коммутатора Км. А. В зависи- мости от сигналов микрокоманды 15—10 в ре- гистр может быть записана информация либо со входов признаков состояния IC, IN, 1OV, IZ, либо с выходов Рг. М (МС, MN, MOV, MZ). Кроме того, в каждый из четырех разря- дов Рг. А может быть записан 0 или 1. Для записи в регистр необходимо, чтобы на вход разрешения записи CEN было подано напря- жение низкого уровня. Если на вход CEN по- дано напряжение высокого уровня, то запись в Рг. А запрещена. 148
Таблица 13.24 Таблица 13.26 Микрокоманда Обозна- чение операции Операция Рг. N еч 0 0 I 0 0 0 O^NZ Запись 0 в разряд нуля Z 0 0 1 0 0 1 1 —>NZ Запись 1 в разряд нуля Z 0 0 1 0 1 0 0-> УС Запись 0 в разряд переноса С 0 0 I 0 1 1 1 ->-NC Запись I в разряд переноса С 0 0 1 1 с 0 O-tAW Запись 0 в разряд знака N 0 0 1 1 0 1 1 -+NN Запись 1 в разряд знака N 0 0 1 1 1 0 0-+NOV Запись 0 в разряд переполнения OVR 0 0 1 1 1 I 1 NOV Запись 1 в разряд переполнения OVR Все операции, выполняемые Рг. У, можно разбить на три группы: поразрядные, регист- ровые, запись в регистр. Управление всеми ви- дами операций регистра осуществляется сигна- лами со входов 15—10. Поразрядные операции (табл. 13.24) пред- ставляют собой запись 0 или 1 в один из разрядов регистра. Регистровые операции (табл. 13.25) представляют собой операции над всем словом, записанным в регистр. Опе- рации записи в регистр (табл. 13.26) представ- ляют собой запись в Рг. N информации, мо- дифицированной или неизменной, со входов признаков состояния микросхемы. В Рг. М информация поступает с выхода трехвходового коммутатора Км. М. В регистр может быть записана информация либо со входов признаков состояния, либо с выходов Рг. У, либо с двунаправленных выводов при- знаков состояния YN, YC, YZ, YOV. Кроме то- го, в каждый из разрядов регистра может быть записан 0 или 1. Для выполнения записи Таблица 13.25 Микрокоманда Обозна- чение операции Операция Рг. W 0 0 0 0 0 0 м- Запись содержи- мого Рг. М в Рг. N 0 0 0 0 0 1 1 - ► У Запись 1 во все разряды регистра 0 0 0 0 1 0 .V - ->м Регистровый об- мен (Рг. А4->-Рг. У 0 0 0 0 1 1 0 - >У Запись 0 во все разряды регистра Примечание. X — допускается напряжение как низкого, так и высокого уровня; V —логическая операция ИЛИ. необходимо, чтобы иа вход разрешения записи в регистр СЕМ было подано напряжение низ- кого уровня. Если иа вход СЕМ подано напря- жение высокого уровня, то запись в Рг. М запрещена. Как и Рг. N, Рг. М позволяет выполнять поразрядные операции, регистровые операции и запись сигналов со входов признаков состо- яния (IC, IN, IZ, IO V). Управление регистро- выми операциями и операциями записи осуще- ствляется сигналами со входов 15—10. Поразрядные операции выполняются с по- мощью сигналов разрешения записи ЕС, EN, Таблица 13.27 Микрокоманда Обозна- чение операции Операция Рг. М «о 1 со । О» 0 0 0 0 0 0 УС-^ YZ-: YN-+ YOV- МС, ~ MZ MN, MOV Запись информа- ции с выводов Y 0 0 0 0 0 1 1 -> М Запись 1 во все разряды регистра 0 0 0 0 1 0 N м Регистровый обмен Рг. Л'->-Рг. М 0 0 0 0 1 1 0-е м Запись 0 во все разряды регистра 0 0 0 1 0 1 М - >м Запись в регистр инвертирован- ной информации 149
Таблица 13.28 Таблица 13.29 Микрокоманда Обозначение операции Операция Рг. M 0 0 0 1 0 0 IZ -> MZ, Запись для вы- IN -> ММ, полиения сдвига MOV -> мс. с использованием МС -+ MOV признака перепол- нения 0 0 1 0 0 X IZ^-MZ, Запись с инверси- 0 1 I 0 0 X /с -> мс. ей признака пере- I 0 1 0 0 X IN -+MN, носа I 1 1 0 0 X IOV -> MOV 0 0 0 1 1 X 0 0 1 с 1 X 0 0 I 1 X X 0 1 0 X X X IZ MZ. Запись непосред- 0 1 1 0 1 X ственно со входов 0 I 1 I X X !C-+ мс, признаков состоя- 1 0 0 X X X' IN -► MN, ния IZ, /С, IN 1 0 1 0 1 X IOV MOV IOV 1 0 1 I X X 1 1 0 X X X 1 1 1 0 1 X 1 I 1 1 X X Примечание. X — допускается напряжение как низкого, так н высокого уровня. Состояние входов Состояние входов/выходов У OEY /5 14 1 X X Выключено 0 0 X Рг. N^-Y 0 1 0 Рг. М^У - 0 1 1 IC, IZ, IN, IOV-+YC, YZ, YN, YOV Примечание. X — состояние входа безразлично, 1:OV, EZ. Чтобы записать информацию в ка- кой-либо из разрядов Рг. М, необходимо по- дать напряжение низкого уровня на вход СЕМ и на соответствующий разряду вход разреше- ния записи. Если на вход разрешения записи подано напряжение высокого уровня, то запись в соответствующий этому входу разряд Рг. М запрещена. Запись во все разряды Рг, М за- прещена, если на вход СЕМ подано напряже- ние высокого уровня. Регистровые операции и операции записи в Рг. М представлены в табл. 13.27 и 13.28 со- ответственно. Таблица 13.30 Вход микро- команды Значение сигнала на выходе СТ 13 /2 11 10 !5=t4==Q /5 = 0, /4=1 15~V /4=0 15-14^1 0 0 0 0 (NN®NOV)\JNZ (NN © NOV) \]NZ (MN®M0V)\] MZ (IN ® IOV) V IZ 0 0 0 1 (NN®NOV)f\NZ (NN ® NOV)[\NZ (MN®M0V)J\MZ (IN ® IOV) A IZ 0 0 1 0 NN®NOV NN ® NOV MN © MOV IN © IOV 0 0 1 1 NN®NOV NN © NOV MN ® MOV IN ® IOV 0 1 0 0 NZ NZ MZ IZ 0 1 0 1 NZ NZ ~MZ 7z 0 1 1 0 NOV NOV MOV IOV 0 1 1 1 NOV NOV MOV IOV 1 0 0 0 NC V NZ NCXJNZ MCXJMZ icxj IZ 1 0 0 1 NC /\ NZ 'NC'f\NZ MC\MZ IC f\lz 1 0 1 0 NC NC MC IC 1 0 1 1 ~NC Tic мс" 7c 1 1 0 0 NC V NZ "NCXJNZ MCXJMZ Tc\! iz 1 1 0 1 NC f\ NZ NC\NZ MC\MZ IC J\7z 1 1 1 0 IN ® MN NN MN IN 1 1 1 1 IN ® MN NN MN IN Примечание. V — логическая операция ИЛИ; Л — логическая операция И; - - логическая опе- рация исключающее ИЛИ. 150
Таблица 13.31 Соотношение Числа без знака Числа в дополнительном коде Состояние /3. 12, //, 10 Состояние 13, 12, 11, 10 СТ~1 CT-0 СТ=1 А = В z=/ 0100 0101 Z = 1 0100 0101 А^В z=o 0101 0100 Z = 0 0101 0100 А^В С = 1 1010 1011 N ф OVR = 1 ООП 0010 А<В с=о 1011 1010 N ф OVR -= 1 0010 ООН А>В С /\~Z = 1 1101 1100 (Al® OVR) KZ= 1 0001 0000 А<В с V z = 1 1100 1101 (N ф OVR) V Z= 1 0000 0001 Примечание. V—логическая операция ИЛИ;/\ —логическая операция И; ©—логическая опе- рация исключающее ИЛИ. Трехвходовый коммутатор признаков состо- яния (КПС) выбирает сигналы от одного из трех источников: с выходов Рг. М, Рг. /V или со входов признаков состояния. Информация с выхода КПС поступает на схему проверки ус- ловия (СПУ) и на буфер признаков состояния (БПС). Если на все входы 15—10 одновремен- но подано напряжение низкого уровня, то дву- направленные выводы У являются входами не- зависимо от сигнала на входе OEY. В осталь- ных случаях управление КПС и БПС осущест- вляется сигналами со входов OEY, 15 и 14 (табл. 13.29). Блок проверки условия (БПУ) состоит из схемы проверки условия (СПУ), коммутатора условия (КУ) и схемы управления поляр- ностью (СУП). Он предназначен для форми- рования выходного сигнала условия. В каче- стве данных для этого, в зависимости от сиг- налов 15, 14, БПУ может использовать содер- жимое Рг. N или Рг. М или сигналы со входов признаков состояния (табл. 13.30). Над исходными данными схема проверки условия может совершать восемь типов опера- ций, результаты которых поступают на вось- мивходовый коммутатор условия (КУ). По- следний выбирает один из восьми результатов, который может поступать на выход БПУ (вы- ход СТ) либо без изменений, либо проинвер- тированным с помощью СУП. Выход микросхе- мы СТ, на который поступает сигнал условия, сформированный БПУ, является выходом иа три состояния. Если на вход ЕСТ подано на- пряжение низкого уровня напряжения, то на входе СТ появляется сигнал условия. Если на вход ЕСТ подано напряжение высокого уров- ня, то выход СТ переходит в состояние «вы- ключено». В табл. 13.31 показаны состояния выхода условия СТ при определении соотношения меж- ду операндами й и В после операции А—В. Блок управления переносом (БУП) форми- рует сигнал переноса СО под управлением сиг- налов микрокоманды (табл. 13.32). При этом в качестве сигнала переноса может выбирать- ся один из семи сигналов, что позволяет реа- лизовать операции сложения и вычитания обычной и двойной длины. Наличие входа СХ позволяет организовать специальные функции путем соединения входа СХ с выходом Z мик- ропроцессорного устройства. Сигналы 112 и Ill управляют выбором источника для получения сигнала переноса. Если //2=0, то С0=111-, если //2=1, /// = 0, то C0-CX-, если Н2=Н1 = 1, то выходным сигналом служит один из следующих: NC, NC, МС, МС — в зависимости от сигналов 15, 13, 12, И (см. табл. 13.32). Блок управления сдвигами (БУС) предна- значен для организации арифметических, логи- ческих и циклических сдвигов (всего 32 вари- анта) в зависимости от значений сигналов мик- рокоманды 110—16 (табл. 13.33). Сигнал НО определяет направление сдвига. При низком Таблица 13.32 Примечание. X — состояние входа безразлично. 151
Таблица 13 33 Сигналы на входах Двунаправленные выводы Состояние MC //<? 19 18 17 16 PF0 PF3 PQO PQ3 1 2 3 4 5 6 0 0 0 0 0 Z 0 z 0 0 0 0 0 1 Z 1 z 1 0 0 0 1 0 Z 0 z MN PFO 0 0 0 1 1 Z 1 z PFO — 0 0 1 0 0 Z М с z PFO — 0 0 1 0 1 Z MN z PFO 0 0 1 1 0 Z 0 z PFO — 0 0 1 1 1 Z 0 z PFO PQO 0 1 0 0 0 Z PF0 z PQO PFO 0 1 0 0 1 Z МС z PQO PFO 0 1 0 1 0 Z PF0 z PQO — 0 1 0 1 1 Z IC z PFO — 0 1 1 0 0 Z МС z PFO PQO 0 1 1 0 1 Z PQ0 z PFO PQO 0 1 1 1 0 Z IN9IOV z PFO — 0 1 1 1 1 Z PQO z PFO — 1 0 0 0 0 0 Z 0 Z PF3 1 0 0 0 1 1 Z 1 Z PF3 1 0 0 1 0 0 Z 0 Z — 1 0 0 1 1 1 Z 1 Z — 1 0 1 0 0 PQ3 Z 0 Z PF3 1 0 1 0 1 PQ3 Z 1 Z PF3 1 0 1 1 0 PQ3 Z 0 Z — 1 0 1 1 1 PQ3 Z 1 Z — 1 1 0 0 0 PF3 Z PQ3 Z PF3 1 1 0 0 1 МС Z PQ3 Z PF3 1 1 0 1 0 PF3 Z PQ3 Z — 1 1 0 1 1 МС Z 0 Z — 1 1 1 0 0 PQ3 Z MC Z PF3 1 1 1 0 1 PQ3 Z PF3 Z PF3 1 1 1 1 0 PQ3 z MC Z — 1 1 1 1 1 PQ3 z PF3 Z — Примечание Z - состояние «отключено», «-» — без изменений Таблица 13 34 Параметр Обозначение Значения параметров [макс (мии.)] Режим измерения Вывод микросхем Выходное напряжение низкого уровня, В (/о/. 0,5 Iо L — 16 мА 1 оL~8 мА YZ, YC, YN, YOV СО, PF3, PFO, PQ3, СТ PQ0. Выходное напряжение высокого уровня, В Сон (2,4) 1он ——1,6 мА мА YZ, YC, YN, YOV СО, PF3, PFO, PQ3, СТ PQ0, Входной ток низкого уровня, мА 1IL — 1,8 — 1,35 — 1,2 —0,7 —0,45 1/jl —0,5 В CEN, СЕМ SE, PF3, PFO, PQ3, PQ0 IZ, IC, IN, IO V Т Остальные входы Входной ток высокого уровня, мкА 1 /И 110 80 70 60 20 С,н = 2,7 В PF3, PFO, PQ3, PQ0 CEN, СЕМ YZ, YC, YN, YOV IZ, IC, IN, IOV.SE Остальные входы 152
Продолжение табл. 13.34 11араметр Обозначение Значения параметров [макс, (мин,)] Режим измерения Вывод микросхемы Максимальный входной ток высокого уровня, мА Ток потребления, мА Время задержки распро- странения сигнала, нс 1 /Нпшх ^СС tp 1,0 318 50 58 37 39 38 50 32 37 С, и =5,5 В 1/сс = 5,25 В Сц = 50 пФ Все входы Or Т до Y От 7 до СТ От Т до СО От Т до PF3, PFO, PQ3, PQ0 От IC, IZ, IN, IO V до Y От 10—15 до СТ От 16—110 до PF3, PF0, PQ3, PQ0 От 111, 112 до СО Таблица 13.35 Вход Значения па- раметров, нс (см. рис. 13.11) (SI.I *н IZ, IO V, IN 14 5 IC (11—13 = 001) 27 5 IC (11—/3=#001) 14 5 CEN 18 3 СЕМ 23 3 EZ, EC, EN, EOV 22 3 10—15 41 1 16—110 40 1 SE 36 0 YZ, YC. YN, YOV (10—15 = 0) 15 5 PF3, PFO, PQ3, PQO 20 5 Таблица 13.36 Измеряемая пень Значения tp. нс Разрешение (Q-50 пФ) Запрет - 5 нФ) От ЕСТ до СТ 23 18 От SE до PF3. PFO, PQ3, PQ0 30 12 От НО до PF3, PFO. PQ3, PQ0 39 29 От OEY до YZ, YC, YN, YOV 26 21 От 10—15 до YZ, YC. YN. YOV 28 40 уровне на входе НО реализуются сдвнгн в сторону младших разрядов (вправо), при вы- соком уровне — в сторону старших разрядов (влево). Конкретный вариант сдвига определя- ют сигналы 19—16. Выводы PFO, PF3, PQO, PQ3 являются вы- водами на три состояния и управляются сиг- налом SE. Если на вход SE подано напряже- ние низкого уровня, то двунаправленные вы- воды сдвига разрешены. Если на вход SE по- дано напряжение высокого уровня, то выводы PFO, PF3, PQO, PQ3 находятся в состоянии «отключено». Устройство управления (УУ) является ком- бинационной схемой; оно преобразует сигналы микрокоманды со входов 15—10 во внутрен- Таблица 13.37 Измеряемая цепь Значения /р, нс От IZ, IC, IN, IO V до YZ, YC, YN, YOV 38 От Т до YZ, YC, YZ, YOV 41 От 14, 15 до YZ, YC, YN, YOV 35 От !Z, IC, IN, IO V до СТ 33 От Т до СТ 36 От 10—15 до СТ 33 От СХ до СО 20 От Т до СО 27 От 11, 1'2, 13, 15, 111, 112 до СО 39 От PF3, PQ3 до PF0 19 От PFO, PQ0 до PF3 19 От IC, 1N, 10 V до PF3 26 От PF3, PQ3 до PQ0 19 От PFO, PQ0 до PQ3 19 От Т до PF3, PFO, PQ3, PQ0 30 От 16—10 до PF3, PFO, PQ3, PQ0 26 153
ние \ правляющне сигналы для блоков микро- схема В ч.бл. 13.34 приведены основные электри- ческие параметры микросхемы КМ1804ВР2. Типовые значения динамических параметров представлены в табл. 13.35 (времена подготов- ки и удержания сигнала иа входах относи- тельно положительного фронта тактового сиг- нала), 13.36 (времена задержки распростране- ния сигнала для выходов с тремя состояни- ями), 13.37 (комбинационные задержки). 13.5. Микросхемы КМ1804ВУ1 и КМ1804ВУ2 Микросхемы КМ18О4ВУ1, КМ1804ВУ2 — 4-разрядные секции управления адресом мик- рокоманд, предназначены для работы в со- ставе блоков микропрограммного управления центральных процессоров микро-ЭВМ, микро- контроллеров и других устройств. Наращива- ние разрядности позволяет адресовать ПЗУ микрокоманд практически любой емкости. Микросхемы имеют: четыре источника ад- реса микрокоманд (внешний вход, внутренний регистр адреса, регистр-счетчик, стек); возмож- ность возврата к нулевому адресу; возмож- ность вложения подпрограмм с помощью стека глубиной четыре слова. Кроме того, в микро- схеме КМ18О4ВУ1 предусмотрена возмож- ность поразрядного маскирования выхода ад- реса по схеме ИЛИ. Условные графические обозначения микро- схем КМ1804ВУ1 и КМ1804ВУ2 приведены на рис. 13.12 и 13.13, соответственно, назначение выводов дано в табл. 13.38 (КМ1804ВУ1) и 13.39 (КМ1804ВУ2), структурные схемы по- казаны на рис. 13.14 (КМ1804ВУ1) и рнс. 13.15 (КМ1804ВУ2), временная диаграмма входных сигналов — на рис. 13.16. В состав обеих микросхем входят следую- щие основные функциональные узлы: блок вы- борки адреса (БВА); регистр адреса (РА); счетчик микрокоманд (СМК1; стек (СТ); бу- ферная схема адреса (БА). Блок выборки адреса представляет собой 4-входовый 4-разрядный мультиплексор, рабо- та которого зависит от состояния входов SO, S1. Кроме того, в состав БВА входят элемен- ты, обеспечивающие передачу на выходные ши- ны сигнала ZA (нулевой адрес) и сигналов от входов маски (OR3—ORO) (последнее толь- ко для КМ1804ВУ1 . Регистр адреса (РА) представляет собой 4-разрядный регистр, построенный на тригге- рах Д-тнпа. Запись информации в него проис- ходит по положительному перепаду тактово- го сигнала Т при наличии сигнала разрешения со входа RE. В микросхемах КМ1804ВУ1 ин- Рис. 13.12. Условное графическое обозна- чение КМ1804ВУ1 Таблица 13.38 Вывод Обозна- Тип чеиие вывода Функциональное назначение выводов 1 RE Вход Разрешение записи в регистр адреса 2 R3 Вход Регистр адреса, 3-й разряд 3 R2 Вход Регистр адреса, 2-й разряд 4 R1 Вход Регистр адреса, 1-й разряд 5 R0 Вход Регистр адреса, 0-и разряд 6 OR3 Вход Маска, 3-й разряд 7 D.3 Вход Адрес, 3-й разряд 8 OR2 Вход Маска, 2-й разряд 9 D2 Вход Адрес, 2-й разряд 10 OR1 Вход Маска, 1-й разряд 11 D1 Вход Адрес, 1-й разряд 12 ORO Вход Маска, 0-й разряд 1,3 DO Вход Адрес, 0-й разряд 14 GND — Общий 15 ZA Вход Установка 0-го адреса 16 SO Вход Выбор адреса, 0-и разряд 17 SI Вход Выбор адреса, 1-й разряд 18 YO Выход Адрес, 0-й разряд 19 Y1 Выход Адрес, 1-й разряд 20 Y2 Выход Адрес, 2-й разряд 21 Y,3 Выход Адрес, 3-й разряд 22 2,3 OE Вход Разрешение выходов адреса CO Вход Перенос в счетчик микрокоманд 24 C4 Выход Перенос счетчика микрокоманд 25 FE Вход Разрешение управления стеком 26 PUP Вход Управление стеком 27 T Вход Тактовый сигнал 28 Ucc — Напряжение питания 154
Таблица 13.39 Вывод Обозна- чение Тип вывода Функциональное назначение выводов 77 7 S со по MS С4 № 5 П2 12 1 т Вход Тактовый сигнал ч 73 из Y0 2 Ucc — Напряжение питания 20 Y1 73 _ 3 RE Вход Разрешение записи в регистр адреса PUP /4 4 D3 Вход Адрес, 3-й разряд УО 5 D2 Вход Адрес, 2-й разряд 17 31 УЗ 75 6 DI Вход Адрес, 1-й разряд 9 7 DO Вход Адрес, 0-й разряд 8 GND — Общий 3 , 9 ZA Вход Установка 0-го адреса / —— - 10 SO Вход Выбор адреса, 0-й разряд т "сс 11 SI Вход Выбор адреса, )-й разряд /6 . 8 12 YO Выход Адрес, 0-й разряд — 13 ) / В ыход Адрес, 1-й разряд 14 Y2 Выход Адрес, 2-й разряд Рис. 13.I3. условное 15 Y3 Выход Адрес, 3-й разряд графическое обозна- 16 OE Вход Разрешение выходов адреса чение КМ1804В> 2 17 CO Вход Перенос в счетчик микрокоманд 18 C4 Выход Перенос счетчика микрокоманд 19 FE Вход Разрешение управления стеком 20 PUP Вход Управление стеком формация в РА может быть записана со вхо- дов регистра адреса (R3—R0), а в микросхе- мах КМ1804ВУ2 — с прямых входов адреса (D3—D0). Счетчик микрокоманд (СМК) представляет собой 4-разрядный регистр, построенный на D-триггерах с динамической записью, и комби- национную схему сумматора. Запись информа- ции в регистр СМК, поступающей с сумматора СМК, производится по положительному пере- паду тактового сигнала Т. Информация на сумматор поступает с выхода БВА и может быть модифицирована с помощью сигнала переноса СО. Стек (СТ) представляет собой внутреннюю память секции управления адресом микро- команды. Он состоит из накопителя емкостью 4X4 бит, указателя стека, схемы записи считы- вания и регистра состояния СМК. Информа- ция в стек может быть записана из СМК ми- Рис. 13.14. Структурная схема КМ1804ВУ1 кросхемы. Работа стека зависит от состояния входов PUP 11 FE, а также от тактового сиг- нала Т. Буферная схема адреса (БА) представляе, собой четыре ТТЛ Hl-вентиля с тремя состоянм Рис. 13 15. Структурная схема КМ1804ВУ2 Рис. 13.16. Временная диаграмма входных сщ- налов КМ1804ВУ1 и КМ1804ВУ2. Значения параметрон tsi и tH приведены и табл. 13.44 155
Т а б л и ц а 13.40 Таблица 13.42 Сигналы на входах Адрес микрокоманды на выходах Y3 -Y0 OR.i- ОНО Z 1 01. X X 1 Состояние «отклю- X 1 0 1 0 0 чено» 0000 1 (1,-0/?.) 0 1 0 Адрес микрокоманды, передаваемый БВА Примем а и и < А' СОСГОЯНИ1 вхо и безразлично. Сигналы иа входах Состояние стека F Е PUP 1 X Хранение и режим чтения 0 1 Увеличение указателя стека, запись информации 0 0 Уменьшение указателя стека, чтение информации П рнмеча ни е А' состояние входа безразлично ямн. Работой БА управляв! вход разрешения выбора адреса ОЕ. Микросхемы предназначены для управле- ния адресом микрокоманды в составе опера- ционных блоков микро-ЭВМ. Адрес микро- команды может быть сформирован либо внут- ренними блоками секции, либо передан непо- средственно с входных шин. Как указывалось выше, передачу адреса микрокоманды на выходные шины секции про- изводит БВА. Работу БВА удобно рассматри- вать совместо с работой блока БА. Если на входе ОЕ пирсутствует напряжение высокого уровня, то выходы УЗ—У0 находятся в высо- коомном состоянии. Если на вход ОЕ подан логический 0, то БА передает на выходные шины УЗ—У0 ад- рес микрокоманды, определяемый БВА. Наличие входа ZA в секции позвюляег лег- ко переходить к нулевому адресу. Если на входе ZA лог. 0, то выходы УЗ—У0 обнулены независимо от микрокоманды, передаваемой БВА. Кроме перечисленных выше входов ОЕ и ZA в микросхемах КМ18О4ВУ1 есть входы маски OR3—ORO. Если на входах маски лог. 1, то на соответствующих выходах УЗ—У0 так- же присутствует лог. 1. В табл. 13.40 приведе- но описание работы входов ОЕ, ZA и OR3— ORO. Как видно из таблицы, при наличии сиг- налов на входах ОЕ — лог. 0, ZA — лог. 1, OR3—OR0 — лог. 0, на выходы УЗ—У0 пе- редается информация, определяемая БВА. Таблица 13.41 Chi налы на входах Адрес микрокоманды, передаваемый БВА от источника 61 So 0 0 I 1 0 Счетчик микрокоманд 1 Регистр адреса О Стек 1 Прямые входы адреса D3—D0 Таблица истинности БВА приведена в табл. 13.41. Так как БВА является комбинаци- онной схемой, то его работа особых пояснений не требует. Работа трех внутренних источников адреса микрокоманды (РА, СМК, СТ) не зависит друг от друга, поэтому рассмотрим работу каждого источника оттельно. Как уже указы- валось, РА состоит из четырех D-триггеров. Если на входе RE лог. 0, то адрес микро- команды записывается в РА в микросхемах КМ1804ВУ1 со входов R3—R0, а в микросхе- мах КМ18О4ВУ2 — со входов D3—D0. Запись адреса микрокоманды происходит по положи- тельному перепаду тактового сигнала Т Если же на вход RE подана лог. 1, то запись ново- го адреса микрокоманды в РА не происхо- дит н в нем хранится последний записанный адрес микрокоманды. Работа СМК зависит от состояния сигналов на входах СО (вход переноса в СМК) и Т (тактовый вход). Если на вход СО подана лог. 1, то в регистр СМК по положительному фронту сигнала Т запишется адрес микро- команды, присутствующий в данный момент на выходе БВА, плюс 1. Если же на вход СО подан лог. 0, то адрес микрокоманды в регистр СМК записывается не модифицированным. Выходной сигнал переноса появится на выходе С4 в том случае, когда на вход СО, по- дана лог. 1 и на всех выходах УЗ—У0 тоже лог. 1. Чтобы организовать последовательное прибавление 1 к адресу микрокоманды, необ- ходимо на входы SO, S1 подать лог. 0 (см. табл. 13. 41), а на вход СО — лог. 1. Стек микросхем организован по принципу памяти магазинного типа. Стек может рабо- тать в трех режимах: чтение без изменения состояния указателя стека (хранение!, запись адреса микрокоманды после увеличения на 1 содержимого указателя стека и чтение адреса микрокоманды и уменьшение на 1 содержимо- го указателя стека. В табл. 13.42 показаны состояния управля- ющих входов стека для трех режимов рабо- ты. Рассмотрим каждый режим работы стека более подробно. 156
Таблица 13.43 Параметр Обозна- чение Значения параметров [макс. (мни.)] Режим измерения Вывод микросхемы Выходное напряжение низкого U О L, 0,5 /о L =12 мА Y3—Y0 уровня, В 0,45 мА С4 Выходное напряжение высоко- го уровня, В U(,u (2,4) I о н = — 1,0 мА Все выходы Входной ток низкого уровня, мА Ль — 1 ,08 —0,72 -0.36 (Ль = 0,4 В СО ОЕ, PUP и D3- -D0 (KM1804BY2) Остальные входы Входной ток высокого уровня, мкА 1,Н 40 20 (Лн=2,7 В СО, PUP и D3—D0 (KM1804BY2) Остальные входы Максимальный входной ток высокого уровня, мА 11Н шаг 1 ,0 (Л и =5,5 В Все входы Ток потребления, мА / СС 130 исс = 5,25 В — Время задержки распростране- ния сигнала, нс tp 102 С/. = 50 пФ От Т до Y, С4 В режиме хранения содержимое указателя стека (указатель стека представляет собой двухразрядный реверсивный счетчик, постро- енный на D-триггерах с динамической записью) остается без изменения и на выходы УЗ—УО может быть прочитана информация из ячейки памяти, на которую указывает указатель сте- ка. Наибольший интерес представляют режи- мы записи и чтения стека. В режиме записи адрес микрокоманды, сформированный в СМК, по положительному фпонту тактового сигнала Т записывается в регистр СМК. Но этому же фронту сигнала Т происходит уве- личение содержимого указателя стека на 1, ко- Таблица 13.44 Вход Значения параметров (см рис 13.16) Время уста- новления tsi . нс Время удер- жания /к. нс RE 22 5 R3—R0 12 5 PUP 30 7 ЕЕ 30 5 СО 30 5 D3 D0 35 3 OR3—ORO 35 3 S0—S1 50 0 ZA 50 0 Примсчани с. Так как в микросхеме КМ1804ВУ2 входы ОЗ- -ОО и R3—R0 объединены, то при гаписи в РА необходимо пользоваться времена- ми /яг- и /н, приведенными в строке R3-- R0. торый указывает на слово в памяти, куда дол- жна произойти запись. Схема записн/считывания стека переводится внутренними сигналами в режим «Запись», и по отрицательному фронту сигнала Т проис- ходит запись адреса микрокоманды в выбран- ное слово памяти стека. В режиме чтения по положительному фрон- ту тактового сигнала Т происходит уменьше- ние на 1 содержимого указателя стека и схе- ма записи/считывания переводится в режим «Считывание». После этого на входы УЗ—УО может быть выведен адрес микрокоманды, записанный в пек предпоследним Т а б л и ц а 13.45 В ход Значения /Р до выходов, нс У.ч \'и С4 D3 D0 17 30 SO, S1 30 48 OR3—ORO 17 30 СО — 14 ZA 30 48 ОЕ=0 (разрешение) 25 — ОЕ — 1 (запрет) (Ci. = 5 пФ) 25 — /' (положительный перепад. 81 = 0, S0=\} 4 3 55 Т (положительный перепад, S/ = 0, S0 = 0) 43 55 Г (положительный перепад, Sl = 1, 80 = 0) 80 95 157
Таблица 13.46 Рис. 13.17. Условное графическое обозна чение КМ1804ВУЗ Вывод Обозна- чение Тип вывода функциональное на значение выводов / ME Выход Разрешение работы ПЛМ 9 PUP Выход Управление стеком 3 FE Выход Разрешение управления стеком 4 SI Выход Выбор адреса, 1-и разряд 5 So Выход Выбор адреса, 0-й разряд 6 CTL Выход Разрешение загрузки счетчика 7 CTE Выход Разрешение счета 8 GND — Общий 9 PE Выход Разрешение регистра микрокоманд It! TST Вход Признак ветвления И 10 Вход Микрокоманда, 0-й разряд 12 11 Вход Микрокоманда. 1-й разряд 13 12 Вход Микрокоманда, 2 й разряд 14 13 Вход Микрокоманда. 3-й разряд 15 OE Вход Разрешение выходов 16 U<:c — Напряжение питания В табл. 13.43 приведены основные элек- трические параметры микросхем. Типовые значения динамических параметров приведены в табл. 13.44 (времена подготовки и удержа- ния сигнала на входах относительно положи- тельного фронта тактового сигнала) и 13.45 (комбинационные задержки при С>. = 50 пФ). 13.6. Микросхема КМ1804ВУЗ Схема управления выбором следующего ад- реса КМ1804ВУЗ, предназначенная для сов- местной работы с секциями управления адре- сом микрокоманд КМ1804ВУ1, КМ1804ВУ2 в составе устройств микропрограммного управ- ления, представляет собой декодирующую матрицу, обеспечивающую выполненнне 16 раз- личных типов условных и безусловных опера- ций выборки следующего адреса микрокоман- ды и изменяет управляющие сигналы в зави- симости от состояния входа признака ветвле- ния. Кроме сигналов управления секциями КМ18О4ВУ1, КМ18О4ВУ2 обеспечивает управ- ление счетчиком команд, ПЛМ дешифратора команд и регистром микрокоманд. Условное графическое обозначение микро- схемы приведено на рис. 13.17, назначение выводов — в табл. 13.46, структурная схема показана на рис. 13.18. Микросхема КМ1804ВУЗ содержит дешиф- ратор (ДШ) на четыре входа и шестнадцать выходов, логические схемы опроса состояния входа признака ветвления и выходные буфер- ные схемы на три состояния, работой которых управляет вход ОЕ. Если на вход ОЕ подано напряжение высокого уровня, то выходные буферные схемы находятся в состоянии «от- ключено». При низком уровне иа входе ОЕ выходные буферные схемы передают инфор- мацию, сформированную микросхемой. 158 Таблица 13.47 Сигналы на входах Сигналы на выходах а. К». - - с £ 2S 0 0 0 0 0 1 1 1 1 0 0 1 0 0 0 0 0 1 1 1 1 1 0 0 1 0 0 0 0 1 0 0 0 1 1 1 1 1 0 0 0 0 1 1 1 1 0 1 1 1 1 0 0 0 1 0 0 1 1 1 1 1 1 0 1 0 0 1 0 1 1 1 1 1 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 1 1 I 1 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 1 0 0 1 0 0 0 1 0 1 1 0 0 1 0 1 0 0 1 0 1 1 1 1 0 0 1 0 1 1 1 1 0 I 1 1 1 0 0 1 1 0 0 0 0 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 1 0 1 1 1 1 1 0 1 0 1 0 0 1 1 0 0 1 1 1 1 1 0 1 0 1 0 0 0 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 0 1 1 1 0 1 0 1 1 0 0 0 1 0 1 1 1 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 0 0 0 0 0 1 1 0 1 1 0 1 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 1 0 1 1 1 0 1 1 0 1 1 0 0 0 0 1 1 1 0 1 1 1 0 0 0 0 1 1 1 1 1 0 1 1 1 0 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0
Таблица 13.4М Параметр Обозна- чен не Знамения парг мет- ров |макс. (мин.)] Режим измерения Вывод микросхемы Выходное напряжение низкого уров- Uol. 0,45 /о /. = 16 мА Все выходы ня, В Выходное напряжение высокого уров- О н (2,4) /„„=-• 2 мА Все выходы ня, В Входной ток низкого уровня. мА hi. -0,25 Он, = 0,45 В Все входы Входной ток высокого уровня, мкА 1 111 25 U, „=2.7 В Все входы Максимальный входной ток высокого 1IH ///«л 1 ,0 (/,„ = 5,5 В Все входы уровня, мА Ток потребления, мА 1 СС 115 (/се = 5,25 В — Время задержки распространения h> 50 Ci, = 15 нФ От 10—13, TST сигнала *, нс до выходов Типовое время задержки распространения chi нала от входа ОД до выходов 30 нс. Зависимость между входными и выходны- ми сигналами приведена в табл. 13.47. Так как микросхема является чисто комбинацион- ной, то временных ограничений на подачу входных сигналов не налагается. Рис. 13.18. Структурная схема КМ1804ВУЗ Основные электрические параметры микро- схемы КМ1804ВУЗ приведены в табл. 1’3.43. 13.7. Микросхема КМ1804ВУ4 Микросхема КМ1804ВУ4 — 12-разрядная схема управления адресом микрокоманд, предназначена для формирования адреса ПЗУ микрокоманд емкостью до 4К слов в составе устройств микропрограммного управления, выполняет 16 микрокоманд, которые опреде- ляют один из пяти способов формирования адреса. Микросхема обеспечивает также: получение следующего адреса наращивани- ем предыдущего на 1; многократное повторение одного и того же адреса; условный или безусловный переход к ад- ресу, содержащемуся либо в одном из внут- ренних источников, либо находящемуся на адресном входе микросхемы; условный или безусловный переход к под- программе; организацию циклов. Условное графическое обозначение микро- схемы приведено на рис. 13.19, назначение вы- водов — в табл. 13.49, структурная схема по- казана на рис. 13.20. Временная диаграмма входных сигналов аналогична микросхемам КМ18О4ВУ1 и КМ1804ВУ2 (см. рис. 13.16). Микросхема КМ1804ВУ4 имеет 12-разряд- ный выход адреса (выходы У11—У0), входы управления, 12-разрядный вход адреса (вхо- ды D11—D0), который используется для ввода начального адреса подпрограммы или адреса перехода. Под действием внешних сигналов управления микросхема формирует последова- тельность адресов, которые с выходов микро- схемы поступают на адресный вход микро- программной памяти вычислительного устрой- 159
Таблица 13.49 тг sa 38 40 01 02 03 04 OS /7 Об 19 07 21 08 09 23 ОЮ 21 011 12 ZZ7 7П 11 9 IZ 8 13 /4 > сс 13 • ссе 32 со 31 т !5 29 Ъое YU 88 Y! Y2 J7 Y3 39 Y4 1 YS 3 Y6 18 Y7 20 Y8 22 Y9 24 YfO 26 YU 28 FH 15 VEi S PE( f 5 t 7 w “cC 6NO t30 Рис. 13.19. Условное графическое обозна- чение КМ1804ВУ4 Вывод Обозна- чение Тки вывода Функциональное назначение выводов 1 Y4 Выход Адрес, 4-й разряд 2 D4 Вход Адрес, 4-й разряд 3 Y5 Выход Адрес, 5-й разряд 4 D5 Вход Адрес, 5-й разряд 5 VE Выход Разрешение источника адреса 6 PE Выход Разрешение регистра микрокоманд 7 MF. Выход Разрешение дешифратора микрокоманд 8 13 Вход Микрокоманда, 3-й разряд 9 12 Вход Микрокоманда, 2-й разряд 10 ucc — Напряжение питания 11 H Вход Микрокоманда, 1-й разряд 12 10 Вход Микрокоманда, 0-й разряд 13 CCE Вход Разрешение условия 14 CC Вход Условие 15 RED Вход Разрешение записи в регистр адреса 16 FL Выход Сигнал «Стек заполнен» 17 D6 Вход Адрес, 6-й разряд 18 Y6 Выход Адрес, 6-й разряд 19 D7 Вход Адрес, 7-й разряд 20 Y7 Выход Адрес, 7-й разряд 21 D8 Вход Адрес, 8-й разряд 22 Y8 Выход Адрес, 8-й разряд 23 D9 Вход Адрес, 9-й разряд 24 Y9 Выход Адрес, 9-й разряд 25 DIO Вход Адрес, 10-й разряд 26 Y10 Выход Адрес, 10-й разряд 27 Dll Вход Адрес, 11-й разряд 28 Yll Выход Адрес, 11-й разряд 29 OE Вход Разрешение выходов адреса 30 GND — Общий 31 T Вход Тактовый сигнал 32 CO Вход Перенос в счетчик адреса 33 Y0 Выход Адрес, 0-й разряд 34 DO Вход Адрес, 0-й разряд 35 Y1 Выход Адрес, 1 -й разряд 36 DI Вход Адрес, 1-й разряд 37 Y2 Выход Адрес, 2-й разряд 38 D2 Вход Адрес, 2-й разряд 39 Y3 Выход Адрес, 3-й разряд 40 D3 Вход Адрес, 3-й разряд ства. Последовательности адресов будет каж- дый раз отвечать последовательность микро- команд, выбираемых из микропрограммной памяти. Микросхема содержит регистр адреса, счет- чик адреса, стек глубиной пять слов, ком- мутатор адреса. Все внутренние регистры мик- росхемы срабатывают по положительному фронту синхросигнала, поступающего на так- товый вход микросхемы. Адресные выходы УН—УО микросхемы яв- ляются выходами на три состояния, что по- зволяет выполнять автоматическую проверку вычислительного устройства. Для этого вы- ходы УН—УО переводятся в состояние «вы- ключено» (О£=4), после чего можно выпол- нять тестовую последовательность микро- 160 команд с помощью прямого доступа к адрес- ной шине микропрограммной памяти. Устройство управления (УУ) представляет собой комбинационную схему, имеющую семь входов. Оно преобразует внешние управляю- щие сигналы (13—10, СС. ССЕ) н внутренний сигнал с ФПН в набор управляющих сигна- лов для блоков микросхемы. Кроме того, УУ вырабатывает три сигнала (РЕ, ME, VE), с помощью которых осуществляется подключе- ние к адресной шине одного из трех внешних источников адреса — либо регистра микро- команд, либо дешифратора команд, либо ре- гистра прерывания. Для каждой микрокоманды вырабатывает- ся только один сигнал разрешения внешнего источника. Чаще всего — это сигнал разреше-
Рис, 13.20. Структурная схема К.М1804ВУ4 ния регистра микрокоманд (табл. 13.50). Вы- ходы двух других внешних источников адреса в этом случае должны находиться в состоя- нии «выключено». Сигнал разрешения подается напряжением низкого уровня. Регистр адреса (РА) состоит из 12 тригге- ров D-типа. При наличии сигнала разрешения (RLD=0) в РА записывается информация с адресного входа вне зависимости от микро- команды. Если сигнал разрешения записи от- сутствует, то в зависимости от выполняемой микрокоманды РА используется либо для запи- си и хранения адреса или числа циклов, при- нимаемых от внешнего источника по шине D, либо в качестве счетчика циклов, содержимое которого с приходом положительного фронта синхросигнала уменьшается на 1 вплоть до об- нуления счетчика Если в РА загружено число N, то при со- ответствующей микрокоманде цикл будет вы- полнен N+\ раз. Равенство 0 содержимого РА служит сигналом для выполнения услов- ных переходов (см табл. 13.50). Переключе- ние РА в тот или иной режим работы про- исходит под действием внутренних управляю- щих сигналов, поступающих с УУ. Формирователь признака нуля (ФПН) вы- рабатывает внутренний управляющий сигнал, когда содержимое РА становится равным 0. Счетчик адресов (СА) состоит из регистра микрокоманд и схемы приращения. Каждый текущий адрес с выхода КА поступает через схему приращения в регистр СА для записи. Регистр микрокоманд построен на 1)2’ тригге- рах D-типа. Адрес с выхода регистра посту- пает на вход КА и вход стека. Схема приращения СА имеет вход перено- са СО. Если на этот вход подано напряжение низкого уровня, то адрес с выхода КА через схему приращения поступает в регистр СА без изменений Если на вход СО подано напря- жение высокого уровня, то адрес с выхода КА, проходя через схему приращения, посту- пает в регистр СА увеличенным на 1. Таким образом, будут выполняться микрокоманды, расположенные в микропрограммной памяти друг за другом по нарастающим адресам. При выполнении нулевой микрокоманды происходит обнуление СА (если С0 = 0). Стек (СТ) — память, устроенная по прин- ципу «последним записан — первым прочи- тан», предназначен для хранения адреса воз- врата при выполнении подпрограмм. Стек име- ет глубину пять 12-разрядных слов и состоит нз указателя стека, дешифратора, накопителя и схемы записи/считывания. Указатель стека представляет собой ревер- сивный счетчик. Изменение информации в нем происходит по положительному фронту такто- вого сигнала. Дешифратор преобразует сигналы с указа- теля стека для определения одного из регист- ров накопителя. Схема записи/считывания обеспечивает не- обходимую коммутацию для передачи инфор- мации либо в накопитель стека при записи, ли- бо из накопителя при считывании. На выходе стека можно прочесть информацию, записан- ную в него последней. В зависимости от выполняемой микро- команды возможны следующие четыре режи- ма работы стека: очистка стека; указатель стека переходит в нулевое положение. Чтение из стека при этом не имеет смысла; хранение; состояние указателя стека неиз- менно, на выходе стека можно читать инфор- мацию, записанную в него последней; запись в стек; при последовательной запи- си в стек состояние указателя стека изменяет- ся по следующему закону; 0—1—2—3—4—5. При переходе указателя стека в состояние «5» на выходе FL микросхемы появляется сиг- нал «Стек заполнен» (напряжение низкого уровня). При записи в заполненный стек со- 6 Зак 915 161
Таблица 13.50 Входы 13. 12, /1, 10 Обозна- чение Микрокоманда Влияние содержи- мого РА иа микро- команду Состоя- ние РА Условие не выпол- няется (ССЕ-- 0 и СС 1) Условие выполняется (ССЕ=/ илн СС ~ 0) Состояние выходов Соетояние Y Состояние стека Я к О о L Состояние 1 стека S а. == 0000 JZ Переход к нулевому адресу Не влия- ет Хране- ние 0 Очистка 0 Очистка 1 0 1 0001 CJS Условный переход к подпрограмме по ад- ресу из регистра мик- рокоманд Не влия- ет Хране- ние СА Хране- ние D Запись 1 0 1 0010 JMAP Переход к адресу из дешифратора команд Не влия- ет Хране- ние D Хране- ние D Хране- ние 1 1 0 ООП CJP Условный переход к адресу нз регистра микрокоманд Не влия- ет Хране- ние СА Хране- ние D Хране- н не 1 0 1 0100 PUSH Запись в стек и услов- ная запись в РА (счетчик циклов) Не влия- ет Хране- ние, если ССЕ=0 и СС=1; запись, если СС£=1 или СС=О СА Запись СА Запись 1 0 1 0101 JSRP Переход к одной из двух подпрограмм: по адресу либо из РА, либо из регистра микрокоманд Не влия- ет Хране- ние РА Запись D Запись 1 0 1 оно CJV Условный переход к адресу из внешнего источника Не влия- ет Хране- ние СА Хране- ние D Хране- ние 0 1 1 0111 JRP Переход к адресу, ус- ловно выбираемому либо из РА, либо из регистра микрокоманд Не влия- ет Хране- ние РА Хране- ние D Хране- ние 1 0 1 1000 RFCT Повторение цикла, если счетчик (РА) не равен 0 РА =£ 0 РА = 0 Вычита- ние 1 Хране- ние СТ СА Хране- ние Считыва- ние СТ СА Хране- ние Считы- вание 1 1 0 0 1 1 1001 RPCT Повторение адреса из регистра микро- команд, если счетчик (РА) не равен 0 РА#=0 РА -= 0 Вычита- ние 1 Хране- ние D СА Хране- ние Хране- ние D СА Хране- ние Хране- ние 1 1 0 0 1 1 1010 CRTN Условный возврат нз подпрограммы Не влия- ет Хране- ние СА Хране- ние СТ Считы- вание 1 0 1 1011 CJPP Условный переход к адресу из регистра микрокоманд и считы- вание из стека Не влия- ет Хране- ние СА Хране- ние D Считы- вание 1 0 1 1100 LDCT Запись в РА (счетчик циклов) и продолже- ние работы Не влия- ет Запись СА Хране- ние СА Хране- ние 1 0 1 162
Окончание табл. 13.50 Входы 13, 12. 11, /<? Обозна- чение Микрокоманда Влияние содержи- мого РА на микро- команду Состоя- ние РА Условие не выпол- няете! (ССЕ—0 н СС=/) Условие выполняется (ССЕ—1 или СС-= (>) Состояние выходов S X X о о Состояние стека X X X о о Состояние стека U4 О. 5: 1101 1110 1111 LOOP CONT TWB Условное прекраще- ние цикла Продолжение работы Ветвление на три на- правления Не влия- ет Не влия- ет РА=£0 РА -0 Хране- ние Хране- ние Вычита- ние 1 Хране- ние ст СА СТ D Хране- ние Хране- ние Хране- ние Считы- вание СА СА СА СА Считы- вание Хране- ние Считы- вание Считы- вание 1 1 1 1 0 0 0 0 1 1 1 1 стояние указателя стека не изменяется и про- исходит перезапись информации в вершине стека; считывание из стека; состояние указателя стека изменяется по следующему закону: 5—4—3—2—1—0. Считывание из стека выпол- няется для того, чтобы читать на выходе сте- ка информацию, записанную ранее. При этом последовательность чтения слов накопителя стека соответствует последовательному изме- нению состояния указателя стека. Коммутатор адреса (КА) представляет со- бой четырехвходовый 12-разрядный мульти- плексор, которым управляют четыре внутрен- них сигнала с УУ. Из четырех поступивших на его входы адресов (со входа адреса D, с ре- гистра адреса, со стека, со счетчика адреса) КА выбирает один адрес, который с его вы- хода поступает на вход СА и буферную схему. Буферная схема адреса (БА) состоит из 12 (по числу разрядов) вентилей на три со- стояния. Сигйал с входа OF. микросхемы уп- Таблица 13.51 Параметр Обозна- чение Значения парамет- ров (макс (мин )] Режим измерения Вывод микросхемы Выходное напряжение низкого уровня, В UOL 0,5 /оь = 12 мА /о l= 8 мА Y11—Y0 FL, VE, РЕ, ME Выходное напряжение высоко- го уровня, В U ОН (2.4) Iо н = —1,6 мА Все выходы Входной ток низкого уровня, мА hl. —2,14 — 1 .31 -0,87 -0.72 —0,54 и, ,. = 0.5 В Т СС Dll—D0 13—10, RLD, ОЕ ССЕ, СО Входной ток высокого уровня, мкА 1IH 100 80 50 40 30 UIH = ‘2,7 В Т D11—D0 СС 13—10, RLD, ОЕ ССЕ, СО Максимальный входной ток высокого уровня, мА Ток потребления, мА 11Н max !СС. 1,0 344 С,„=5,5 В Ucc = 5,25 В Все входы Время задержки распростране- ния сигнала, нс h 70 125 С,_ = 50 пФ От 13-10 до У От Т до У 6* 163
Таблица 13.52 Вход Значения параметров, ис (ем рис 13 16) <И D, (запись в РА) 24 6 D, (запись в СА) 58 4 13—10 104 0 СС 80 0 ССЕ 80 0 СО 46 5 RLD 36 6 Таблица 13.53 Вход Значения tp до выходов, нс Yi РЕ, VE, ME FL D, 20 — 13—10 70 51 — СС 43 — — ССЕ 45 — — 1* (I3—IO=iWO, 100 — 60 1001, НИ) 125 — 60 Т (остальные микро- команды) 55 — 60 ОЕ (разрешение-'за- прет) 35 30** — * Берется более короткое время, если на преды душей микрокоманде содержимое регистра адреса ие изменяется или уменьшается иа I ** Cl = 5 пФ равляет БА. Если на вход ОЕ подано напря- жение низкого уровня, то на выходе адреса микросхемы У появляется адрес микрокоман- ды, выбранный коммутатором адреса. Если на вход ОЕ подано напряжение высокого уровня, то выход адреса У переходит в состояние «выключено». Микросхема позволяет реализовать 16 мик- рокоманд управления последовательностью микропрограммных адресов. Описание этих микрокоманд, их обозначение и название при- ведены в табл. 13.50. Шесть микрокоманд яв- ляются безусловными, а остальные — услов- ными. Выполнение условных микрокоманд за- висит от значения внешнего сигнала, поступаю- щего на вход СС микросхемы. Считается, что условие не выполняется, если СС=И иСС£ = 0. В противном случае (СС — 0 или СС£=1) ус- ловие выполняется. Подав на вход ССЕ на- пряжение высокого уровня, можно условную микрокоманду превратить в безусловную (т. е. независимо от сигнала иа входе СС бу- дет выполняться операция, соответствующая выполнению условия). Действие трех микро- команд зависит от содержимого РА, которое уменьшается, пока не станет равным 0 Эти микрокоманды используются для организации циклов. В табл. 13.51 приведены основные электри- ческие параметры микросхемы КМ1804ВУ4 Типовые значения динамических параметров даны в табл. 13.52 (времена подготовки и удержания сигнала на входах относительно положительного фронта тактового сигнала) и 13.53 (времена задержки распространения сиг- нала при ££ = 50 пФ). 13.8. Микросхема КМ1804ВН1 Микросхема КМ1804ВН1 — 8-разрядная микропрограммируемая наращиваемая схема векторного приоритетного прерывания, произ- водит приоритетную обработку запросов пре- рывания, поступающих по восьми шинам от различных устройств. Предназначена для при- менения в составе устройств обработки пре- рываний центральных процессоров микро-ЭВМ. Микросхема имеет восемь входов запроса прерывания (прерывания могут быть импуль- сными или уровневыми), встроенный регистр маски, встроенный регистр состояния, вектор- ный выход. Условное графическое обозначение микро- схемы приведено на рис. 13.21, назначение выводов — в табл. 13.54, структурная схема показана на рис 13.22, временные диаграммы входных сигналов — на рис. 13.23. Все регистры и триггеры микросхемы КМ1804ВН1 синхронизируются положитель- ным фронтом сигнала, поступающего на вход С. Формирователь тактового сигнала (ФТ) инвертирует сигнал, поступающий на вход С микросхемы и передает его на внут- ренние блоки. Восьмиразрядный регистр прерывания (РП) может регистрировать как уровневые, так и импульсные запросы, поступающие на входы прерывания INR7—INR0 Если на вход СОМО микросхемы подано напряжение низкого уров- ня, то вентили-«защелки» регистра прерывания действуют как «перехватчики» отрицательных импульсов на входе регистра. Если на вход СОМО подано напряжение высокого уровня, то вентили-«защелки» отключены и запросом прерывания будет служить напряжение низ- кого уровня на одном из входов 1NR7—IN'RO. Схема очистки регистра прерывания (СО) вырабатывает восемь индивидуальных сигна- лов для очистки разрядов регистра прерыва- ния и вентилей-«защелок», расположенных на его входах. Трехразрядный регистр вектора (РВ) слу- жит для записи и хранения двоично-кодиро- ванного вектора прерывания, который затем используется для очистки регистра прерывания Очистка регистра прерывания разрешена, если триггер разрешения очистки регистра 164
Таблица 13.54 В ы вод Обозначение Тип вывода Функциональное назначение выводов 1 INR3 Вход Прерывание, 3-й разряд 2 мкз Вход выход Маска, 3-й разряд 3 FL Выход Флаг 4 CR0 Вход Перенос из предыдущей труп- пы 5 EWRSA Вход Разрешение записи состояния б DEINR Вход Запрет прерывания 7 DES Выход Последовательный запрет 8 DEP Выход Параллельный запрет 9 RQINR Выход Запрос прерывания 10 Ucc ,— Напряжение питания И SA2 Вход выход Состояние, 2-й разряд 12 SA1 Вход выход Состояние, 1-й разряд 13 SA0 Вход выход Состояние, 0-й разряд 14 OF Выход Переполнение 15 CR2 Выход Перенос в следующую группу 16 VEC2 Выход Вектор, 2-й разряд 17 VEC1 Выход Вектор, 1-й разряд 18 VECO Выход Вектор, О н разряд 19 MK7 Вход выход Маска, 7-й разряд 20 INR7 Вход Прерывание, 7-й разряд 21 MK6 Вход выход Маска, 6-й разряд 22 1NR6 Вход Прерывание, 6-й разряд 23 MK5 Вход выход Маска, 5-й разряд 24 INR5 Вход Прерывание, 5-й разряд 25 MK4 Вход выход Маска, 4-й разряд 26 INR4 Вход Прерывание, 4-й разряд 27 COMO Вход Управление режимом 28 INSO Вход Микрокоманда, 0-й разряд 29 C Вход Тактовый сигнал 30 GND — Общий 31 INS1 Вход Микрокоманда, 1-й разряд 32 INS2 Вход Микрокоманда, 2-й разряд 33 INS3 Вход Микрокоманда, 3-й разряд 34 EINS Вход Разрешение микрокоманды 35 INRO Вход Прерывание, 0-й разряд 36 MKO Вход выход Маска, 0-й разряд 37 I NR! Вход Прерывание, 1-й разряд 38 MK1 Вход выход Маска, 1 -й разряд 39 INR2 Вход Прерывание, 2-н разряд 40 MK2 Вход выход Маска, 2-й разряд Рис. 13.21. Условное гра- фическое обозначение КМ1804ВН1 COINK 13 Л»* 90 1 / 12 2 2 tt 3 23 2! 5 $VEC Q to 19 б n — m INK 2 да: > а > / >2 ъкцтк < A У". ) 3 CK2 < да: ) 4 BEP 8 да: > 5 DES ( . 7 да: ) f OF < Д да] ) 7 pi б VEINS 28 0 tN& 3f / 32 33 3 10 21 COMO uce .30 29 с , 6ND-. прерывания (ТО) установлен. Установка ТО происходит при выполнении микрокоманды «Чтение вектора». Регистр маски (РМ) имеет восемь разря- дов, соответствующих разрядам регистра пре- рывания. Двунаправленные выводы МК7— МКО служат для загрузки и чтения регистра маски. Предусмотрены микрокоманды уста- новки и очистки всего регистра маски и от- дельных его разрядов. Буферные схемы маски (БМ) выполнены по схеме с тремя состояниями и предназначе- ны для выдачи содержимого РМ на выходы МК7—МК0. Каждый из восьми разрядов устройства маскирования (УМ) представляет собой вен- тиль 2И—НЕ. На входы устройства маскиро- вания поступают сигналы с выходов соответст- вующих разрядов регистров прерывания и маски. Детектор прерывания (ДП) обнаруживает любой незамаскированный запрос прерывания на выходах устройства маскирования. Шифратор приоритета (ШП) формирует двоично-кодированный вектор прерывания, указывающий незамаскированный запрос пре- рывания с высшим приоритетом. Двоичное значение вектора прерывания равно порядко- вому номеру входа INR7—1NR0, принятого к обработке в качестве источника запроса прерывания. Буферные схемы вектора (БВ) выдают значения вектора прерывания на выводы VEC2—VEC0 при выполнении микрокоманды 165
Рис. 13.22. Структурная схема КМ18О4ВН1 В х од тактовый ^20 нс Вход и правде • ния регист- ром прерыва- ния само В коды прерывания INH7-INK0 а) в) Рис. 13.23. Временная диаграмма входных сиг- налов КМ1804ВН1: а — режим приема >ровневого запроса; б — режим приема импульсного запроса; в — входные сигналы по другим входам для обоих режимов 166
Таблица 13.55 «Чтение вектора». На остальных микрокоман- дах буферные схемы переводятся в состояние «выключено». Регистр состояния (PC) определяет самый низкий приоритет, при котором запрос преры- вания будет разрешен. Двунаправленные вы- воды SA2—SA0 служат для загрузки и чтения регистра состояния. Буферные схемы регистра состояния (БС) выполнены с тремя состояниями и предназна- чены для выдачи содержимого PC на выходы SA2—SA0. При выполнении микрокоманды «Загрузка регистра состояния» буферные схе- мы переводятся в состояние «выключено» и происходит загрузка регистра состояния со входов SA2—SA0. Во время выполнения микрокоманды «Чте- ние вектора» схема приращения (СП) наращи- вает на 1 текущее значение вектора прерыва- ния и результат загружается в регистр со- стояния. Схема сравнения (СС) сигнализирует о том, что вектор прерывания больше или ра- вен содержимому регистра состояния. Формирователь запроса (ФЗ) содержит триггер разрешения запроса прерывания и логи- ку, необходимую для формирования выходных сигналов RQINR, DEP, DES, CR2. Сигнал на выходе RQINR (открытый коллектор) показы- вает, что запрос на прерывание принят и про- шел приоритетную обработку. Сигналы на вы- ходах DEP, DES, CR2 используются при по- строении многоуровневых систем прерывания. Триггер переполнения (ТП) устанавливает- ся в состояние 1 после считывания вектора прерывания самого высокого приоритета. Сиг- нал переполнения с выхода OF используется для запрещения прерывания и указывает на переполнение регистра состояния. Триггер разрешения младшей группы (ТГ) используется при объединении микросхем в систему обработки прерываний. В такой систе- ме будет очищен только один триггер. Он бу- дет указывать микросхему, имеющую самый низкий разрешенный уровень запроса приори- тетного прерывания. Выходом ТГ является вывод FL микросхемы. Загрузка ТГ осуществляется со входа EWRSA (при выполнении микрокоманды «За- грузка регистра состояния») или со входа CR0 (при выполнении микрокоманды «Общая очистка»). Триггер устанавливается в опреде- ленное состояние при выполнении микрокоман- ды «Чтение вектора». Управление микросхемой осуществляется 4-битовым полем микрокоманды (INS3— INS0), поступающим на вход устройства уп- равления (УУ1. Микрокоманда выполняется, если на вход разрешения микрокоманды EINS подано напряжение низкого уровня и не выполняется при EINS~\. Выполняемые микросхемой микрокоманды приведены в табл. 13.55. Кодом микрокоманды «Общая очистка» яв- ляется 0000. Если в процессе формирования последовательности микрокоманд регистр мик- Код микрокоманды Микрокоманда £SW/ / vso 0 0 0 0 Общая очистка 0 0 0 1 Очистка регистра пре- рывания 0 0 1 0 Очистка регистра пре- рывания сигналами с шины маски 0 0 1 1 Очистка регистра пре- рывания под управле- нием регистра маски 0 1 0 0 Очистка регистра пре- рывания под управле- нием регистра векто- ра 0 1 0 1 Чтение вектора 0 1 1 0 Чтение регистра со- стояния 0 1 1 1 Чтение регистра мас- ки 1 0 0 0 Установка регистра маски 1 0 0 1 Загрузка регистра со- стояния 1 0 1 0 Поразрядная очист- ка регистра маски 1 0 1 1 Поразрядная уста- новка регистра маски 1 1 0 0 Очистка регистра мас- ки 1 1 0 1 Запрет запроса пре- рывания 1 1 1 0 Загрузка регистра маски 1 1 1 1 Разрешение запроса прерывания рокоманды устройства управления центрально- го процессора будет обнулен, то на следую- щем цикле микросхема выполнит микрокоман- ду «Общая очистка». При этом произойдет обнуление регистра состояния. В триггер раз- решения младшей группы будет загружена ин- формация со входа CR0. Выход CR2 при вы- полнении микрокоманды будет иметь высокий уровень. Триггер разрешения запроса прерыва- ния перейдет в состояние, разрешающее вы- ход запроса прерывания (RQINR). После вы- полнения микрокоманды «Общая очистка» си- стема прерывания отреагирует на запрос лю- бого приоритета Выполнение микрокоманды «Очистка реги- стра прерывания» приводит к обнулению ре- гистра прерывания и вентилей-«защелок». При выполнении микрокоманды «Очистка регистра прерывания сигналами с шины мас- ки» происходит обнуление тех разрядов регист- ра прерывания и вентилей-«защелок», кото- рым соответствует напряжение высокого уров- ня на выводах маски МК7—МКО. 167
Таблица 13.56 Параметр Обозна- чение Значения параметров [макс, (мнн.)] Режим и <мерения Вывод микросхемы Выходное наряжение низкого уровня, В Uql 0,5 1 ol = 12 мА Все выходы Выходное напряжение высоко- го уровня, В ион (2,4) /о н = — 1 мА Все выходы Входной ток низкого уровня, мА 00 Ю О О ; 00 М — О С О О 1 II 1 I 1 е,,.=о,4 в DEINR EINS СОМО МК7—МК0 SA2—SA0 Остальные входы Входной ток высокого уровня. hfi 150 UtH = 2,7 В МК7— МКО мкА 100 60 40 20 SA2—SA0 DEINR, EINS CRO, EWRSA Остальные входы Максимальный входной ток высокого уровня, мА 11Н так 1 ,0 U, п =5,5 В Все входы Ток потребления, мА !cc 305 Ucc = 5,25 В — Время задержки распростране- ния сигнала, нс 52 56 97 Cl = 50 пФ От DEINR до RQINR От EINS до CR2 От С до RQINR Выполнение микрокоманды «Очистка реги- стра прерывания под управлением регистра маски» приводит к обнулению тех разрядов регистра прерывания и вентилей-«защелок», которым соответствуют разряды регистра мас- ки, содержащие 1. Выводы маски МК7—МК0 в это время являются выходами регистра маски. При выполнении микрокоманды «Очистка регистра прерывания под управлением регист- ра вектора» происходит обнуление тех разря- дов регистра прерывания и вентиля-«защелки», которым соответствует вектор прерывания, на- ходящийся в регистре вектора. Микрокоманда «Чтение вектора» позволяет читать значение вектора прерывания, указы- вающего запрос высшего приоритета на выхо- дах VEC2—VEC0. При выполнении этой мик- рокоманды в регистр состояния автоматически загружается значение «вектор плюс 1», в ре- гистр вектора загружается значение вектора, триггер разрешения очистки регистра прерыва- ния устанавливается в 1. Если после этого выполнить микрокоманду «Очистка регистра прерывания под управлением регистра векто- Таблица 13.57 Вход Значение tp до выходов, нс мк зл VEC RQINR DES CR2 EINS 52 60 65 — 56 INS 52 60 65 — — 56 DEINR — — 45 52 20 30 ра», то разряды регистра прерывания и вен- тиль-«защелка», соответствующие вектору, бу- дут обнулены. Микрокоманда «Чтение регистра состояния» позволяет читать содержимое регистра состоя- ния на выходах SA2—SA0. Микрокоманда «Чтение регистра маски» позволяет читать содержимое регистра маски на выводах МК7—МКО. При выполнении микрокоманды «Установка регистра маски» происходит установка в 1 всех разрядов регистра маски. В результате все прерывания будут запрещены. Микрокоманда «Загрузка регистра состоя- ния» позволяет в регистр состояния загружать информацию с выводов SA2—SA0, а в триггер разрешения младшей группы — информацию со входа EWRSA. Выполнение микрокоманды «Поразрядная очистка регистра маски» приводит к обнулению тех разрядов регистра маски, которым соот- ветствует напряжение высокого уровня на выводах маски МК7—МКО. Те разряды, кото- рым соответствует напряжение низкого уров- ня на выводах МК7—МКО, остаются без изме- нений. При выполнении микрокоманды «Поразряд- ная установка регистра маски» происходит установка в 1 тех разрядов регистра маски, которым соответствует напряжение высокого уровня на выводах маски МК7—МКО. Те раз- ряды, которым соответствует напряжение низ- кого уровня на выводах МК7—МКО, остаются без изменений. Выполнение микрокоманды «Очистка реги- стра маски» приводит к обнулению всех рал- 168
Таблица 13.58 Источник сигнала Значении tp от положительною перепада сигнала иа входе С до выходов, ис VEC RQINR DEP DES CRJ OF FL Регистр прерывания 76 97 67 67 80 — — Регистр маски 76 97 67 67 80 — — Регистр состояния 67 88 63 63 70 — — Триггер разрешения младшей группы — — 48 52 — — 38 Триггер разрешения запроса прерывания — 62 — — — — — Триггер переполнения — — — — — 35 — рядов регистра Маски. В результате все пре- рывания будут размаскированы. При выполнении микрокоманды «Запрет за- проса прерывания» происходит обнуление триг- гера разрешения запроса прерывания, в ре- зультате чего выход запроса прерывания бу- дет запрещен. Микрокоманда «Загрузка регистра маски» позволяет загружать в регистр маски инфор- мацию с выводов МК7—МКО. Выполнение микрокоманды «Разрешение за- проса прерывания» вызывает установку в 1 триггера разрешения запроса прерывания, в результате чего выход запроса прерывания будет разрешен. В табл. 13.56 приведены основные электри- ческие параметры микросхемы КМ1804ВН1. Типовые значения динамических параметров даны в табл. 13.57 (комбинационные задерж- ки распространения сигнала) и 13.58 (за- держки распространения сигнала от такта С до выходов) при СТ. = 50 пФ. 13.9. Микросхема КМ1804ВРЗ Микросхема КМ18О4ВРЗ представляет со- бой управляемый шифратор восьми входов на три выхода и предназначена для совместной работы с микросхемами КМ1804ВН1 в соста- ве многовходовых устройств обработки пре- рываний. Одна микросхема КМ1804ВРЗ обес- печивает прием и кодирование сигналов для восьми микросхем КМ1804ВН1 (устройство об- работки прерываний до 64 входов). Для более сложного устройства требуется применение не- скольких микросхем КМ1804ВРЗ. Таблица 13.59 Вывод Обо зна чение 1 kill вы вода Функциональное назначение выводов 1 D4 Вход Прерывание, 4-й разряд 9 D5 Вхот Прерывание, 5-й разряд .7 D6 Вход Прерывание, 6-н разряд 4 D7 Вход Прерывание, 7-й разряд 5 EE\1 Вход Разрешение прерывания 6 Z2 Выход Вектор, 2-й разряд 7 Z1 Выход Вектор, 1-й разряд <7 Zu Выход Вектор, 0-й разряд 9 EZ4 Вход Разрешение вектора, 4-й разряд 10 a nd — Общий ll EZ3 Вход Разрешение вектора, 3-й разряд 12 EZ1 Вход Разрешение вектора, 1-й разряд 13 F.Z2 Вход Разрешение вектора, 2-й разряд 14 EZ5 Вход Разрешение вектора, 5-й разряд 15 DI Вход Прерывание, 1-й разряд 16 D2 Вход Прерывание, 2-й разряд 17 D3 Вход Прерывание, 3-й разряд 18 DO Вход Прерывание, 0-й разряд 19 EEX2 Выход Разрешение прерывания с низшим приоритетом 20 исс Напряжение питания Рис. 13.24. Условное гра- фическое обозначение КМ1804ВРЗ /в 1 so EX1H8 fS ) Df $ 20 16 > о г z/ 7 П > из S 1 04 2 1 S5 J )S6 EEK2 ) f3 tO7 5 ( >EEXI 20 /2 UCC 1S >EZZ БНП ) to 1E23 3 ->Е2Ч П- , EZS 169
Рис. 13.25. Структурная схема KMI804BP3 Условное графическое обозначение микро- схемы приведено на рис. 13.24, назначение выводов — в табл. 13.59, структурная схема показана на рис. 13.25. Микросхема KML804BP3 обеспечивает ко- дирование восьми входных сигналов D7—DO и выдачу соответствующего двоичного кода на три выхода Z2—Z0. Таблица 13.62 Пара мс।р Обозна- чение Значения па- раметров [макс, (мни.)] Режим измерения В ывод ми крое хе мы Выходное напряжение низкого уровня, В 0,5 0,45 /оl— 12 мА 1оь=8 мА Z2, Z/, 20 ЕЕХ2 Выходное напряжение высоко- го уровня, В ион (2,4) / он = — 1 мА /он~—0,44 мА 22, 21, 20 ЕЕХ2 Входной ток низкого уровня, мА 1IL —0,8 —0,4 {/;ь=0,4 В D7—D0 Остальные входы Входной ток высокого уровня, мкА 1IH 40 20 (Ли =2,7 В D7—D0 Остальные входы Максимальный входной ток вы- сокого уровня, мА Ток потребления, мА 1IH max ^С.С 1,0 24 U1 н — 5,5 В исс = 5,25 В Все входы Время задержки распростране- ния сигнала, нс tp 31 48 Ct = 50 пФ От D до Z От О до ЕЕХ2 170
* Ci.~ 50 пФ ** Cl«5 пФ. Работа дешифратора управляется входом ЕЕХ1. При высоком уровне на входе ЕЕХ1 ра- бота запрещена и на выходы Z0—Z2 подается напряжение низкого уровня. Для разрешения работы шифратора на вход ЕЕХ1 должно быть подано напряжение низкого уровня. При этом на выходы Z0—Z2 выдается трех раз- рядный двоичный код, соответствующий тому разряду входных сигналов D7—D0, на кото- рый поступает напряжение низкого уровня. Выход ЕЕХ2 схемы предназначен для выдачи сигнала низкого уровня при наличии сигнала разрешения шифратора (ЕЕХ1 = §) и отсутст- вии сигнала низкого уровня на входах D7— D0. Это позволяет использовать данный вы- ход для разрешения работы схемы с низшим приоритетом при построении многоразрядных устройств обработки прерывания. Работа шиф ратора поясняется табл. 13 60. Выходы шифратора подключены к выходам Z0—Z2 схемы через буферные схемы с тремя состояниями Управление буферами осущест- вляется с помощью пяти входов разрешения Z-выходов (EZ1—EZ5). Буферные схемы пере- водятся в состояние «выключено» при подаче сигнала низкого уровня на любой из входов EZ1, EZ2 или при подаче сигнала высокого уровня на любой из входов EZ3, EZ4 или EZ5. Если на входы EZI, EZ2 подан сигнал высоко- го уровня, а на входы EZ3, EZ4, EZ5 — низ- кого, то буферы выдают сигналы шифратора на выходы Z0—Z2 Работа буферов поясняется табл. 13 61 Микросхема является чисто комбинацион- ной, поэтому на подачу входных сигналов ни- каких временных ограничений не налагается. В табл 13.62 приведены основные электри- ческие параметры микросхемы КМ1804ВРЗ. Типовые значения динамических параметров даны в табл 13.63 13.10. Микросхема КМ1804ВА1 Микросхема КМ1804ВА1 — 4-разрядный канальный приемопередатчик, предназначен для подключения внутренних устройств микро-ЭВМ к общей шине (каналу). Она обеспечивает двунаправленную передачу данных и неогра- ниченное наращивание разрядности. Микро- схема имеет двухпортовый вход передатчика, выходы на канал с нагрузочной способностью 100 мА, выполненные по схеме с открытым коллектором, пороговые напряжения приемни- ка по входу t/rj.= l,5 В, Uth — 2,4 В, вы- ходы приемника с тремя состояниями. Условное графическое обозначение микро- схемы приведено на рис. 13.26, назначение вы- водов — в табл. 13.64, структурная схема по- казана на рис. 13.27, временная диаграмма входных сигналов — на рис. 13 28'. Микросхема КМ1804ВА1 предназначена для применения в составе микропроцессорных систем в качестве буферного регистрового уст- ройства, осуществляющего функцию двунаправ- ленного интерфейса. Микросхема содержит четыре D-триггера, срабатывающих по положительному фронту тактового сигнала (регистр D), к каждому из которых подключен двухвходовый мульти- плексор. Выходы D-триггеров через буферные каскады с открытым коллектором подключены к двунаправленным выводам ВЗ—ВО. Пере- численные блоки образуют 4-разрядный двух- входовый передатчик с тактируемым регист- ром и выходами с открытым коллектором на общую шину. Двунаправленные выводы ВЗ — ВО подключены также к входу приемника, содержащему четыре входных буферных каска- 4 в 16 20 3 3 13 21 7 /7 13 13 23 11 /2 DAQ ЛА! ЛАЕ ЛАЗ лва ЛВ1 ЛВ2 лвз >8! \82 >вз >ЗЕЛ ’ С >EZB >EWRRC EZDR TfKC z ом OR1 ORZ OR3 SNO1 > оног: 2 10 22 24 6 1Й 14 Рнс. 13.26. Условное гра- фическое обозначение КМ1804ВА1 171
Таблица 13.64 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 EWRRC Вход Разрешение записи в регистр приемннкд 2 DRO Выход Данные R, 0-й разряд 3 DB0 Вход Данные В, 0-й разряд 4 DA0 Вход Данные А, 0-й разряд 5 ВО Вход/выхоц Двунаправленный вывод ши- ны, 0-й разряд 6 GND1 -— Общий 7 В1 Вход/выход Двунаправленный вывод ши- ны, 1 -й разряд 8 DA1 Вход Данные А, 1-й разряд 9 DB1 Вход Данные В, 1-й разряд 10 DR1 Выход Данные R, 1-й разряд И EZB Вход Разрешение выхода шины 12 EZDR Вход Разрешение выходов данных R 13 SED Вход Выбор входных данных 14 DR2 Выход Данные R, 2-й разряд 15 DB2 Вход Данные В, 2-й разряд 16 DA2 Вход Данные А, 2-й разряд 17 В2 Вход/выход Двунаправленный вывод ши- ны, 2-й разряд 18 GND2 .— Общий 19 ВЗ Вход/выход Двунаправленный вывод шины, 3-й разряд 20 DA3 Вход Данные А, 3-й разряд 21 DB3 Вход Данные В, 3-й разряд 22 DR3 Выход Данные R, 3-й разряд 23 С Вход Тактовый сигнал 24 Ucc — Напряжение питания 172
Таблица 13.65 Сигналь Нс входах Состояние Сигналы регистров на выходах Выполняемая функция SED ол. б EZB EWRRC EZDR Di Qi В. 1 DRt X X X V I X X X X 1 X Отключение выходов пе- X X X V X X 1 X X X z редатчика Отключение выходов X X X V 1 0 0 X 0 0 1 приемника Отключение выходов пе- редатчика и пересылка данных со входов В на X X X А' 1 0 0 X 1 1 0 выходы DR То же X X X X X 1 X X NC X X «Защелкивание» данных 0 0 X t X X X 0 X X X в регистре приемника Загрузка регистра пере- 0 1 X X X X 1 X X X датчика То же 1 X 0 X X X 0 X X X 1 X 1 X X X 1 X X X X X X X X X NC X X X Хранение данных в реги- X X X X X X NC X X X стре передатчика X X X V 0 X X 0 X 1 X Выдача содержимого ре- гистра передатчика на X X X X 0 X X 1 X 0 X шины В То же ложный перепад'; ^менений; X - безразлично: 0 „ли 1; Z — состояние «отключено», t - по Таблица 13.66 Параметр Обозна- чение Значения параметров [макс, (мин.)] Режим измерения Выводы микросхемы Выходное напряжение низкого Uql 0,8 Iol = 100 мА ВЗ—ВО уровня, В 0,5 /оь = 40 мА ВЗ—ВО 0,5 1ol = 12 мА DR3—DR0 Выходное напряжение высоко- ион (2,4) 1он = — 1 мА DR3—DR0 го уровня, В Выходной ток высокого (низко- lOZH 200 иоя = 4,5 В, ВЗ—ВО го) уровня в состоянии «вы- (Усс = 5,25 В ключено», мкА 100 Uon — 4,5 В, ВЗ—ВО U СС = 0 {OZL —50 £/О1,=0,4 В, ВЗ—ВО (7сс = 5,25 В Входной ток низкого уровня, 1 IL -0,36 4Ах. = О,4 В Все входы, кроме ВЗ— мА ВО Входной ток высокого уровня, 1 IH 20 1Ли = 2,7 В То же Максимальный входной ток IIH max 0,1 Uiп = 5,5 В » высокого уровня, мА Ток потребления, мА lcc 105 (7сс = 5,25 В — Время задержки распростране- tp 36 Сь = 50 пФ От С до ВЗ—ВО ния сигнала, нс 23 От EZB до ВЗ—ВО 42 От ВЗ—ВО до DR3—DR0 42 От EWRRC до DR3—DR0 • Типовое значение времени задержки распространения сигнала от входа EZDR до выходов DR3—DR0 равно 25 нс. 173
Рис. 13.28. Временная диаграмма входных сиг- налов КМ1804ВА1 да, подключенных ко входам D-триггеров ти- па «защелка» (регистр Q). Выходные сигналы триггеров через буферные схемы с тремя со- стояниями передаются иа выходы приемни- ка DR3—DR0. Работа микросхемы осуществляется следую- щим образом. В зависимости от состояния входа SED сигналы с одного из входов пере- датчика (DA3—DA0 или DB3—DB0) переда- ются на входы D-триггеров регистра пере- датчика. С приходом положительного фронта тактового сигнала С эги сигналы записывают- ся в регистр D и через буферные каскады с открытым коллектором передаются на дву- направленные выводы ВЗ—ВО (при EZB = 0). Если иа вход EZB подан сигнал лог. 1, то буферные каскады не нагружают шину. Сиг- налы с двунаправленных выводов ВЗ—ВО при EWRRC=0 передаются на выходы приемника DR3—DR0, которые представляют собой вы- ходы на три состояния, управляемые уровнем сигнала EZDR. При установлении на входе EWRRC лог. 1 эти сигналы запоминаются триггерам!и-«защелками» и хранятся в течение всего времени, пока EWRRC=(. Таблица истинности микросхемы приведе- на в табл. 13.65. Необходимо отметить, что входные сигналы передатчика DA3—DA0. DB3—DB0 инвертируются выходными буфера- ми при передаче на шину ВЗ—ВО. Аналогично передаются сигналы иа выходы DR3—DR0. В целом, если рассматривать цепь от входов передатчика до выходов приемника, данные передаются в неинвертированном виде. Электрические схемы входов, выходов и входов/выходов приведены на рис. 13.29, ос- новные электрические параметры микросхе- мы — в табл. 13.66. 13.11. Микросхема КМ1804ВА2 Микросхема КМ1804ВА2 — 4-разрядный наращиваемый канальный приемопередатчик, предназначен для подключения внутреииих устройств микро-ЭВМ к общей шине (каналу). Оиа обеспечивает двунаправленную передачу данных, контроль четности и неограниченное наращивание разрядности. В отличие от КМ1804ВА1 микросхема имеет однопортовый вход передатчика, пороговые напряжения при- емника по входу В, DTh=1,9 В и внутреннюю схему контроля четности. Условное графическое обозначение микро- схемы приведено на рис. 13.30, назначение вы- водов — в табл. 13.67, структурная схема показана на рис. 13.31, временная диаграмма входных сигналов — на рис. 13.32. Как и КМ1804ВА1, микросхема КМ18О4ВА2 предназначена для применения в составе ми- кропроцессорных систем в качестве буферного регистрового устройства, осуществляющего функцию двунаправленного интерфейса. В от- личие от КМ1804ВА1 микросхема КМ1804ВА2 содержит две 4-разрядные схемы генерации бита контроля четности, которые в зависимости от режима работы поиемопередатчика (пере- дача или прием), определяемого состоянием входа EZB, по отдельности подключаются к выходу М2. При этом в режиме «Передача» (EZB — 0) на выход М2 передается сумма по модулю 2 входных сигналов регистра передат- чика, а в режиме «прием» (EZB = 1) — сумма по модулю 2 выходных сигналов приемника. Рис. 13.29. Электриче- ские схемы входов, вы- ходов и входов/выходов микросхемы К.М1804ВА1 174
Таблица 13,67 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 EWRRC Вход Разрешение записи в регистр приемника 2 DR0 Выход Данные R, 0-й разряд 3 DAO Вход Данные А, 0-й разряд 4 ВО Вход/выход Двунаправленный вывод ши- ны, 0-й разряд 5 GND1 — Общий 6 Bl Вход/выход Двунаправленный вывод ши- ны, 1-й разряд Данные А, 1-й разряд 7 DAI Вход 8 DR1 Выход Данные R, 1-й разряд 9 EZB Вход Разрешение выхода шины 10 М2 Выход Признак четности И EZDR Вход Разрешение выходов данных R 12 DR2 Выход Данные R, 2-й разряд 13 DA2 Вход Данные А, 2-й разряд 14 B2 Вход/выход Двунаправленный вывод ши- ны, 2-й разряд 15 GND2 — Общий 16 B3 Вход/выход Двунаправленный вывод ши- ны, 3-й разряд 17 DA3 Вход Данные А, 3-й разряд 18 DR3 Выход Данные R, 3-й разряд 19 C Вход Тактовый сигнал 20 Ucc — Напряжение питания Рис. 13.30. Условное гра- фическое обозначение КМ1804ВА2 3 2 27АО ПА! TFRC Z ДЛД 2 13 ДА 2 J1R1 а п ПАЗ ДЯ2 12 3R3 18 >80 б >81 М2 to 14 ( >82 16 | >83 19 с 20, 3 >EZB S БНО!'- J eног. IS п EZOR Рис. 13.31. Структурная схема КМ1804ВА2 175
Таблица 13.68 Сигналы на входах Состояние регистров Сигналы на выхо- дах Выполняемая функция DA. с EZB EWRRC I EZDR Di DRi X X 1 X X X X 1 X Отключение выходов передатчика X X X X 1 X X X z Отключение выходов приемника X X 1 0 0 X 0 0 1 Отключение выходов передатчика и пересылка данных со входов В на выходы DR X X 1 0 0 X 1 1 0 То же X X X 1 X X NC X X «Защелкивание» данных в регист- ре приемника 0 1 t t X X X X X X 0 1 X X X X X X Загрузка регистра передатчика То же X 0 X X X NC X X X Хранение данных в регистре пере- датчика X 1 X X X NC X X X То же X X 0 X X 0 X 1 X Выдача содержимого регистра пе- редатчика на шины В X X 0 X X 1 X 0 X То же Примечание. УС—без изменений; X — безразлично 0 или I: Z- состояние «отключено» + — положительный перепад; Г—0, 1. 2, 3 Таблица 13.69 Обозна- чение Значения Параметры параметров [макс. Режим измерения Вывод микросхемы (мин.)] Выходное напряжение низкого Uql 0,8 1 о l — 100 мА вз—во уровня, В 0,5 /оь = 40 мА вз—во /OL- 12 мА DR3—DR0, М2 Выходное напряжение высоко- "он (2,4) I о н =—1 мА DR3—DR0 го уровня, В 1он——0,66 мА М2 Выходной ток высокого (ииз- !OZH 200 (7он = 4,5 В, ВЗ—ВО кого) уровня в состоянии «вы- £7сс = 5,25 В ключено», мкА 100 —4,5 В, (Усс —0 ВЗ—ВО lOZL —50 Col = 0,4 В, Ucc = 5,25 В ВЗ—ВО Входной ток низкого уровня, 1 IL —0,36 UIL =0,4 В Все входы, кроме ВЗ— ВО Входной ток высокого уровня, 1IH 20 О,н = 2,7 В То же мкА Максимальный входной ток 11H max 0,1 UIH=5,5 В » высокого уровня, мА Ток потребления, мА {CC 120 Ucc = 5,25 В — Время задержки распростране- ния сигнала, нс tp 36 23 42 Ct = 50 пФ От С до ВЗ—ВО От EZB до ВЗ—ВО От В, EWRRC до DR 44 От В, DA до М2 * Типовое значение времени задержки распространения сигнала от входа EZDR до выходов DR3—DR0 равно 25 нс. 176
Рис. 13.32. Временная диаграмма входных сиг- налов КМ1804ВА2 13.12. Микросхема КМ1804ВАЗ Микросхема КМ1804ВАЗ — 4-разрядный канальный приемопередатчик с интерфейсной логикой. Оиа выполняет те же функции, что н КМ1804ВА2, однако в отличие от нее имеет двухпортовый вход передатчика^ нагрузочную способность канальных выходов 48 мА при низком уровне и 15 мА при высоком, ТТЛШ-вы- ходы приемника, пороговые напряжения при- емника по входу Utl — 0,7 В, Uth = 2 В. Условное графическое обозначение микро- схемы приведено на рис. 13.33, назначение вы- водов — в табл. 13.70, структурная схема по- казана на рис. 13.34, временная диаграмма входных сигналов — на рис. 1'3.35. Микросхема КМ1804ВАЭ работает ана- логично КМ1804ВА1 и КМ1804ВА2 в соответ- ствии с табл. 13.71. Электрические схемы вхо- дов, выходов и входов/выходов приведены на рис. 13.36, основные электрические параметры микросхемы — в табл. 13.72. Таблица истинности микросхемы КМ1804ВА2 приведена в табл. 13.68. Электрические схемы входов, выходов и входов/выходов аналогич- ны КМ1804ВА1 (см. рис. 13.29). В табл. 13.69 приведены параметры микросхемы. 13.13. Микросхема КМ1804ИРЗ Микросхема КМ1804ИРЗ — 8-разрядный параллельный двунаправленный регистр, пред- назначен для использования в качестве парал- лельного, наращиваемого по разрядности дву- Таблица 13.70 Вывод Обозначение Тнп вывода Функциональное назначение выводов 1 EWRRC Вход Разрешение записи в регистр приемника 2 DR0 Выход Данные R, 0-й разряд 3 DB0 Вход Данные В, 0-й разряд 4 DA0 Вход Данные А, 0-й разряд 5 ВО Вход/!выход Двунаправленный вывод ши- ны, 0-й разряд 6 GND1 — Общий 7 В! Вход/выход Двунаправленный вывод ши- ны, 1-й разряд 8 DA1 Вход Данные А, 1-й разряд 9 DB1 Вход Данные В, 1-й разряд 10 DR1 Выход Данные R, 1-й разряд 11 EZB Вход Разрешение выхода шины 12 М2 Выход Признак четности 13 SED Вход Выбор входных данных 14 DR2 Выход Данные R, 2-й разряд 15 DB2 Вход Данные В, 2-й разряд 16 DA2 Вход Данные А, 2-н разряд 17 В2 Вход/выход Двунаправленный вывод ши- иы, 2-й разряд 18 GND2 — Общий 19 ВЗ Вход'выход Двунаправленный вывод шины, 3-й разряд 20 DA3 Вход Данные А, 3-й разряд 21 DB3 Вход Данные В, 3-й разряд 22 DR3 Выход Данные R, 3-й разряд 23 С Вход Тактовый сигнал 24 Ucc — Напряжение питания Рис. 13.33. Условное гра- фическое обозначение КМ 1804 В АЗ 4 TFRC ЛАО 8 ВА1 16 Л AZ 20 ЛАЗ лм 2 — ЛН1 10 3 лм лкг /4 DB1 15 ВВ2 лоз 22 21 D83 5 >80 7 л 77 19 , >82 >83 М2 12* 13 >SED , 24 23 ' С СС 11 ЧЕ2В Б8Л1 — Бнлг-. f 18 177
Рис. 13.34. Структурная схема КМ1804ВАЗ Рис. 13.35. Временная диаграмма входных сигналов КМ1804ВАЗ Рис. 13.36. Электрические схемы входов, выходов н входов/выходов микросхемы КМ 1804В АЗ 178
Таблица 13.71 Сигналы на входах Состояние регистров Сигналы на выво- дах Выполняемая функция SED DA. DB. с EZB EWRRC Di DR, X X X X 1 X X X z X Отключение выходов передат- чика X X X X 1 0 X 0 0 1 Отключение выходов передат- чика и пересылка данных со входов В на выходы DR X X X X 1 0 X 1 1 0 То же X X X X X 1 X NC X Qi «Защелкивание» данных в ре- гистре приемника 0 0 X X X 0 X X X Загрузка регистра передатчика 0 1 X X X 1 X X X То же 1 X 0 X X 0 X X X » 1 X 1 X X 1 X X X » X X X 0 X X NC X X X Хранение данных в регистре передатчика X X X 1 X X NC X X X То же X X X X 0 X 0 X 1 X Выдача содержимого регистра передатчика на шины В X X X X 0 X 1 X 0 X То же Примечание. NC — без изменений; X — безразлично: 0 или 1; Z — состояние «отключено»; | — поло- жительный перепад; i=0, 1, 2, 3. Таблица 13.72 Параметр Обозна- чение Значения параметров [макс, (мин.)] Режим измерения Вывод микросхемы Выходное напряжение низкого уровня, В Qql 0,5 /оь = 48 мА /оь = 12 мА ВЗ—ВО DR3—DR0, М2 Выходное напряжение высоко- го уровня, В Qqh (2,4) 1он =—15 мА 1он =— 1 мА 1 он~ —0,66 мА ВЗ—ВО DR3—DR0 М2 Входной ток низкого уровня, мА 1 IL —0,2 —0,72 —0,36 1Ль=0,4 В ВЗ—ВО EWRRC. EZB Остальные входы Входной ток высокого уровня, мкА 1 IH 50 20 UIH = 2,7 В ВЗ—ВО Остальные входы Максимальный входной ток вы- сокого уровня, мкА Ток потребления, мА I IH max !CC 100 ПО CQCQ® ююй •ч-'ю'ьо' II II 1! s а о - - и ВЗ—ВО Остальные входы Время задержки распростране- ния сигнала, нс *P 32 38 40 50 Cl = 50 пФ От С до ВЗ—ВО От В, EWRRC до DR От В, EWRRC до М2 От DA, DB до М2 * Типовое значение времени задержки распространения сигнала от входа EZB до выходов ВЗ—ВО равно 23 нс. 179
Таблица 13.73 П 21 2В 1 3 6 _7 РАО РА! РА2 РАЗ РА± РА5 РАБ РА1 BSJQP BBQ Ив! DB2 DBS DBL HB5 DBB DB1 !8 11 /g 15 W 13 10 9_ 12 19 •RFLR ) FZB FL К 11 21 20 <8CR cr FLS s ± 25 'RFLS >82 А UCC- ( 8_ 23 >ECS GNp) . 22 ' CS Рис. 13.37. Условное графическое обозначе- ние КМ1804ИРЗ Рис. 13.38. Структурная схема КМ1804ИРЗ Вход . 20нс ck(cs) 1 * Вход > 19 нс *Ahc < ECK(BCS) 5 1 *11HC 8нс ОлииЫ РАО PAI {080-P 81) ; £ *20 нс ЪЗЬнс Вход RFLR \ (ft FLS) ” \ 7 Рис. 13.39. Временная диаграмма входных сиг- налов КМ1804ИРЗ Вывод Обозна- чение Тип вывода Функциональное назна- чение выводов 1 DA3 Вход/ выход Двунаправленный вы- вод данных А, 3-й разряд 2 DA4 Вход/ выход Двунаправленный вы- вод данных А, 4-й разряд 3 DA5 Вход/ выход Двунаправленный вы- вод данных А, 5-й разряд 4 RFLS Вход Очистка триггера S 5 FLS Выход Флаг триггера S 6 DA6 Вход/ выход Двунаправленный вы- вод данных А, 6-й разряд 7 DA7 Вход/ выход Двунаправленный вы- вод данных А, 7-й разряд 8 UCC — Напряжение питания 9 DB7 Вход/ выход Двунаправленный вы- вод данных В, 7-й разряд 10 DB6 Вход/ выход Двунаправленный вы- вод данных, В, 6-й разряд 11 FLR Выход Флаг триггера R 12 RFLR Вход Очистка триггера R 13 DB5 Вход/ выход Двунаправленный вы- вод данных В, 5-й разряд 14 DB4 Вход/ выход Двунаправленный вы- вод данных В, 4-й разряд 15 DB3 Вход/ выход Двунаправленный вы- вод данных В, 3-й разряд 16 DB2 Вход/ выход Двунаправленный вы- вод данных В, 2-й разряд 17 DB1 Вход/ выход Двунаправленный вы- вод данных В, 1-й разряд 18 DBO Вход/ выход Двунаправленный вы- вод данных В, 0-й разряд 19 EZB Вход Разрешение выходов данных В 20 CR Вход Тактовый сигнал ре- гистра R 21 ECR Вход Разрешение тактово- го сигнала регистра R 22 GND Общий 23 ECS Вход Разрешение такто- вого сигнала регист- ра S 24 CS Вход Тактовый сигнал ре- гистра S 25 EZA Вход Разрешение выходов данных А 180
Окончание табл 13.73 Таблица 1377 Вывод Обозна- чение Тнп вывода функциональное назна- чиние выводов 26 DA0 Вход/ ВЫХОД Двунаправленный вы- вод данных А, 0-н 27 DA1 Вход/ выход разряд Двунаправленный вы- вод данных А, 1-й разряд 28 DA2 Вход/ выход Двунаправленный вы- вод данных А, 2-й разряд Таблица 13.74 Сигналы иа входах Состояние РГ. fl- Выполняемая функция DAt ECR CR 0 1 X 0 0 1 X 0 1 NC Запись данных То же Хранение Примечание. X — состояние входа безраз- лично: NC— без изменений; f— положительный пе- репад. Сигналы на входах Состояние Рг. 5. Выполняемая функция DBl ECS CS 0 1 X 0 0 1 t * X 0 1 NC Запись данных То же Хранение Примечание. X — состояние входа безраз- лично; NC ~ без изменений;! — положительный пе- репад. Таблица 13.78 Сигналы на входах Состояние Тг. S Выполняемая функция RFLS ECS CS t X X 0 Очистка флага 0 t 1 Установка флага х, 4 1 X NC Хранение Примечание. X — состояние входа безраз- лично; NC — без изменений; f —положительный пе- репад; 1 — отрицательный перепад. Таблица 13.75 Сигналы на входах Состояние Тг. R Выполняемая функция RFLR ECR CR t X X 0 Очистка флага х. 4 0 t 1 Установка фла га х. 4 1 X NC Хранение Примечание. X — состояние входа безраз лично; NC — без изменений; | — положительный пе- репад; | — отрицательный перепад. Таблица 13.76 Сн гналы на входе EZB Состояние Рг. Сигналы на выхо- дах DB} Выполняемая функция 1 0 0 X 0 1 Z 0 1 Отключение буферных схем Выдача информации на выходы То же Примечание. X — состояние входа безраз лично; Z — состояние «выключено». Таблица 13.79 Сигналы на входе EZA Состояние Рг. St Сигналы на выходах Выполняемая функция 1 X Z Отключение бу- ферных схем 0 0 0 Выдача информа- ции на выходы 0 1 1 То же Примечание. X — состояние входа безраз- лично: Z — состояние «выключено». направленного порта ввода/вывода данных в составе цифровых вычислительных устройств. Она имеет два 8-разрядных параллельных ре- гистра, включенных встречно-параллельно ме- жду входами данных, отдельное управление регистрами (тактирование, флаги, очистка фла- гов), выходы с тремя состояниями. Условное графическое обозначение микро- схемы приведено на рис. 13.37, назначение вы- водов — в табл. 13.73, структурная схема по- казана на рис. 13.38, временная диаграмма входных сигналов — на рнс. 13.39. Микросхема КМ18О4ИРЗ состоит из двух регистров, включенных через буферные схемы с Тремя состояниями между двумя двунаправ- ленными 8-разряднымн шинами DAO—DA7, DB0—DB7. Одни нз регистров jPr. R) служит для передачи информации с шин DA0—DA7 181
1 а б л и ц a 13.80 Пара метр Обозна- чение Значения парвмет- ров [макс, (мин.)] Режим измерения Вывод микросхемы Выходное напряжение низкого UOL 0,5 Iol = 16 мА DAO— DA7, DB0—DB7 уровня, В I оl=12 мА FLR, FLS Выходное напряжение высоко- ион (2,4) Ion — —2 мА DA0—DA7, DB0—DB7 го уровня, В 1он = — 1 мА FLR, FLS Входной ток низкого уровня, 1IL -0,25 (7л,=0,5 В DA0—DA7, DB0—DB7 мА —2,0 RFLR, RFLS —0,36 Остальные входы Входной ток высокого уровня, 11Н 70 Uih—2J В DAO—DA7, DB0—DB7 мкА 100 RFLR. RFLS 20 Остальные входы Максимальный входной ток высокого уровня, мА IH max 1 ,о 1Лн=5,5 В Все входы Ток потребления, мА ]сс 275 17сс = 5,25 В — Время задержки распростране- t* Ср 26 Сь = 50 пФ От CR до DB0—DB7. От ния сигнала, нс 20 CS до DAO—DA7 От CR до FLR. От CS до FLS 22 От RFLR до FLR От RFLS до FLS •Типовое значение времени задержки распространения сигнала от входа EZA (EZB) до выходов ОАО— DA7 (DB0—DB7) равно 27 нс. на шины DB0—DB7, другой регистр (Рг. S) передает информацию в другом направлении (с шин DB0—DB7 на шины DA0—DA7). Каж- дый из регистров имеет отдельные входы так- тового сигнала (CR, CS}, разрешения записи (ECR, ECS), а также отдельный флаговый триггер (Тг. R, Тг. S), который устанавлива- ется в состояние 1 при записи данных в соот- ветствующий регистр. Для пересылки информации с шин DA0— DA7 на шины DB0—DB7 выходные буферные схемы (Бф. S) должны быть переведены в состояние «отключено» путем подачи на вход EZA напряжения высокого уровня. На вход ECR должно быть подано напря- жение низкого уровня, а флаговый триггер (Тг. R) необходимо предварительно очистить подачей на вход RFLR положительного пере- пада сигнала. Информация со входов DA0—DA7 запи- шется в регистр Рг. R по положительному фронту тактового сигнала CR. После подачи сигнала низкого уровня на вход EZB инфор- мация с выходов регистра Рг. R через буфер- ные схемы Бф. R передается на выходы DB0—DB7. Одновременно с записью информа- ции в регистр Рг. R триггер Тг. R устанавли- вается в состояние лог. 1 и на выходе FLR появляется сигнал высокого уровня. При пода- че сигнала высокого уровня на вход ECR ре- гистр Рг. R переводится в оежим хранения данных. Функционирование регистра Рг. R и триг- гера Тг. R поясняется табл. 13.74, 13.76. Функ- 182 ционирование выходных буферных схем Бф. R осуществляется в соответствии с табл. 13.76. Длн передачи информации с шнн DB0— DB7 на шины DA0—DA7 выходные буферные схемы Бф. R переводятся в состояние «отклю- чено» путем подачн сигнала высокого уровня на вход EZB. Функционирование Рг. S, Тг. S, Бф. S происходит аналогично Рг. R, Тг. R, Бф. R в соответствии с табл. 13.77—43.79. Основные электрические параметры микро- схемы приведены в табл. 13.80. 13.14. Микросхема КМ1804ГГ1 Микросхема КМ1804ГГ1 — системный так- товый генератор, предназначен для примене- ния в составе блоков синхронизации цент- ральных процессоров микро-ЭВМ и других вы- числительных устройств в качестве задающего тактового генератора. Микросхема имеет ста- билизированный с помощью внешнего кварце- вого резонатора генератор опорной частоты от 1 до 30 МГц , микропрограммнруемую дли- тельность цикла тактовых импульсов от 3 до 10 периодов опорной частоты, состояния «ра- бота», «останов», «ожидание», «шаговый ре- жим» Условное графическое обозначение микро- схемы приведено иа рис. 13.40, назначение выводов — в табл. 13.81, структурная схема показана на рис. 13.41. Опорный генератор микросхемы КМ1804ГГ1 представляет собой инвертирующий усилитель,
Таблица 13.81 1 Вывод! Обозначение Тип вывода Функциональное назначение выводов 1 GND . Общий 2 RA Вход Сигнал «Готов» 3-5 СО1—СОЗ Входы Управление длительностью микро- цикла 6 С1 Выход Тактовый сигнал, фаза 1 7 С2 Выход Тактовый сигнал, фаза 2 8 СЗ Выход Тактовый сигнал, фаза 3 9 С4 Выход Тактовый сигнал, фаза 4 ю, // COSC, COSO 0VGN Входы Управление шаговым режимом 12 Общий генератора опорной часто- ты 13 + 5VGN — Напряжение питания генератора опорной частоты 14 F Выход Опорная частота 1b FC2 —— Для подключения кварцевого ре- зонатора 1ь FC1 Вход Для подключения кварцевого ре- зонатора 17 COS Вход Управление состоянием выходов в режиме «Останов» 18 ни Вход Сигнал «Останов» 19 ST Вход Сигнал «Пуск» 20 WI Выход Сигнал «Ожидание» 21 RQWI Вход Сигнал «Запрос ожидания» 22 SR Вход Первоначальная установка 23 EWI Вход Сигнал «Разрешение ожидания» 24 Ucc — Напряжение питания Рис. 13.40. Условное гра- фическое обозначение КМ1804ГГ1 м /У \FC1 {FC2 GN F 2 cot Ct 6 2 COZ C2 У /7 СОЗ C3 cos СЧ 18 19 > ST WI ( 20 10 COSC 11 COSO + 5V6N', , 13 22 5*? OVGN) , 12 21 2 23 RQWI RA EWI u:c : GND} 24- 1 который с помощью минимального числа внешних элементов может быть использован как кварцевый генератор, LC-генератор нли в качестве буфера для внешнего источника тактового сигнала. Типовые схемы включения для этих случаев приведены иа рис. 13.42. Вы- ходной сигнал этого генератора поступает на регистр тактового генератора и выходной бу- фер выхода F. Внешние элементы подключа- ются к выводам FC1, FC2. Опорный генератор работает с максимальной частотой 30 МГц. Регистр управления длительностью микро- цикла (3-разрядный) предназначен для приема Рис. 13.41. Структурная схема КМ1804ГГ1 183
Рис. 13.42. Схемы включения КМ1804ГГ1 для различных режимов: а — на основной частоте кварца (/? = 0 для f-6-20 МГц; /? = Хс2=1/2 nfc^ для f=14-6 МГц); б —с внешним генератором (Л = 4,7 кОм; С = = 0,01 мкФ); в — на 3-й гармонике кварца для f ^20 МГц (0 = 68 пФ; С2>1000 пФ; 0 = 82 пФ; L=H5072 мкГн);г —с LC-генератором (f=l/2n/LC, С/ = СЗ=С, ХС2 « Xl) е) г) Таблица 13.82 Вход cos Состояние выходов С4 CJ С2 С1 1 0 0 1 1 0 1 0 1 0 Входные MOb/COi сог,т Формы Выходных сигналов и Ве- личины микро- циклоВ ООО 01S~LS ^Л_Г ^л_г «и L ^ДЛПГ 00! 01} и fz’l—l Г fjf—1 Г «и L ^ЛЛЛЛГ 101 01} и 1 Г gjf—1 г «и L JUUUU1T 111 <11 и fZj |_г 1 г «11 L ллллллг Формы Выходных сигналов и Величины микроциклоб 011 01} ' 1_Г |_г 03S —1 г «11 L 0 лллллллг 010 01} LT 02} |_Г 00} 1 Г «и L ^ЛЛЛПЛЛЛЛГ iw 01} и 02} 1 Г 02} | г «и L ^ЛПЛЛПЛДЛЛГ 100 01} 1_Г 02} L Г 03} 1 Г «11 L ^ДЛЛЛЛЛДПЛПГ и хранения кода управления длительностью микроцикла (С01—СОЗ). Запись в регистр осуществляется в конце микроцикла выходных фаз, когда на выходе С/ присутствует сигнал низкого уровня. При переходе сигнала иа выходе С/ на высокий уровень регистр переходит в режим хранения. Дешифратор управления состоянием пред- назначен для формирования управляющих сигналов для регистра тактового генератора. Регистр тактового генератора предназначен для формирования четырех фаз выходных так- товых сигналов С1—С4. Диаграммы, показы- вающие состояние тактовых выходов С1—С4 в зависимости от состояния входных управля- ющих сигналов С01—СОЗ, приведены на рис. 13.43. Блок управления служит для формирова- ния сигналов управления работой микросхемы. Режимы работы задаются девятью входными сигналами S/?, COS, HLT, ST, COSC, COSO, RQWI, EWI, RA. Выход WI характеризует режим работы схемы. Вход S/? предназначен для первоначально- го запуска схемы после включения питания. Прн подаче на вход S/? лог. О все тактовые выходы работают в соответствии с рис. 13.43 независимо от состояния входов HLT, COSC, COSO, RQWI, RA. Вход COS предназначен для управления состояниями тактовых выходов в режиме «Ос- танов». При переводе схемы в режим «Оста- нов» тактовые выходы С1—С4 остановятся в одном из двух состояний определяемых логи- ческим сигналом на входе COS (табл. 13.82). Входы HLT и ST служат для установки ре- жимов работы (табл. 13.83). Для перевода схемы в режим «Останов» необходимо на вход ST подать сигнал высоко- го уровня, а на вход HLT — низкого уровня. При этом, если сигнал НЕТ пришел не в пос- леднем периоде опорной частоты в микроцик- ле выходных фаз, то тактовые выходы С1— С4 заканчивают текущий мнкроцикл и оста- навливаются в состоянии, определяемом табл 13 82 Если сигнал HLT пришел в послед- нем периоде опорной частоты в мнкроцикле Рис. 13 43. Диаграммы состояния тактовых выходов КМ1804ГГ1 Таблица 13.83 Вход HLT Вход ST Режим работы 0 1 Останов 1 0 Работа 184
выходных фаз, то схема отработает следующий мнкроцикл и перейдет в режим «останов» Для перевода схемы в рабочий режим необ- ходимо на вход HLT подать сигнал высокого уровня, а на вход ST — низкого уровня. Входы COSC и COSO предназначены для организации пошаговой работы в режиме «Ос- танов». Нормальное положение входов COSC и COSO в режиме «Останов»: COSC=Q, COSO=\. При подаче на вход COSC сигнала высокого уровня, а на вход COSO — низкого уровня схема отработает на выходах С1—С4 один мнкроцикл и остановится. Для организа- ции следующего микроцикла необходимо на входы COSC и COSO подать те же сигналы, предварительно вернув нх в нормальное по- ложение. Входы RQWI, EWl, RA служат для управ- ления схемой в режиме «Работа». В нормаль- ном состоянии на входы RQWI, EWI, RA по- даны сигналы высокого уровня. При поступле- нии запроса ожидания (RQWI=O), а затем (не менее чем через один период опорного сиг- нала F) сигнала разрешения ожидания (£№/ = 0) тактовые выходы С1—С4 останав- ливаются в том состоянии, в каком их застал сигнал EWI При поступлении сигнала = О схема закончит прерванный цикл и продолжит работу. Выход WI предназначен для индикации режима «Работа» (Ц7/=1) илн «Ожидание» (W = 0). Таблица 13.84 Рис. 13.44. Рекомендуемая схема включения КМ1804ГГ1 На рис. 13.44 приведена рекомендуемая схема подключения питания, земли и кварце- вого резонатора. В табл. 13.84 приведены ос- новные электрические параметры микросхемы. Типовые значения динамических параметров представлены в табл. 13.85. Параметр Обозна- чение Значения парамет- ров [макс, (мни )] Режим измерения Вывод микросхемы Выходное напряжение низкого уровня, В UOL 0,5 Iol— 16 мА Iоl — 12 мА F С1—С4, WI Выходное напряжение высоко- го уровня, В ион (2,41 /о н = — 1,0 мА Все выходы Входной ток низкого уровня, мА 11L —0,4 -0,8 — 1,0 — 1,2 —1,5 UiL=o,b в RA, SR, СО1—СОЗ FC1, RQWI COSC, COSO, HLT, ST EWl COS Входной ток высокого уровня, мкА 1 /Н 20 50 70 —500* 500 —750* U1H =2,7 В RA, SR. COI—CO3 RQWI EWI COSC, COSO, HLT, ST ГС I COS Максимальный входной ток вы- сокого уровня, мкА Ток потребления, мА IJH шах 1СС 0,1 1 ,0 0,1 1,0 1,0 120 UIH=5,5 В U, н =5,5 В U i н = U сс U IH — UcC Uih = 4 В Ucc = 5,25 В RA, SR, CO1—CO3 RQWI, EWl COSC, COSO, HLT, ST COS FC I Время задержки распростране- ния сигнала, нс tp 35 Cl = 50 пФ От FC1 до F * На входах COSC, COSO, HLT, ST, COS внутри схемы включен резистор между входом н шиной пита- ния. 185
Таблица 13.85 Параметр Значения параметров МИИ . макс Время задержки распространения сигнала от входа SJ? до выхода WI, нс Время установления сигнала, ис: на входах СО1—СОЗ относительно положительного фронта сигнала на вы- ходе С1 на входах EWI, RQWI, RA, SR, HLT, COSC, COSO относительно положи- тельного фронта сигнала на выходе F на входе COS относительно положительного фронта сигнала на выходе F Время сохранения сигнала, нс: на входах EWI, RQWI, RA относительно положительного фронта сигнала на выходе F на входах СО!—СОЗ относительно положительного фронта сигнала на вы- ходе С1 Длительность сигнала низкого уровня на входе S/?, нс Время задержки, нс: положительного фронта сигнала на выходах С!—С4, WI относительно поло- жительного фронта сигнала на выходе F отрицательного фронта сигнала на выходах С1—С4, WI относительно поло- жительного фронта сигнала на выходе F положительного фронта сигнала на выходе С1 относительно положительного фронта сигнала на выходах С2, СЗ положительного фронта сигнала на выходе С1 относительно отрицательного фронта сигнала на выходе С4 5 20 25 0 9 15 0 3 0 4 23 5 12 2 10 13.15. Микросхема КМ1804ВУ5 Микросхема КМ1804ВУ5 — 4-разрядная секция управления адресом программной па- мяти, предназначена для применения в соста- ве устройств управления адресом программной памяти центральных процессоров микро>-ЭВМ и других быстродействующих вычислительных устройств. Микросхема может быть использо- вана в качестве схемы управления адресом как основной памяти программ, так и памяти микропрограмм, выполняет 32 микрокоманды выбора адреса и содержит наращиваемый пол- ный сумматор для вычисления адресов, 17-уровневый внутренний стек для вложения подпрограмм и отдельную схему приращения для счетчика команд. Условное графическое обозначение микро- схемы приведено на рнс. 13.45, назначение вы- водов — в табл. 13 86, структурная схема по- казана на рис. 13.46, временная диаграмма входных сигналов — на рис. 13.47. Микросхема КМ1804ВУ5 содержит следую- щие функциональные блоки (см. рис. 13.46): СА — счетчик адресов; РА — регистр адре- са; СТ — стек; БС — блок сумматора; БА — буферные схемы адреса; КСА, KPA, КСТ, Км. А, Км. В — коммутаторы счетчика ад- ресов, регистра адреса, стека, операндов А и В сумматора соответственно; УУ — устройст- во управления Все регистры микросхемы сра- батывают по положительному фронту такто- вого сигнала С. 186 Микросхема выполняет 32 микрокоманды (при EINS = 0). В табл. 13.87 приводятся ре- зультаты их выполнения. Микрокоманды мож- но разделить на пять групп: безусловные вы- борки, условные переходы к подпрограмме, условные возвраты из подпрограммы, смешан- ные микрокоманды. Безусловные выборки (микрокоманды 1—9 в табл. 13.87). Во время выполнения безусловных выбо- рок счетчик адресов работает в режиме запн- си. Для микрокоманд с 1-й по 7-ю режим ра- боты регистра адреса определяется сигналом со входа EWRRG. Во время выполнения мик- рокоманд 8 и 9 в регистр адреса записывается информация соответственно либо из счетчика адресов, либо суммарная информация из ре- гистра адреса и с входов адреса D. Во время выполнения безусловных выбо- рок стек работает в режиме хранения. Условные переходы (микрокоманды 16—21 в табл. 13.87). Во время выполнения услов- ных переходов происходит запись информации с выхода сумматора в счетчик адресов. К этой информации перед записью прибавляется 1, так как на входе CRST0 младшей секции — обычно сигнал высокого уровня. Режим работы регистра адреса определя- ется сигналом на входе EWRRG, а стек ра- ботает в режиме хранения. Условные переходы выполняются, если на входе СС-сигнал низкого уоовня (условие вы- полнено). Если на входе СС-снгнал высокого уровня (условие не выполнено), то выполняет-
Таблица 13.86 К О ffi 3 S3 Обозначение Тип вывода Функциональное назначение выводов 1 INS0 Btxo.i Микрокоманда, 0-й разряд 2 FLMAX Выход Флаг «Стек заполнен» 3 FLMIN Выход Флаг «Стек пуст» 4 CRCT0 В|Ход Перенос в счетчик b EZDY Вход Разрешение выходов DY 6 CRCT4 Выход Перенос счетчика 7 CRSM0 Вход Перенос в сумматор 8 DY0 Выход Данные, 0-й разряд 9 DY1 Выход Данные, 1-й разряд 10 DY2 Выход Данные, 2-й разряд 11 DY3 Выход Данные, 3-й разряд 12 CRG Выход Генерация переноса сумматора 13 CRSM4 Выход Перенос сумматора 14 GND — Общин 15 С Вход Тактовый сигнал 16 CRP Выход Распространение переноса сумма- 1 тора 17 D3 Вход Данные, 3-й разряд 18 D2 Вход Данные, 2-й разряд 19 D1 Вход Данные, 1-й разряд 20 DO Вход Данные, 0-й разряд 21 EWRRG Вход Разрешение записи в регистр 22 EINS Вход Разрешение микрокоманды 23 СС Вход Условие 24 INS4 Вход Микрокоманда, 4-й разряд 25 INS3 Вход Микрокоманда, 3-й разряд 26 INS2 Вход Микрокоманда, 2-н разряд 27 INS1 Вход Микрокоманда, 1-й разряд 28 Ucc Вход Напряжение питания Рис. 13.45. Условное гра- фическое обозначение КМ1804ВУ5 70 D CUMINS 4RY 8 0 0 13 9 18 2 2 10 17 J 3 11 1_ 0Ж CRCT4 t 6_ 27 1 CRSML 13 26 2 CRG < , 12 25 3 CRP < , 16 24 4 FL MAX’ , 2 — FLMIN < , 3 22 > E3NS 23 > СС 21 ’EWRRG 4 CRCTO 7 CRSMO 5_ '> E2HY — Ucc , 28 19 с GNU , /4 ся выборка счетчика адресов (микрокоман- ды 16—31). Условные переходы к подпрограмме (ми- крокоманды 22—27 в табл. 13.87). В стек за- писывается информация из счетчика адресов, а в счетчик адресов записывается информация с выхода сумматора, увеличенная на 1. Во время выполнения условных переходов к подпрограмме режим работы регистра ад- реса определяется сигналом на входе EWRRG. CRSMO Рис. 13.46. Структурная схема КМ1804ВУ5 187
Таблица 13.87 Вход тактовый С Входы микроко манды >Онс > 55 нс Вход разре- шения мик- рокоманды BINS ------ Вход переноса — В сумматор CBS МО — Вход переноса. — В счетчик адресов — С ВСТО Входы ______ адреса В (ffik-INSO=__ -0~в.!0~15, £WRRG=Q) Входы — адреса В (оста ль- —— ные микроко- манды) Вход раз- — решения за • писи В ре- _ еистр адре- са EWRH6 Вход ус- ловия С С >32 нс >25 нс ЭЕЕЕ > 66 нс >75 нс >2 нс 24 нс. Рис. 13.47. Временная диаграмма входных сигналов КМ1804ВУ5 Условные переходы к подпрограмме вы- полняются, если на входе СС-сигнал низкого уровня. Если на входе СС-сигнал высокого уровня, то выполняется выборка счетчика ад- ресов. Условные возвраты на подпрограммы (ми- крокоманды 28 и 29 в табл. 13.87). В стеке происходит считывание информации, а инфор- мация с выхода сумматора, увеличенная на 1, записывается в счетчик адресов. Режим работы регистра адреса определяет- ся сигналом на входе EwRRG. Условные воз- враты из подпрограммы выполняются, если на входе СС—сигнал низкого уровня. Если на входе СС — сигнал высокого уровня, то вы- полняется выборка счетчика адресов. Смешанные микрокоманды (микрокоманды О, 10—15, 30, 31 в табл. 13.87). Микрокоманда 0 — «Очистка». На выходах адреса DY появляются сигналы низкого уров- ня. В счетчик адресов записывается либо 0, либо 1, в зависимости от сигнала на входе CRCT0 младшей секции. Происходит очистка стека, а режим работы регистра адреса опре- деляется сигналом на входе EWRRG. Микрокоманда 10 — «Запись в регистр ад- реса». В регистр адреса записывается информация с входов адреса D. В счет- чике адресов информация либо остается без изменений, либо увеличивается на 1, в за- висимости от сигнала иа входе CRCT0. Стек работает в режиме хранения. 188 Примечания. 1. Прн E/NS=\ сигналы на выхо 2. На выходах DY состояние «выключено». 3. «—» — без изменений.
Микрокоманда Сигналы на выходах адреса DY Состояние после положительного перепада сигнала на входе С Режим работы стека счетчика адресов СА регистра адреса РА EWRRG=0 EWRRG— = 1 Запрещение микрокоман- ды Очистка Безусловные выборки: См. прнм. 1 — D — Хранение 0 0 + RC0 D — Очистка СД СД CA + RC0 D Хранение РА РА CA + RC0 D — Хранение D D CA + RC0 D Хранение PA+D PA + D+AC0 CA+RC0 D Хранение CA+D CA + D+AC0 CA+RC0 D — Хранение Хранение СА + РА СА + РА+АСО CA+RC0 D CT+D CT+D+AC0 CA + RC0 D Хранение СА-+РА СА CA+RC0 СА СА Хранение PA+D^PA Смешанные микрокоман- ды: PA + D+AC0 CA + RC0 PA+D+ -+-АСО PA+D+ + АСО Хранение Запись в РА СА CA+RC0 D D Хранение Запись СА в стек СА CA + RC0 D Запись СА Запись D в стек СА CA + RC0 D Запись D Считывание нз стека СТ CA + RC0 D — Считывание Считывание из стека и выборка СЛ СА CA + RC0 D — Считывание Хранение Условные микрокоманды, СС=1: СА — D — Хранение Выборка СА Условные переходы, СС = 0: СА CA + RC0 D — Хранение по РА РА PA + RC0 D — Хранение по D D D+RC0 D — Хранение по 0 0 0+RC0 D Хранение по PAA-D PA + D+AC0 PA + D+AC0+RC0 D Хранение Хранение Хранение по CA + D CA + D+AC0 CA+D+AC0+RC0 D по СА+ РА Условные переходы к подпрограмме, СС=0: СА + РА+АСО СА + РА+АС0+ +RC0 D — по РА РА PA+RC0 D — Запись СА по D D D+RC0 D — Запись СА по 0 0 0+RC0 D — Запись СА по РА + D PA+D + AC0 PA + D+AC0+RC0 D — Запись СА по CA + D CA + D+AC0 CA+D+AC0+RC0 D по СД+РД Условные возвраты из подпрограммы, СС=0: СА + РА + АСО СА + РА + АС0+ +RC0 D — Запись СА по СТ СТ CT+RCO D Считывание по CT+D Смешанные микро- команды, СС~0: CT + D+AC0 CT+D+AC0+RC0 D — Считывание Условное хранение СА — D Хранение Временное прекращение работы См. прим. 2 — D — Хранение дах DY определяются сигналами на входах INS4—1MS0 н СС так же, как и при ElNS^O 4 X — состояние входа безразлично 5. АСО — сигнал на входе CRSMO; RC0 — сигнал на входе CRCT0 189
Таблица 13 88 Параметр Обозна- чение Значения парамет- ров [макс (мни )] Режим измерения Вывод микросхемы Выходное напряжение низкого уровня, В UOL 0,5 loi^ 12 мА /0 L — 16 мА FLMAX, FLMIN, CRP Остальные выходы Выходное напряжение высоко- го уровня, В U ОН (2,4) 1он~ —1,2 мА 1он = —1,6 мА FLMAX, FLMIN, CRP Остальные выходы Входной ток низкого уровня, мА 11L —3,25 —2,31 —0,657 —0,36 —0,702 17 л. = 0,5 В CRSM0 CRST0 СС D3—D0 Остальные входы Входной ток высокого уровня, мкА 1 IH 250 90 50 20 40 U, и = 2,7 В CRSM0 CRST0 СС D3—D0 Остальные входы Максимальный входной ток высокого уровня, мА Ток потребления, мА IIH max 1,0 17/н = 5,5 В Все входы !сс 220 17сс = 5,25 В — Время задержки распростране- ния сигнала, нс tp 49 69 CL = 50 пФ От D до DY От С до DY Микрокоманда 11 — «Запись в стек содер- жимого счетчика адресов». Она аналогична микрокоманде «Выборка счетчика адресов», за исключением того, что содержимое счетчи- ка записывается в стек. Микрокоманда 12 — «Запись в стек инфор- мации с входов адреса О». Она аналогична микрокоманде «Выборка счетчика адресов», за исключением того, что в стек записывается информация с входов адреса. Микрокоманда 13 — «Считывание из сте- ка». На выходы адреса DY поступает инфор- мация нз вершины стека. Затем значение ука- зателя стека уменьшается на 1. Режим работы регистра адреса определя- ется сигналом иа входе EWRRG. В счетчик адресов записывается информация с выхода сумматора, увеличенная на 1 Микрокоманда 14 — «Считывание из стека и чтение счетчика адресов». Она аналогична микрокоманде «Выборка счетчика адресов», за исключением того, что происходят считы- вание информации из стека и уменьшение на 1 значения указателя стека. Микрокоманда 15 — «Хранение». На выхо- ды адреса DY поступает информация из счет- чика адресов Режим работы регистра адреса определяется сигналом иа входе EWRRG Счетчик адресов н стек работают в режиме хранения Микрокоманда 30 — «Условное хранение» Если на входе СС — сигнал низкого уровня, то микрокоманда 30 не отличается от микро- команды 15 Если на входе СС — сигнал вы- сокого уровня, то микрокоманда 30 совпада- ет с микрокомандой «Выборка счетчика адре- сов». 190 Микрокоманда 31 — «Временное прекраще- ние работы» не отличается от микрокоман- ды 30, за исключением того, что выходы адре- Т а б л и ц а 13.89 Значения tp до выходов, нс Вход 1 Qq CRG, CRP Ui (0 = =^SW/> USHO CRST4 (J NS4—\) FLMAX FLMIN 1 INS4— INS0 81 67 77 80 91 69 СС 63 45 55 —. 72 42 — CRSM0 32 — 25 — 45 — — CRST0 — — — 22 22 — — С 69 53 61 43 78 55 55 D3—D0 49 33 40 — 59 — — EINS — — — — — 40 — Таблица 13.90 Измеряемая цепь Значения tp, нс Разреше- ние (С^= =50 пФ) Запрет (CL= = 5 пФ) От EZDY до DY3—DY0 27 26 От СС до D Y3—DY0 55 37 От INS4—INS0 до DY3—DY0 80 55
Са переходят в состояние «выключено», если на входе СС — сигнал низкого уровня. В табл. 13 88 приведены основные электри- ческие параметры микросхемы, в табл. 13.89 — типовые значения динамических параметров прн Сь=50 пФ, в табл. 13.90 — типовые зна- чения времени задержки распространения сиг- нала прн переключении выходов DY3—DY0 в состояние «выключено» и обратно 13.16. Микросхема КМ1804ВЖ1 Микросхема КМ18О4ВЖ1 — 16-разрядная схема обнаружения и коррекции ошибок, пред- назначена для работы в составе блоков опе- ративной памяти микро-ЭВМ. Она позволяет обнаруживать н исправлять ошибки, возни- кающие при записи и считывании данных из запоминающих устройств. Микросхема исправ- ляет все однобитовые ошибки, обнару- живает все двойные, некоторые тройные ошибки, а также ошибки типа 00. .00, 11...11, допускает наращивание разрядности и побайтную работу, имеет встроенную диаг- ностику для самоконтроля процессора, повы- шает надежность работы памяти более чем в 60 раз. Условное графическое обозначение микро- схемы приведено на пне. 13.4®, назначение выводов — в табл. 13.91, структурная схема — иа рис. 13 49, временная диаграмма работы — на рис. 13.50. Микросхема КМ.18О4ВЖ1 имеет 16 дву- направленных выводов данных, 7 входов кон- трольных бит, 14 входов управления, 7 вы- ходов признаков на три состояния, 2 выхода индикации типа ошибки н содержит в своем составе четыре в-разрядных регистра данных, 7-разрядный регистр контрольных бит, 16-раз- рядный регистр режима диагностики, генера- тор контрольных бит, генератор признаков ошибки, схему обнаружения ошибки, дешиф- ратор одиночной ошибки, схему коррекции ошибки, три 7-разрядных коммутатора (КА, КВ, КС), 7-разрядный буфер признаков ошиб- ки (БПО) н устройство управления (УУ) Система микрокоманд микросхемы обес- печивает девять режимор работы микросхемы, три из которых являются диагностическими, что упрощает контроль и выполнение микро- схемой функций системной диагностики при работе микросхемы в аппаратуре пользовате- ля. Микросхема может работать в режимах- начальной установки, прямой передачи, гене- рации контрольных бит, обнаружения ошибки, коррекции ошибки, диагностики генерации контрольных бит, диагностики обнаружения ошибки, диагностики коррекции ошибки, внут- реннего управления. Данные по 16-разрядной двунаправленной шине данных D15—D0 загружаются в регист- ры входных данных, байтов 0 и 1 (РДО и РД1) под управлением сигнала, поступающе- го со входа EWRD Пои высоком уровне сиг- нала на входе EWRD состояние регистров РДО и РД1 соответствует сигналам, подавае- мым на входы D7—D0 и 015—D0 соответст- венно. При низком уровне сигнала на входе EWRD сохраняется предшествующее состоя- ние РДО и РД1. Контрольные биты по 7-разрядной шине DCO0—DCO6 загружаются в регистр конт- рольных бит (РКБ) под управлением сигнала, поступающего со входа EWRD. При высоком уровне сигнала на входе EWRD состояние РКБ соответствует сигналам, подаваемым на входы DCO0—DCO6, а при низком уровне сохраняется предшествующее состояние РКБ В соответствии с модифицированным кодом Хэмминга генератор контрольных бит (ГКБ) вырабатывает контрольные биты под управле- нием сигнала, поступающего со входа 1NS6. При низком уровне сигнала на входе 1NS6 ГКБ генерирует контрольные биты, а при вы- соком — осуществляется режим обнаружения и коррекции ошибки. В режиме генерации ГКБ генерирует контрольные биты (полностью для 16-разрядного формата данных, часть для 32- и 64-разрядных форматов) для данных, хранимых в РДО « РД1, и передает их на вы- ходы DCOY Генератор признаков ошибки (ГПО) гене- рирует признаки ошибки путем сравнения конт- рольных бит, считываемых с РКБ, с контроль- ными битами, сформированными ГКБ по дан- ным, считанным с РДО н РД1. Если оба на- бора контрольных бит одинаковы, то ошибки нет, в противном случае либо данные, либо контрольные биты содержат ошибку. Биты признаков формируются схемой исключающее ИЛИ по двум наборам контрольных бит. При отсутствии ошибки все биты признаков ошиб- ки будут находиться в состоянии 0 При на- личии ошибки один или несколько бит ошибки будут находиться в состоянии 1 В результате дешифрации признаков ошиб- ки определяется кратность ошибки, а при оди- ночной ошибке — положение неисправного би- та в слове данных Схема обнаружения ошибки (СОО) дешиф- рует биты признаков ошибки, вырабатываемые ГПО, под управлением сигнала, поступающего на вход 1NS6 Прн высоком уровне сигнала на входе 1NS6 на выходах ER н ERM индици- руется тип ошибки. Прн отсутствия ошибки в данных и контрольных битах на выходах ER и ERM сохраняется сигнал высокого уровня. При наличии хотя бы одной ошибки на выхо- де ER устанавливается низкий уровень сигна- ла При наличии двух и более ошибок низкий уровень устанавливается и на выходе ERM При низком уровне сигнала на входе INS6 (в режиме генерации) на выходах ER и ERM всегда устанавливается сигнал высокого уровня. Дешифратор одиночной ошибки (ДОО) дешифрует биты признаков ошибки, вырабаты- ваемые ГПО, под управлением сигнало'В, посту- пающих на входы управления 1NS6 и 1NS5, а также внутреннего сигнала типа ошибки, определяет разряд данных, в котором допу- щена однократная ошибка, преобразуя 7-раз- рядный код признаков ошибки в 16-разрядный 191
код, который подается на СКО. Дешифрация осуществляется только пои сигналах высокого уровня на входах INS6 и INS5 и отсутствии сигнала многократной ошибки (на выходе ERM— сигнал высокого уровня). Схема коррекции ошибок (СКО) принимает с РДО и РД1 16-разрядный формат н с ДОО 16-разрядный дешифрированный код местопо- ложения ошибки. При наличии одиночной ошибки СКО корректирует один неверный бит z^-*- LU CH z и DCOY 23 0 0 22 1 / 24 2! 27 20 J 28 /7 26 tfi ?q 15 5 5 5 25 ~iz~ 7 8 ER t 32 11 10 9 9 10 11 ERM< t 33 3 12 4 13 J 14 15 40 34 35 z 37 за 4 33 6 43 INS 45 «6 47 3 if 1 5 e 7 7; 'EWRINS )EWRD tlcc1 t 36 1EWRDY a" EZDO SND; , 13 57.1)! EZDCOY Рис. 13.48. Условное графическое обозначе- ние КМ1804ВЖ1 Таблица 13.91 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 INS5 Вход Микрокоманда, 5-й разряд 2 D15 Вход/выход Данные, 15-й разряд 3 D14 Вход/выход Данные, 14-й разряд 4 D13 Вход/выход Данные, 13-й разряд 5 D12 Вход/выход Данные, 12-й разряд 6 EWRD Вход Разрешение записи входных дан- ных 7 EWRINS Вход Разрешение записи микрокоманды 8 EZD1 Вход Разрешение выходов данных, 1-й байт 9 Dll Вход/выход Данные, 11-й разряд 10 DIO Вход/выход Данные, 10-й разряд 11 D9 Вход/выход Данные, 9-й разряд 12 D8 Вход/выход Данные, 8-й разряд 13 GND — Общий 14 D7 Вход/выход Данные, 7-й разряд 15 D6 Вход/выход Данные, 6-й разряд 16 D5 Вход/выход Данные, 5-й разряд 17 D4 Вход/выход Данные, 4-й разряд 18 EZDO Вход Разрешение выходов данных, 0-й байт 19 EWRDY Вход Разрешение записи выходных дан НЫХ 20 D3 Вход/выход Данные, 3-й разряд 21 D2 Вход/выход Данные, 2-й разряд 22 DI Вход/выход Данные, T-й разряд 23 DO Вход/выход Данные, 0-й разряд 24 DCOY1 Выход Контрольные данные, 1-й разряд 25 DCOY6 Выход Контрольные данные, 6-й разряд 26 DCOY4 Выход Контрольные данные, 4-й разряд 27 DCOY2 Выход Контрольные данные, 2-й разряд 28 DCOY3 Выход Контрольные данные, 3-й разряд 29 DCOY5 Выход Контрольные данные, 5-й разряд 30 DC OYO Выход Контрольные данные, 0-й разряд 31 EZDCOY Вход Разрешение выходов контрольных данных 32 ER Выход Признак'однократной ошибки 33 ERM Выход Признак многократной ошибки 34 DCO1 Вход Контрольные данные, 1-й разряд 35 DCO2 Вход Контрольные данные, 2-й разряд 36 Ucc — Напряжение пнтання 37 DCO3 Вход Контрольные данные, 3-й разряд 38 DC 04 Вход Контрольные данные, 4-й разряд 39 DC 05 Вход Контрольные данные, 5-й разряд 40 DCOO Вход Контрольные данные, 0-й разряд 41 DCO6 Вход Контрольные данные, 6-й разряд 42 INS6 Вход Микрокоманда, 6-й разряд 43 INSO Вход Микрокоманда, 0-й разряд 44 1NS1 Вход Микрокоманда, 1-й разряд 45 INS2 Вход Микрокоманда, 2-й разряд 46 1NS3 Вход Микрокоманда, 3-й разряд 47 INS4 Вход Микрокоманда, 4-й разряд 48 INS7 Вход Микрокоманда, 7-й разряд 192
Рнс. 13.49. Структурная схема КМ1804ВЖ1 данных путем инвертирования его значения. Скорректированные данные загружаются в ре- гистры РВО и РВ1 и могут затем быть вы- даны на 16-разрядную двунаправленную шину данных D15—DO. При обнаружении одиноч- ной ошибки в одном из контрольных бит СКО не проводит коррекцию контрольных бит. При необходимости коррекции контрольных бит сле- дует установить режим генерации контрольных бит путем подачи сигналов низкого уровня на входы 1NS3 и INS6. Регистры выходных данных, байта 0 и 1 (РВО и РВ1) используются для хранения ре- зультата операции коррекции данных и за- гружаются из СКО под управлением сигнала, поступающего с входа EWRDY Прн высоком уровне сигнала на входе EWRDY РВО и РВ1 переводятся в состояние, соответствующее со- стоянию РДО и РД1 в режиме прямой переда- чи, т е. в состояние, соответствующее сигна- лам, снимаемым с СКО в режимах обнаруже- ния и коррекции ошибки При низком уровне сигнала на входе EWRDY РВО и РВ1 сохра- няют предшествующее состояние., При нали- чии высокого уровня на входе 1NS7 осущест- вляется прямая передача данных из РДО и РД1 соответственно в РВО н РВЕ При нали- чии сигналов низкого уровня на входах INS7 и INS6 состояние РВО и РВ1 не определяется Выдача данных с РВО и РВ1 на 16-разрядную двунаправленную шину данных D15—DO уп- равляется сигналами, поступающими на входы EZD0 н EZDE причем РВО управляется сиг- налом, поступающим на вход EZDO, а РВ1 — EZD1 При наличии сигнала низкого уровня иа входе EZD0 выходы регистра РВО подключа- ются к двунаправленной шине данных, а при 7 Зак 915 Рнс. 13.50. Временная диаграмма работы КМ1804ВЖ1 193
Таблица 13.92 Т а б л и ц а 13.94 Входы Код Хэммнша и выбранные JNS2 /NSI IN so байты данных 0 0 0 Код 16/22 0 0 1 Режим внутреннего уп- равления 0 1 0 Код 32/39, байты 0 и 1 0 1 1 Код 32/39, байты 2 н 3 1 0 0 Код 64/72, байты 0 и 1 1 0 1 Код 64/72, байты 2 н 3 1 1 0 Код 64/72, байты 4 и 5 1 1 1 Код 64/72, байты 6 н 7 наличии сигнала высокого уровня — отключа- ются. Подключение РВ1 осуществляется ана- логично путем подачи сигнала соответствую- щего уровня на вход EZD1. Регистр режима диагностики (РРД) за- гружается с 16-разрядной двунаправленной шины данных под управлением сигнала, по- ступающего со входа EWRINS. При наличии на входе EWRINS сигнала высокого уровня состояние РРД соответствует сигналам, по- даваемым по шине данных D15—DO. При наличии сигнала низкого уровня на входе EWRINS состояние РРД не изменяется. РРД используется также для хранения контрольных бит, применяемых в диагностических режи- мах, и внутренних управляющих сигналов INS0—INS5, INS7, для управления и обеспе- чения контрольными битами в режимах диаг- ностики. Т а б л и ц а 13.93 Входы INS4 | INS3 Режим работы микросхемы 0 0 Режим не диагностический. Нормальная работа во всех ре- жимах 0 1 Режим диагностической гене- рации. Содержимое РРД под- ставляется вместо нормально генерируемых контрольных бит. Нормальная работа в ре- жимах обнаружения н коррек- ции ошибок 1 0 Режим диагностического об- наружения нлн коррекции оши- бок. Содержимое РРД под- ставляется вместо контрольных бит, нормально считываемых нз РКБ 1 1 Режим начальной установки. Выходы РДО, РД1 устанавли ваются в нули (п удерживают- ся в этом режиме), и контроль- ные биты вырабатываются для данных, состоящих из нулей Режим работы Диагности- ческий режим 1 Вход INS6 IMS 4 1NS1 0 I Нормаль- ный 0 0 Генера- ция Коррек- ция2 Диагно- стика гене- рации 0 1 Диагнос- тика ге- нерации Коррек- ция2 Диагно- стика кор- рекции 1 0 Генера- ция Диагнос- тика кор- рекции2 Началь- ная уста- новка 1 1 Началь- ная уста- новка Началь- ная уста- новка Прямая При подаче на вход INS7 передача сигнала 1 обеспечивается режим прямой передачи 1 Прн подаче на выходы INS0—INS2 кода 001 код для сигналов 1NS4 н 1NS3 выбирается нз РРД 2 Коррекция осуществляется при подаче на вход /NS5 сигнала 1, а при сигнале 0 осуществляется обнаружение. Устройство управления (УУ) является ком- бинационной схемой и управляет режимом ра- боты микросхемы. В режиме внутреннего уп- равления сигналы управления считываются из РРД. Во всех остальных режимах сигналы уп- равления считываются со входов INS0—INS5, INS7 и преобразуются во внутренние сигналы управления 10—Система команд управления режимом работы микросхемы приведена в табл. 13.92—13.94. Управление выбором варианта кода Хэм- минга и выбором обрабатываемых бантов дан- ных осуществляется подачей на входы INS0— INS2 уровней в соответствии с табл. 13.92. Три варианта кода Хэмминга имеют обозначе- ния, приведенные в табл. 13.95. Управление выбором режима работы мик- росхемы осуществляется подачей на входы 1NS0—1NS7 тповнен в соответствии с табл. 13.93, 13.94 Использование диагностических режимов работы, задаваемых в соответствии с табл. 13.93, позволяет проверять правиль- ность функционирования микросхемы в про- цессе работы в аппаратуре пользователя. Таблица 13.95 Вариант кода Хэмминга Разрядность слова Код 16/22 Код 32/39 Код 64/72 Данные 16 32 64 Контрольные биты 6 8 Всего бит 22 39 72 194
Таблица 13.96 Параметр Обозна- чение Значения парамет- ров [макс (мин )] Режим измерения Вчвоц микросхемы Выходное напряжение низкого уровня, В иоь 0,5 lot. =8 мА Все выходы Выходное напряжение высоко- го уровня, В ион 12,4) 1он = —0,8 мА Все выходы Входной ток низкого уровня, мА hi —0,41 —0,36 (Ль = 0,5 В D0—D15 Остальные входы Входной ток высокого уровня, мкА 11Н 70 50 Um =2,7 В D0—D15 Остальные входы Максимальный входной ток высокого уровня, мА lIH max 1,0 (/1И = 5,5 В Все входы Ток потребления, мА he 400 Ucc = 5,25 В — Время задержки распростране- ния сигнала, нс h 61 50 36 35 Cl = 50 пФ От DC0 до D От DC0 до ERM От D до ER (в режиме обнаружения) От D до DCOY (в режи- ме генерации) В режиме начальной установки (при пода- че сигналов высокого уровня на входы 1NS3, INS4) на всех выходах регистров РДО и РД1 устанавливаются 0, а контрольные биты гене- рируются в соответствии с «нулевым» словом данных, причем на выходах ER и ERM уста- навливается сигнал высокого уровня. В режиме прямой передачи (при подаче сигнала высокого уровня на вход INS7) со- держимое РКБ передается на БПО, а содер- жимое РД1 н РДО — на РВО н РВ1 без из- менения. В режиме генерации контрольных бит (при подаче сигналов низкого уровня на входы INS7, 1NS6, 1NS3) контрольные биты выраба- тываются в соответствии с содержимым РДО. РД1 и выдаются через БПО на выходы SC6—SCO. Каждый контрольный бит выраба- тывается как знак инверсии исключающее ИЛИ или исключающее ИЛИ для 8 нз 16 раз- рядов данных для 16-разрядного формата и соответственно 16 из 32 и 32 из 64 — для 32 и 64-разрядных форматов данных В режиме обнаружения ошибки, установ- ленном при подаче сигналов низкого уровня на входы 1NS7, 1NS4, INS5 и сигналов высо- кого уровня на вход INS6, сравниваются вы- работанные для содержимого РДО, РД1 конт- рольные биты с содержимым РКБ и обнаружи- ваются все однократные ошибки, все двукраы Т а б л и ц а 13.97 Вход Значения tp до выходов нс DCOY0-DCO16 D0—D15 ER ERM D0—D15 32 65 32 50 DCO0—DCO6 (код INS2; 1NS1, !NS0=0W>, 011) 28 56 29 47 DCO0—DCO6 (код INS2, INS1, 1NSO=(HO, 100, 101, ПО, 111) 28 45 29 34 1NS6 35 63 36 55 1NS5 (кроме режима внутреннего управления) — 45 — — INS4, INS3 (кроме режима внутреннего управления) 50 78 59 75 INS7 (кроме режима внутреннего управления) 36 44 29 46 INS2, INS1, 1NS0 61 90 60 80 EWRD (при записи данных) 39 72 39 59 EWRDY (при записи данных) — 31 — — F.WR1NS (при записи данных, кроме режима внут- реннего управления) 45 78 45 65 EWRINS (при записи данных в режиме внутреннего управления) 67 96 66 86 D0—D15 (при записи в регистр диагностики в режи- ме внутреннего управления) 67 96 66 86 7* 195
Таблица 13.98 Измеряемая цепь Значения tp, нс Разреше- ние (С^= — 50 пФ) Запрет (Q=5 пФ) От EZDO, EZD1 до 30 30 D0—D15 От EZDCOY до DCOYO—DCOY6 30 30 ные ошибки н некоторые трехкратные ошибки; при этом на выходах ER и ERM индицирует- ся тип ошибки. Биты признаков ошибки дешифрируются, и если обнаружена ошибка, то определяется, от- носится ошибка к данным или контрольным битам. Если ошибка не обнаружена, то во всех битах признаков ошибок буд';т 0. В режиме обнаружения ошибки содержимое РДО и РД1 передается соответственно на входы РВО и РВ1 без коррекции. В режиме коррекции ошибки, устанавливае- мом прн подаче сигналов низкого уровня на входы 1NS7, 1NS4 н сигналов высокого уровня на входы 1NS6 и INS5, микросхема работает как и в режиме обнаружения, но прн этом обеспечивается коррекция любых однократных ошибок до того, как данные с выходов РДО и РД1 перейдут на входы РВО н РВ1. При обнаружении многократной ошибки состояние выходов СКО является неопределенным. При обнаружении одиночной ошибки в контрольных битах автоматическая коррекция не проводит- ся, причем для коррекции контрольных бит необходимо перевести микросхему в режим ге- нерации контрольных бит. В режиме диагностики генерации контроль- ных бит, устанавливаемом прн подаче сигна- лов низкого уровня на входы 1NS4, INS6, 1NS7 и сигналов высокого уровня на вход INS3, сгенерированные контрольные биты за- писываются в РРД и используются для диаг- ностики режима генерации контрольных бит. В режиме диагностики обнаружения ошиб- ки, устанавливаемом ппи подаче сигналов низ- кого уровня на входы 1NS7, INS3, 1NS5 и сиг- налов высокого уровня на вход 1NS6, в РРД записывается содержимое РКБ и используется для диагностического режима обнаружения ошибки. В режиме диагностики коррекции одиноч- ной ошибки, устанавливаемом при подаче сиг- налов низкого уровня на входы 1NS7, 1NS3 и сигналов высокого уровня на входы INS5, 1NS6, в РРД записывается содержимое РКБ и используется для диагностики режима кор- рекции ошибки. В режиме внутреннего управления, устанав- ливаемом при подаче сигналов низкого уров- ня на входы 1NS1, INS2 и сигналов высокого уровня на вход 1NS0, управляющие сигналы INS0—INS5, 1NS7 для УУ выбирают из РРД, а не с внешних шин управления. В табл. 13.96 приведены основные элек- трические параметры микросхемы КМ1804ВЖ1. Типовые значения динамических параметров представлены в табл. 13 97 (комбинационные задержки при Сц=50 пФ) и 13.98 (времена задержки распространения сигнала для вы- ходов с тремя состояниями). 13.17. Микросхема КМ1804ИР1 Микросхема КМ1804ИР1 — 4-разрядный параллельный регистр, предназначен для при- менения в составе центральных процессоров мнкро-ЭВМ и других вычислительных уст- ройств в качестве универсального регистра (данных, адреса, команд, состояния н т. п). 1 DO XS QO 2 k DI QI 5 iz DZ QZ 1! !5 D3 Q3 Ik YO 3 3 - т Y! 6 Y2 10 7 >0Е Y3 13 UCC-. , 16 GND'. Рнс. 13.51. Условное графическое обозна- чение КМ1804ИР1 Таблица 13.99 Вывод Обозна- чение Тип вывода функциональное назначение выводов 1 DO Вход Данные, 0-й разряд 2 Q0 Выход Данные Q, 0-й разряд 3 Y0 Выход Данные Y, 0-й разряд 4 DI Вход Данные, 1-й разряд 5 Ql Выход Данные Q, 1-й разряд 6 Y1 Выход Данные К, 1-й разряд 7 OE Вход Разрешение выходов Y 8 GND — Общий 9 T Вход Тактовый сигнал 10 Y2 Выход Данные Y, 2-й разряд 11 Q2 Выход Данные Q, 2-й разряд 12 D2 Вход Данные, 2-й разряд 13 Y3 Выход Данные Y, 3-й разряд 14 Q3 Выход Данные Q, 3-й разряд 15 D3 Вход Данные, 3-й разряд 16 ucc — Напряжение питания 196
Рис. 13.52. Структурная схема КМ1804ИР1 Рис. 13.53. Временная диаграмма работы КМ1804ИР1 Таблица 13.100 Сигналы на входах Сигналы на выходах Сигналы на входах Сигналы на выходах ОЕ т Di «1 У1 ОЕ т Di Qi Yi 1 0 X NC Z 0 0 0 0 1 1 X NC Z 0 1 1 1 1 t 0 0 Z 0 0 X NC NC 1 t 1 1 Z 0 1 X NC NC Примечания: 1. При ОЕ = () Yi=Qi. 2. t — положительный перепад; X — состояние входа безразлично; NC—без изменений; Z — состоя- ние «выключено*. Допускает неограниченное наращивание раз- рядности. Условное графическое обозначение микро- схемы приведено на рис. 13.51, назначение вы- водов — в табл. 13.99, структурная схема по- казана на рис. 13.52, временная диаграмма работы — на рис. 13.53. Микросхема КМ1804ИР1 содержит четыре динамических D-триггера, запись данных в ко- торые происходит по положительному фронту сигнала на входе Т. Выходы триггеров под- ключены к выводам Q0—Q3, а также через бу- феры с тремя состояниями к выводам Y0—Y3. Работа схемы осуществляется в соответствии с таблицей истинности (табл. 13.100). Основные электрические параметры микро- схемы приведены в табл. 13.101. Таблица 13.101 Параметр Обозна- чение Значения парамет- ров (макс, (мни.)] Режим измерения Вывод микросхемы Выходное напряжение низкого уров- ня, В UOL 0,5 /оь = 20 мА Все выходы Выходное напряжение высокого уров- ня, В ион (2,5) (2,4) /он ——1 мА /он ——2 мА Q0—Q3 Y0—Y3 Входной ток низкого уровня, мА 11L —2 £7л, = 0,5 В Все входы Входной ток высокого уровня, мкА 11Н 50 1Ли=2,7 В Все входы Максимальный входной ток высокого уровня, мА IН max 1,0 (/,„ = 5,5 В Все входы Ток потребления, мА Jcc 130 Ucc = 5,25 В — Время задержки распространения сигнала, нс tp ! 21 Ст. = 50 пФ От Г до Q, Y * Типовое значение времени задержки распространения сигнала от входа ОЕ до выходов Y0—Y3 равно 19 нс при разрешении (Ст = 15 пФ) и 10,5 нс при запрете (Сг = 5 пФ). 197
13.18.' Микросхема КМ1804ИР2 Микросхема КМ1804ИР2 — 8-разрядный параллельный регистр, предназначен для при- менения в составе центральных процессоров микро-ЭВМ н других вычислительных уст- ройств в качестве универсального регистра (данных, адреса, команд, состояния и т. п.) Допускает неограниченное наращивание раз- рядности. Условное графическое обозначение микро- схемы приведено на рис. 13.54, назначение вы- водов — в табл, 13.102, структурная схема Z J ПС 33 Y0 2 3 О! 2Y1 5 7 332 33 Y2 5 8 ззз 33 Y3 3 ZJ 323 33Y3 12 U5 33 Y5 15 П Об 33 Y 6 16 га 337 33Y1 13 21 > ю ' С / ) R исс' . 22 20 87DY SN33 ; 11 Таблица 13102 Рнс 13.54. Условное графиче- ское обозначение КМ1804ИР2 Вывод Обозна- чение Тип вывода Функциональное назначение выводов 1 R Вход Обнуление регистра 2 DY0 Выход Данные, 0-й разряд 3 D0 Вход Данные, 0-й разряд 4 D1 Вход Данные, 1-й разряд 5 DY 1 Выход Данные, 1-й разряд 6 DY2 Выход Данные, 2-й разряд 7 D2 Вход Данные, 2-й разряд 8 D3 Вход Данные, 3-й разряд 9 DY 3 Выход Данные, 3-й разряд 10 С Вход Тактовый сигнал 11 GND — Общий 12 DY 4 Выход Данные, 4-н разряд 13 D4 Вход Данные, 4-й разряд 14 D5 Вход Данные, 5-й разряд 15 DY5 Выход Данные, 5-й разряд 16 DY 6 Выход Данные, 6-й разряд 17 D6 Вход Данные, 6-й разряд 18 D7 Вход Данные, 7-й разряд 19 DY7 Выход Данные, 7-й разряд 20 EZDY Вход Разрешение выходов данных 21 EWR Вход Разрешение записи 22 ucc — Напряжение питания EZBY— Рис 13 55. Структурная схема КМ1804ИР2 198
Рис. 13.56. Временная диаграмма работы КМ1804ИР2 показана на рис. 13.55, временная диаграмма работы — на рнс. 13.56. Микросхема КМ1804ИР2 имеет восемь вхо- дов данных (D7—DO), вход обнуления реги- стра (R), вход разрешения записи (EWR), тактовый вход (С), вход разрешения выходов (EZDY) и восемь выходов данных (DY7— Приме X — безразлично1 ......_____ 0 или I. Z — состояние «отключено»; f —положительный пе- репад. DY0). Микросхема позволяет: записывать ин- формацию, хранить н регенерировать инфор- мацию, обнулять записанную информацию. Синхронизация регистра осуществляется положительным фронтом сигнала, поступаю- щего на тактовый вход С. Выходы данных DY7—DY0 являются выходами на трн состоя- ния. Каждый из восьми разрядов регистра со- держит два элемента И, элемент ИЛИ, триг- гер D-тппа со входом обнуления и буферную схему с выходом на трн состояния. Кроме указанных элементов микросхема со- держит буферные схемы: тактового сигнала, сигнала обнуления, сигнала разрешения запи- си и сигнала разрешения выходов. Работа микросхемы осуществляется в со- ответствии с таблицей истинности (табл. 13.103). Запись ннформацнн в регистр произ- водится параллельно со всех восьми входов данных (D7—D0) по положительному фронту тактового сигнала, подаваемого иа тактовый вход С, прн наличии сигнала низкого уровня на входе разрешения записи EWR и сигнала высокого уровня на входе обнуления R. За- писанная информация передается параллельно на все выходы данных (DY7—DY0) прн нали- чии сигнала низкого уровня на входе разреше- ния выходов EZDY. Хранение и регенерация информации осу- ществляются при наличии сигнала высокого уровня на входе разрешения записи EWR и сигнала высокого уровня на входе обнуле- ния R- Обнуление информации производится путем подачи на вход обнуления R сигнала низкого уровня независимо от состояния на других входах микросхемы. Перевод выводов данных DY7—DY0 в тре- тье состояние не изменяет записанной инфор- мации и осуществляется путем подачи на вход разрешения выходов EZDY сигнала высокого уровня. Основные электрические параметры микро- схемы приведены в табл. 13.104. 199
Таблица 13.104 Параметр Обозна- чение Значения параметров [макс, (мни.)] Режим измерения Вывод микросхемы Выходное напряжение низкого уров- ня, В ^OL 0,5 /о l — 8 мА Все выходы Выходное напряжение высокого уров- ня, В ион (2,4) !()}! = —1 мА Все выходы Входной ток низкого уровня, мА 1IL —0,36 l/ft = 0,4 В Все входы Входной ток высокого уровня, мкА 1IH 20 £Ли = 2,7 В Все входы Максимальный входной ток высокого уровня, мА IH max 1,0 СЛн=5,5 В Все входы Ток потребления, мА 1сс 37 (7СС = 5,25 В — Время задержки распространения сигнала, нс /* 43 45 Ct = 50 пФ От R до DY От С до DY * Типовое значение времени задержки распространения сигнала от входа EZDY до выходов DY0—DY7 равно 30 ис при разрешении (Cl = 50 пФ) и 39 нс при запрете (Cl —5 пФ). 13.19. Рекомендации по применению В составе микропроцессорного комплекта серии КМ1804 имеется достаточный набор мик- росхем, предназначенных для построения ос- новных узлов центральных процессоров микро- ЭВМ и других вычислительных устройств. Та- кие свойства микросхем серии, как высокое быстродействие, микропрограммное управле- ние и разрядно-модульная организация позво- ляют разработчикам аппаратуры проектиро- вать вычислительные устройства, системы об- работки данных н системы управления весьма широкого класса. На рис. 13.57—13.66 приведены типовые примеры применения микросхем серии КМ1804, иллюстрирующие основные принципы включе- ния микросхем при построении многоразряд- Рис. 13.57. Пример построения 16-разрядного вычислительного устройства на микро схеме КМ1804ВС1 200
Рис. 13.58. Пример построения 16-разрядного вычислительного устройства на микро- схеме КМ1804ВС2 Шина данных Рис. 13.59. Пример построения устройства микропрограммного управления на микросхемах КМ1804ВУ2 (КМ1804ВУ1), КМ1804ВУЗ 201
Шина данных Сигналы прерывания сигналы Рис. 13.60. Вариант применения КМ1804ВУ4 в устройстве микропрограммного уп- равления ных узлов вычислительных устройств. Не пре- тендуя на всеобъемлющую полноту охвата ог- ромного числа вариантов применения микро- схем, приведенные типовые примеры, несомнен- но, облегчат разработчикам аппаратуры выбор и взаимное согласование конкретных типов микросхем. Надежность микросхем в аппаратуре обес- печивается не только качеством самих микро- схем, но и правильным их применением в соот- ветствии с ГОСТ 18725—83. Для предотвращения отказов, связанных с воздействием статического электричества, сле- дует принимать меры, исключающие его воз- действие на микросхемы. Необходимо обеспе- чивать непрерывный контакт оператора с «зем- лей» с помощью специального антистатическо- го браслета, использовать рабочее место, тару С регистра иикрокоманв Сигналы прерывания 8 8-IS Тактовый сигнал Сигналы прерывания 8 0-7 , OF EINS INS Z3____ DEINK FL VEC ВЕР KMiaokSHi INF сою с CEO ЕЖА МН SA ПЕСКЩНК и ОтоВража- ющее ПЗУ 76 CR2 EINS СЕО EHRSA МК SA FOINE DE/NR FL VEC КМ78О6ВН1 1NR COM3 с +— у2-07 EZ0-EZ0 EZ5 ZO KMlBOL-вРЗ вО ЕЕ XI К устройству микропро- граммного управления Р"с. 13 61. Пример построения 16-разрядной схемы векторного приоритетного преры- вания 202
Рис. 13 62. Пример построения 16-разрядного канального приемопередатчика Парт ввода/5ы6ода. Рис. 13.63 Организация портов ввода/вывода Рис. 13 64. Пример использования микросхемы КМ1804ГГ1 203
Рис. 13.65. Построение 16-разрядного блока управления адресом программной памяти Рис. 13.66. Пример использования микросхемы КМ1804ВЖ1 н приспособления, выполненные из материа- лов с малым поверхностным сопротивлением, и т. д. Крепление микросхем к печатной плате в аппаратуре осуществляется методом пайки вы- водов. Расстояние от корпуса до места пайки должно быть не менее 1 мм. При пайке необ- ходимо обеспечивать отвод теплоты от корпу- са микросхему или ограничивать время пайки (2-3 с). При ремонте аппаратуры замену микро- схем необходимо проводить при отключенных источниках питания Свободные неиспользуемые в аппаратуре входы, входы/выходы микросхем необходимо подключать к источнику постоянного напря- жения 5±0,25 В через резистор сопротивле- нием 1 кОм. К одному резистору допускается подключать до 20 свободных входов. Объеди- нение входов/выходов между собой не допус- кается. При эксплуатации микросхем в составе ап- паратуры следует обеспечивать такой тепло- вой режим, при котором температура корп>са или окружающей его среды не превышала бы 70 °C. Должен быть обеспечен надежный контакт общего вывода микросхем с общей шинои на печатной плате. Для предотвращения высоко- частотных наводок на микросхему рекоменду- ется подключать между выводом питания и общим выводом конденсатор емкостью не ме нее 200 пФ. Конденсатор должен быть раз- мещен как можно ближе к микросхеме и сое- динен с нею проводниками минимальной дли- ны. 204
Глава 14 Микропроцессорный комплект серии КА1808 Микросхемы серии КА1808 предназначены для построения встраиваемых микропроцессор- ных систем автоматического управления фото- аппаратурой и обеспечивают: расчет экспонометрических величин с точ- ностью до 1/8 экспонометрической ступени в диапазоне 22 ступеней освещенности; отсчет выдержек от 1/1024 до 32 с; работу в режиме «Автомат выдержки» (по введенным значениям освещенности, светоси- лы объектива, чувствительности фотоматериа- ла и диафрагмы рассчитывается выдержка); работу в режиме «Автомат диафрагмы», в котором вводится выдержка, а в остальном работа аналогична предыдущему режиму; работу в программном режиме (пара «вы- держка — диафрагма» выбирается по линей- ному закону до полного открытия диафрагмы с продолжением регулирования только по вы- держке) ; автоматический выбор выдержки с «репе- титором» (освещенность измеряется через за- диафрагмированный объектив); непрерывный контроль напряжений пита- ния. В состав комплекта, изготавливаемого по И2Л- и ТТЛ-технологиям, входят четыре мик- росхемы (табл. 14.1). Таблица 14.1 Тип микросхемы Функциональное назначение Тип корпуса КА1808ВМ1 8-разрядный цен- тральный процес- сорный элемент 4117.22-3 КА1808ИР1 8-разрядный ана- лого-цифровой преобразователь 4109.20-1 КА1808ВВ1 Синхронизация и управление 4109.20-1 КА1808ВУ1 Управление све- тодиодным инди- катором 4117.22-3 Общие параметры МПК Разрядность (для КА1808ВМ1 и КА1808ИР1) 8 Частота синхронизации . . 32 кГц Напряжения питания ... 1,5 B+g®7”, 5,0 В±20% Ток потребления: КА1808ВМ1 ......... 20 мА для остальных микросхем 10 мА Системы, построенные на базе комплекта, могут эксплуатироваться как в стационарных, так и в подвижных (носимых) устройствах. В последнем случае благодаря незначительному потреблению питание осуществляется от мало- габаритной батареи напряжением -4-5,0 В. 14.1. Микросхема КА1808ВМ1 Микросхема КА1808ВМ1 — центральный процессорный элемент (ЦПЭ) систем управле- ния фотоаппаратурой, предназначен для прие- ма, хранения, арифметико-логической обработ- ки входной информации, выдачи данных и управляющих сигналов. Условное графическое обозначение микро- схемы приведено на рис. 14.1, назначение вы- водов — в табл. 14.2, структурная схема по- казана на рис. 14.2. В состав ЦПЭ входят: программируемая логическая матрица (ПЛМ); блок модифика- ции адреса (БМА); регистр адреса микро- команды (РАМК); регистр кода освещенно- сти (РО); мультиплексор входных данных (М); блок формирования сигналов управле- ния (БФСУ); преобразователь кодов х/у; арифметико-логическое устройство (АЛУ); блок индикации (БИ); блок отработки (БО); счетчик (СТ); схема синхронизации (СС). Рис. 14.1. Условное гра- фическое обозначение КА1808ВМ1 205
Таблица 14.2 Вывод Обозна- чение Тнп вывода Функциональное назначение выводов 1 i INS1 Выход Сигнал «Блокировка питания» 2 RA Вход Сигнал «Затвор открыт» 3 IN'S 2 Выход Сигнал управления устройством от- работки диафрагмы 4 SR Вход Сигнал начальной установки 5 IN S3 Выход Сигнал управления устройством подъема зеркала /) DI Вход Информация о чувствительности фо- томатериала 7 D2 Вход Информация о выдержке или диаф- рагме 8 D3 Вход Информация о режимах работы 9 D4 Вход Информация о светосиле объектива 10 OD Выход Рассчитанная информация 11 GND — Общий 12 D6 Вход Информация об отработанной диаф- рагме 13 DE Вход Сигнал готовности устройства отра- ботки диафрагмы 14 C Вход Стробирующий сигнал 15 SYN Вход Сигнал синхронизации 16 D7 Вход Информация об освещенности, лампе вспышки и состоянии источника пи- тания 17 iJcC — Напряжение питания 18 D8 Вход Сигнал «Отключение индикации» 19 D5 Вход Сигнал «Спуск затвора» 20 D9 Вход Сигнал «Пленка перемотана» 21 DIO Вход Сигнал «Экспонометрнческая па- мять» 22 INS4 Выход Сигнал управления светодиодом Микросхема может работать в трех основ- ных режимах: расчета, отработки, задержки отработки на 2 и 10 с. Управление работой ЦПЭ осуществляется с помощью управляющих сигналов и команд- ных слов. Управляющие сигналы подаются по выводам 2, 4, 13, 18—21. Функциональное на- значение управляющих сигналов приведено в табл. 14 3 Командные слова подаются в пос- ледовательных кодах в соответствии с вре- менной диаграммой, приведенной на рис. 14.3. Содержание команд приведено в табл. 14.4. Информационные слова также подаются в пос- ледовательных кодах. Вся входная информация (за исключением освещенности) подается в кодах Грея, что 206 обеспечивает высокую достоверность ввода информации при использовании механических наборннков кодов. На вывод 6 подается код фоточувствитель- ности материала (табл. 14.5), на вывод 7 — код выдержки или диафрагмы (табл. 14.6 и 14.7 соответственно), на вывод 9 — код свето- силы объектива (табл. 14.8). Информация об освещенности представля- ется в коде 8-4-2-1 и подается на вывод 16 после поступления на этот вывод лог. 1 в вось- мом разряде предыдущего слова (см. рис. 14.3 и табл. 14.4). На рис. 14.4 приведены схемы входных и выходных каскадов микросхемы.
Рис. 14.2. Структурная схема КА1808ВМ1 -1Г-1|-|Г-||-|Г~1Г~1Г~1Г~1п1 01, * 02, t Рис. 14.3. Временная диаграмма подачи ко мандных слов в последовательном коде. Циф- рами 1—8 обозначены разряды слов Рис. 14.4. Схемы входных н выходных ка- скадов КА1808ВМ1. а — входов SR, D1—D5-, б — входов D6—D10, DE\ в — входов RA, С, SYN; г — выходов INSJ--IMS4. OD Таблица 14.3 Вывод Состояния входов Выполняемая функция 2 1 0 Затвор открыт, начать отсчет выдержки Затвор закрыт 4 1 0 Начальная установка Разрешение ввода информации 20 1 0 Конец отработки выдержки, возвращение ЦПЭ в режим расчета Разрешен переход ЦПЭ в ре- жим отработки 21 1 0 Хранение первого пришедшего значения освещенности «экс- попамять» Обычная работа с вводом зна- чения освещенности в каждом цикле расчета 19 1 0 Начало режима отработки (Пуск) Работа в режиме расчета 18 1 Запрет выдачи сигналов уп- равления свечением на вы- вод 10 0 Разрешение индикации 13 1 0 X Блок отработки диафрагмы к работе готов Блок отработки диафрагмы к работе не готов Запрет режима отработки Примечания. 1. За уровень лог 1 здесь н в дальнейшем принят низкий уровень, т. е информа- ция представлена в отрицательной логике. 2 X — состояние входа безразлично Таблица 14.4 Вывод Ко/* команды Описание команды 8 ХХХ1ХХХХ хххохххх хххххоох Измерение освещенности через задиафрагмиро- ванпый объектив «Репе- титор» Работа без «Репетитора» Режим без задержки ХХХХХО IX хххххпх ХХХХ1ХХХ ххххоххх спуска Задержка спуска на 2 с Задержка спуска на 10 с Ручная установка диаф- рагмы Автоматическая установ- ка диафрагмы 207
Окончание табл. 14.4 Таблица 14.5 К о Код команды Описание команды Состояние разрядов кода 3 CQ Чувствительность фотопленки ч /вствительности фотопленки в единицах ASA хххххххо Режим «Автомат диаф- рагмы» Режим «Автомат вы- 1 2 3 4 5 6 7 8 ХХХХХХХ1 держки» 6 0 0 0 0 0 0 0 1 7 00111100 Режим «Программный 10 0 0 0 0 0 0 1 0 автомат» 12 0 0 0 0 0 1 1 1 0 00000100 Режим «Выдержка от 16 0 0 0 0 0 1 1 руки» 20 0 0 0 0 0 1 0 1 25 0 0 0 0 I 1 0 1 32 0 0 0 0 1 1 0 0 40 0 0 0 0 1 1 1 0 Х1ХХХХХ0 Ручной режим лампы 50 0 0 0 0 1 0 1 0 вспышки 64 0 0 0 0 1 0 1 1 хохххххо Автоматизированная 80 0 0 0 0 1 0 0 1 лампа вспышки (ЛВ) 100 0 0 0 1 1 0 0 1 ххоохххо Работа без Л В 125 0 0 0 1 1 0 0 0 ХХ01ХХХ0 Режим «Контроль пита- 160 0 0 0 1 1 0 1 0 НИЯ» 200 0 0 0 1 1 1 1 0 ххюхххо Работа с ЛВ при вы- 250 0 0 0 1 1 1 1 1 держке 1/60 320 0 0 0 1 1 1 0 1 16 ххпхххо Работа с мощной ЛВ с 400 0 0 0 1 0 1 0 1 выдержками, задавав- 500 0 0 0 1 0 1 0 0 мыми по выводу 7, но 650 0 0 0 1 0 1 1 0 больше 1/60 800 0 0 0 1 0 0 1 0 ХХХХХ1ХХ Признак «питание систе- 1000 0 0 0 1 0 0 1 1 мы ниже нормы» или 1250 0 0 0 1 0 0 0 1 блокировать ЦПЭ 1600 0 0 1 1 0 0 0 1 ХХХХХХХ1 Команда «Принять код 2000 0 0 1 1 0 0 0 0 освещенности, начиная 2500 0 0 1 1 0 0 1 0 со следующего такта» 3200 0 0 1 1 0 1 1 0 хххххохх Признак «питание в нор- 4000 0 0 1 1 0 1 1 1 ме» 5000 0 0 1 1 0 1 0 1 6400 0 0 1 1 I I 0 1 Примечания: 1. В графе «Код команды» 8000 0 0 1 1 1 1 0 0 последовательность разрядов дана слева направо 10 000 0 0 1 1 1 1 I 0 2 X — состояние входа безразлично. 12 800 0 0 1 1 1 0 1 0 208
Таблица 14.6 Время выдерж- ки, с Состояние разрядов кода выдержки Время выдерж- ки, с Состояние разрядов кода выдержки I 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8 в 0 0 0 0 0 1 0 0 1 8 0 0 0 1 0 1 0 0 32 0 0 0 0 1 1 0 0 1, 16 0 0 0 1 0 1 1 0 16 0 0 0 0 1 1 1 0 1 32 0 0 0 1 0 0 1 0 8 0 0 0 0 1 0 1 0 1 '64 0 0 0 1 0 0 0 0 4 0 0 0 0 1 0 0 0 1 128 0 0 1 1 0 0 0 0 2 0 0 0 1 1 0 0 0 1/256 0 0 1 1 0 0 1 0 1 0 0 0 1 1 0 1 0 1 512 0 0 1 1 0 1 1 0 1 2 0 0 0 1 1 1 1 0 1/1024 0 0 1 1 0 1 0 0 1 4 0 0 0 1 1 1 0 0 П 0 0 1 1 1 1 0 0 Примечание. В—режим ручной отработки выдержки; П —программный режим работы Таблица 14.7 Значение диафраг- мы, отн. ед. Состояние разрядов кода диафрагмы 5 га Е Ф й* о sr-G- гага-. со «=с г £ Состояние разрядов кода диафрагмы 5 2 3 4 5 6 7 8 I 2 3 4 5 6 7 8 1,2 1,4 1,8 2,0 2,5 2,8 3,5 4,0 4,5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 1 5,6 6,7 8,0 9,5 11 13 16 19 22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 1 1 1 0 1 1 0 0 1 1 0 0 1 1 1 1 1 1 1 1 1 209
Таблица 14.9 Время Состояние разрядов кода времени выдержки на выходе микросхемы Время Состояние разрядов кода времени выдержки на выходе микросхемы выдерж- выдерж- ки, с ки, с 1 2 3 •> 6 7 8 I 2 3 4 5 с> 7 8 32 0 0 0 0 0 1 0 0 1/8 0 0 0 0 0 1 1 л 16 0 0 0 1 0 1 0 0 1/16 0 0 0 1 0 1 1 и л 8 0 0 0 0 1 1 0 0 1/32 0 0 0 0 1 1 1 0 л 4 0 0 0 1 1 1 0 0 1 64 0 0 0 1 1 1 1 и л 2 0 0 0 0 0 0 1 0 1/128 0 0 0 0 0 0 0 и 1 1 0 0 0 1 0 0 1 0 1/256 0 0 0 1 0 0 0 1 1 1.2 0 0 0 0 1 0 1 0 1,-512 0 0 0 0 1 0 0 1 1 1/4 0 0 0 1 1 0 1 0 1 1024 0 0 0 1 1 0 0 1 1 Таблица 14.10 Значение диафраг- мы, отп ед.' Состояние разрядов кода диафрагмы на выходе микросхемы Значение диафраг- мы, отн. ед. Состояние разрядов кода диафрагмы иа выходе микросхемы Значение диафраг- мы, отн. ед Состояние разрядов кода диафрагмы на выходе микросхемы 3 4 5 ь 7 8 3 4 5 6 7 8 3 4 5 6 7 8 1,2 1 1 0 0 0 0 3,5 1 0 0 1 0 0 9,5 1 1 1 1 0 0 1,4 0 0 1 0 0 0 4,0 0 1 0 1 0 0 11 0 0 0 0 1 0 1,8 1 0 1 0 0 0 4.5 1 1 0 1 0 0 13 1 0 0 0 1 0 2,0 0 1 1 0 0 0 5,6 0 0 1 1 0 0 16 0 1 0 0 1 0 2,5 1 1 1 0 0 0 6,7 1 0 1 1 0 0 19 1 1 0 0 1 0 2,8 0 0 0 1 0 0 8,0 0 1 1 1 0 0 22 0 0 1 0 1 0 Примечание. Состояние первых двух разрядов кода безразлично Таблица 14.11 Параметр, ре ж и м измерен и я Обозна- чение Значения параметре в (макс (мин И Выходное напряжение низкого уровня, В, при (/сс=1,5 В ±2б°о, (/,н,= 1,1 в, (/,„2 = 2.3 В, /„„=100 мкА, Un.=0,5 В иО1. 0.4 Ток потребления, мА, при Ucc—\,5> В !сс 22 Входной ток низкого уровня, мкА: для выводов 2, 12, 13, 16, 18, 20, 2Г 1 п пои (Угг = 1,5 В+ 20 %, (У,,. = 0,4 В 1-1001 при {/гс = 1,5 В—5 %, Uп, = 0,4 В (| — Ю|) для вывода 4 при Ucc =1,5 В+ 20%, (/,„ = 0,4 В 100 для выводов 6—9, 19 при t/rc=I,5 В + 20 %. (/,„ = 0.4 В, (У,н = 2,4 В 1- 3001 для выводов 14, 15 при Ucc =1,5 В + 20 %, (/,„ = 0,4 В 10 Выходной ток высокого уровня, мкА, при (Угг=1,5 (/,„, = 1.1 В, U,„2 = 2,3 В, U,,. = 0,5 В !он 10 Входной ток высокого уровня, мкА: 11 и для выводов 2, 12, 13, 16, 18, 20, 21 при Ucc= 1,5 В + 20 %, Uth = = 1,2 В 100 для вывода 4 500 для выводов 6—9, 19 при (Усс=1,5 В- 5%, (Л„ = 2,4 В для вывода 14 150 100 для вывода 15 при (/гг=1.5 В—5 %, (/,н = 1,2 В 500 Ток утечки на выходе, мкА, при (/Сг = 1.5 В U,„ — 1,1 В, Uiнг = 2,3 В, (/,„ = 0,5 В, (/<>„ = 5,5 В 11.0 250 210
Временная диаграмма функционирования ЦПЭ в режимах расчета и отработки приведе- на на рис. 14.5. Цифрами 1—8 на временной диаграмме обозначены разряды слова. Пере- ключение выходов INS2, INS3 нз высокого уровня в низкий происходит только в разря- де 8, а в режиме задержки спуска — через 2 или 10 с после выдачи низкого уровня на вывод INS1. Кодирование информации, выдаваемой на выход, приведено в табл. 14.9 и 14.10. После выдачи лог. 1 в разряде 8 выдается 8-разряд- ное слово выдержки, а через два такта - 6-разрядиое слово диафрагмы. В первом и вто- ром тактах выдаются признаки «Выдержка от руки» и «Устройство отработки диафрагмы не готово» соответственно. Наличию признака со- ответствует уровень лог. 1. Основные электрические параметры микро- схемы КА1808ВМ1 приведены в табл. 14.11. 14.2. Микросхема КА1808ИР1 Микросхема КА1808ИР1 — аналого-цифро- вой преобразователь системы управления фо- тоаппаратурой, предназначен для преобразова- ния аналогового сигнала (напряжения) по од- ному из двух входов в цифровой последова- тельный код, получения стабилизированного напряжения для схем с инжекционным пита- нием и передачи специальных признаков' «Го- товность лампы вспышки», «Тип лампы вспыш- ки», «Питание ниже нормы», «Контроль пита- ния». Условное графическое обозначение микро- схемы приведено на рис. 14.6, назначение вы- водов — в табл. 14.12, структурная схема по- казана на рис. 14.7. В состав АЦП входят: схема начальных установок и контроля питания (СНКП); ана- логовый ключ (АК); аналоговый интегратор Таблица 14.12 Зывод Обозначенис Тип вывода Функциональное на значение выводов /, 2 NCI, NC2 Входы Коррекция интегратора 3 DR1 Выход Интегратор 4 VI Вход Интегратор 5 Ucc — Напряжение питания 6 и0 Выход Стабилизированное напряжение 7, // V2, V3 Входы Аналоговый сигнал 8 DR2 Выход Аналоговый сигнал 9 PSB3 Выход Контроль опорного напряжения 10 GND — Общий 12 V4 Вход Сигнал от лампы вспышки 13 D Выход Информация 14 SYN1 Вход Сигнал синхронизации 15 SYN2 Вход Стробирующий сигнал 16 PSB1 Вход Коррекция схемы начальных устано- вок и контроля питания 17 PSB2 Вход Сигнал «Контроль питания» 18 PSB4 Выход Усилитель 19 V5 Вход Усилитель Рис. 14.6. Условное гра- фическое обозначение КА1808ИР1 1 2 NC1 NC2 ВО DBI 3 Vf DB2 8 7 V2 «W 9 V3 12 V4 V5 19 V , 5 14 SYHf D 13 15 S 'NZ 4, 6 1б PSBf PSB4 № PSBZ 6 ND) , 10 Рис. 14.7. Структурная схема КА1808ИР1 (АИ); компаратор (К); схема синхронизации (СС); схема управления (СУ); стабилизатор напряжения (СН); аналоговый усилитель (АУ); 8-разрядный счетчик (СТ); выходной мультиплексор (М). Для аналого-цифрового преобразования ис- пользуется метод двухшагового интегрирова- ния, обладающий достаточно высокой точно- стью преобразоваиня. Временная диаграмма работы микросхемы приведена на рис. 14.8. Цикл преобразования составляет 22 мс, время заряда емкости И мс, время формирования цифрового эквивалента зависит от уровня входного напряжения (на временной диаграмме зависимость показана штриховой линией по выводам DR1, DR2. 21 1
Рис. 14.8. Временная диаграм- ма работы КА1808ИР1. Циф- рами 1—8 обозначены разряды слов Таблица 14.13 Параметр, режим измерения Обозна- чение Значения параметров [макс, (мин.)) Выходное напряжение, В: для вывода 12: и0 при Ц( с = 5 В+10%, /о = —0,5 мА прн Ucc —5 В—20%-, 1<> = -0,5 мА 1,5 (1,2) для вывода 18: при Цсс = 5 В+10%, //н=2,3 В при Цсс = 5 В—20%, U,L = 0,5 В 0,4 (1,2) для вывода 6 при t/Cc = 5 В+10%, /о = — 25 мА 1,8 (1,425) для вывода 9 при (д'с<- = 5 Bilo%. /о = —0,1 мА 1,4 (1,1) Выходное напряжение низкого уровня, В, при Ucc —5 У,,. = 0,5 В, Ullt = 1,1 В, /О£ = 0,1 мА B1.JS %. иО1. 0.4 Входной ток низкого уровня, мкА, прн Ucc — 5 В±го Ц/и= 1,1 В, Ц(1. = 0,4 В: %. hi. для вывода 11 для выводов 15, 16 для вывода 14 для вывода 17 для вывода 19 для вывода 7 1-10001 |-500| 10 1-50001 |-100| |-10| Вхо 1Ной ток высокого уровня, мкА: h н для вывода 7 при б/,,г = 5 Bi.Jo%, Uihi — 1,2 В, (Лн2=1,1 В |-5| для вывода /1 для вывода 15 1-5001 1-2501 для вывода 14 при (%<-• = 5 Bi2‘“ %, 1/,и = 1.2 В (Ю) для выводов 16, 19 100 для вывода 17 при U<-c = 5 В±.]X %, (Ли=2,4 В 1—20001 ВЫХОДНОЙ ТОК ВЫСОКОГО УРОВНЯ, МкА, При Ucc —5 В±20 Ц,н = 1,1 В, Ц/к-0,5 В, (Л,н=2.4 В %• 1 он 10 Ток потребления. мА, при Ucc— 5 Bt-lo % he 10 Ток утечки на выходе, мкА, при Ucc = 7> В±2о %, Uoh = Ц,и = 1,1 В, 17,,. = 0,5 В 5,5 В, ho 250 212
После окончания процесса формирования на выход 13 в разряде 8 выдается уровень, сигнализирующий, что, начиная со следующе- го такта, идет код аналогового сигнала. Ми- нимальный код АЦП 00000110, максимальный 10110010. Диапазон обрабатываемых напряжений по входу 7 0—1,3 В, по входу // 0—1,5 В. По входу 7 большему напряжению соответствует больший код (наклон передаточной характе- ристики регулируется внешними резисторами). По входу 11 большему напряжению соответст- вует меньший код (наклон передаточной ха- рактеристик" 72 мВ/ступень). Выбор входа осуществляется по входу 12 путем подключения к нему резистора. Без ре- зистора постоянно подключен вход 7. Призна- ки, вырабатываемые АЦП, соответствуют приз- накам, принимаемым ЦПЭ по выводу 16 (см. табл. 14.4). Основные электрические параметры микро- схемы приведены в табл. 14.13. Таблица 14.14 14.3. Микросхема КА1808ВВ1 Микросхема КА1808ВВ1 — интерфейсный элемент (ИЭ) систем управления фотоаппара- турой, предназначен для выработки синхро- сигнала и формирования сигналов управления. Условное графическое обозначение микро- схемы приведено на рис. 14.9, назначение вы- водов — в табл. 14.14, структурная схема по- казана на рис. 14.10. В состав ИЭ входят: генератор управления светодиодом GN1; дешифратор DC; схема уп- равления СО1; схема управления СО2; схема задания тока нагрузки STU; генератор синхро- сигнала GN2. Работа схем DC, СО1, СО2 поясняется табл. 14.15. Частота генератора GN 1 изменяется от 2 до 15 Гц при изменении напряжения питания от 3,0 до 6,0 В (при подключенном конденсато- ре емкостью 30,0 мкФ к выводу 12). Вывод Обозна- чение Тип вывода Функциональное назначение выводов / DR3 Выход Управление электромагнитом спуска механизма 2 DR1 Выход Управление электромагнитом отработ- ки выдержки 3, 5, 8, 19 VI — V4 Входы Управление режимами 4 STR Выход Сигнал «Начальная установка» 6 DR4 Выход Сигнал «Затвор открыт» 7 V5 Вход Сигнал «Блокировка питания» 9 CLR1 Вход Подключение резистора коррекции генератора 10, 20 GND — Общий 11 CLR3 Вход Подключение резистора коррекции схемы 12 CLR2 Вход Подключение конденсатора генера- 13 DR5 Выход Сигнал «Блокировка питания» 14 и, Вход Информационное питание 15 CLC Выход Сигнал синхронизации 16 ^сс — Напряжение питания 17 DR6 Выход Управление светодиодом 18 DR2 Выход Управление электромагнитом обра- ботки диафрагмы 12 CLK2 DC DR5 13 “ccl /6 5 в V7 V2 V3 DR6 6 /7 7 STR 4 GND 10,20 /9 V4 CLC 15 // CLR3 DR! /4 и1 DR2 18 9 CLR! DR3 / Рис. 14.9. Условное гра- фическое обозначение микросхемы КА1808ВВ1 Рис. 14.10. Структурная схема КА1808ВВ1 Схема задания тока нагрузки позволяет при изменении сопротивления резистора (под- ключенного между выводами 10 и 11) от 5 до 50 кОм изменять токи нагрузки по выводам 2, 17, 18 в пределах 6—26 мА. Генератор GN2 изменяет частоту от 15 до 110 кГц при изменении сопротивления резис- тора (подключенного между выводами 9 и 14) от 1 МОм до 50 кОм, Основные электрические параметры микро- схемы приведены в табл. 14.16. 213
Таблица 14.15 Продолжение рис. 14.15 Состояние входов Состояние выходов 3 5 7 81 19 1 2 п >7 18 6 1 0 X X X 0 1 н н 0 и 0 0 X X X 1 0 н н 0 н 0 1 X X X 1 0 н н 1 н 1 1 X X X 1 1 н н 1 н 0 X ,х X X н 0 н н н н 0 X X X X н 1 и н н и X X 0 X X н н 0 и н н X X 1 X X н н 1 н н н X 0 1 0 X н и н п 0 н X 0 X 1 X н н н 1 0 н X 1 X 0 х н н н 0 1 н X 1 X 1 X н н н 1 1 _!L Состояние входов Состояние выходов 3 5 7 8 19 1 2 13 17 18 6 X 0 X X X и н н н 0 н X 1 X X X н н н н 1 н X X X X 0 н н н н и 1 X X X X 1 н н н н н 0 Примечания 1. — состояние входа без- различно: Н — состояние выхода неопределенное; П •— режим появления импульсов иа выводе 17. 2. На выводе 15 во всех режимах имеются им- пульсы синхронизации. После окончания первого им пульса на выводе 15 на выводе 4 устанавливается напряжение высокого уровня. Таблица 14.16 Параметр, режим измерения Обозна- чение Значения параметров [макс, (мин.)] Выходное напряжение низкого уровня, В: и 01. для вывода 4 при (/сс = 5,0 В±2§ % , Iol — 100 мкА, (/, = 0 В 0,4 для вывода 6 при (/сс = 5,0 Bi2'8%, (/,= 1,42 В, /<>/.= 1,0 мА, 0.4 U,n^ 3,4 В для вывода 13 при (/с<=5,0 Bi28 %, (/, = !,42 В, Uic-0,5 В 0,4 для вывода 1 при Ucc—5,0 В ±28 %, [/(=1,42 В, (/,l = 0,5 В, 0,4 я ==» 1,1 В, /о10 мА для вывода 17 прн 4/tc = 5,0 Вi.!8 % , [/>=1.42 В. Л„. = 10 мА, 1,2 (/„.= 0,5 В для выводов 2, 18 при (/сс = 5,0 В ± 28 %, (Л=1,42 В, 1,2 (/(£.=0,5 В, /о(.= Ю мА Выходное напряжение высокого уровня, В: ион для вывода 4 при (/сс = 5,0 Bi^»0'», [/(=1,8 В, /он =—100 мкА 2,4 для вывода 15 при (/сс=5,0 В±8» %, /он =—2,0 мА 1,2 Выходной ток высокого уровня, мкА: 1он для выводов 6, 13 прн (/сс = 5,0 В±88 %, [/(=1,8 В, (/,/ = 0,5 В, 10 (/оя=2,4 В для выводов 1, 2, 17, 18 при Ucc —5 В22“ % (//=1.8 В, 50 (/он =2,4 В Ток потребления, мА, прн (/сс = 5,0 Вi28 %, (//=1,8 В СС. 10 Входной ток низкого уровня, мкА, при (/сс=5,0 B-.'i'l "о- 1II. (/,= 1,8 В, (/„. = 0.4 В: для выводов 3, 5, 7, 8 1 — 1001 для вывода 19 20 Входной ток низкого уровня, мкА, для выводов 3, 5, 7, 8 при Ucc — 111. 1-101 = 4,0 В188 %. (//=1,42 В, (/„. = 0,4 В Входной ток высокого уровня, мкА: 11Н для выводов 3, 5, 7, 8 при (/сс = 5,0 В±28%. (/,= 1,8 В, (/„, = 1,2 В 100 для вывода 19 при (Лн = 5,0 В 100 Входной ток, мА, при [/Сс = 5,5 В, (/,= 1.8 В <1 2,0 214
Таблица 14.17 Вывод Обозна- чеиие Тип вывода Функциональное назначение выводов / ICO Вход Коррекция 2 Г2(/) Выход 1-й разряд магистрали 3 Г2(2) Выход 2-й разряд магистрали 4 F2(3) Выход 3-й разряд магистрали 5 F2 (4) Выход 4-й разряд магистрали 6 F2(5) Выход 5-й разряд магистрали 7 F2(6) Выход 6-й разряд магистрали 8 F2 (7) Выход 7-й разряд магистрали 9 СН Вход Контроль напряжения 10 D Вход Информация и управление емкостью свечения 11 F!cc\ — Напряжение питания 12 С Вход Сигнал синхронизации 13 SR Вход Информационное питание 14 UCC2 — Напряжение питания 15 GND — Общий 16 Fl (/) Выход 1-й разряд магистрали 17 Fl (7) Выход 7-й разряд магистрали 18 F7 (6) Выход 6-й разряд магистрали 19 Fl (5) Выход 5-й разряд магистрали 20 Fl (4) Выход 4-й разряд магистрали 21 Fl (?) Выход 3-й разряд магистрали 22 F7 (2) Выход 2-й разряд магистрали to РСО F2 1 2 j г 3 4 12 4 5 6 3 6 ± ICO 7 8 Ft 1 16 9 СН 2 22 3 21 11 ^СС! 4 20 1Я 14 'Оа: г 5 б 18 17 77 7 S3 ONO'. 15 Рис. 14.11. Условное гра- фическое обозначение КА1808ВУ1 14.4. Микросхема КА1808ВУ1 Микросхема КА1808ВУ1 — интерфейсный элемент систем управления фотоаппаратурой (ЭУ), предназначен для управления светоди- одным индикатором в динамическом режиме. Условное графическое обозначение микро- схемы приведено на рис. 14.11, назначение вы- водов — в табл. 14.17, структурная схема по- казана на рис. 14.12. В состав ЭУ входят: схема синхронизации СО1; схема управления СО2; буферный ре- гистр RG; программируемая логическая матри- ца PLM-, группа анодных ключей группа катодных ключей SB7- Управление работой ЭУ осуществляется информацией, поступающей в последователь- ных кодах на вывод 10. Форма представления и содержание информации аналогичны выда- ваемой по выводу 10 ЦПЭ. Выходные магистрали Р1 и F2 функциони- руют в соответствии с временной диаграммой, приведенной на рис. 14.13. Выводы 2—8 образуют 7-разрядную маги- страль, на которую выдается код очередного Таблица 14,18_________________ Код символа на выводах микросхемы Сим- вол 2 3 1 5 6 7 8 0 I 0 0 0 0 0 0 I I I 1 1 0 0 1 2 0 I 0 0 1 0 0 3 0 I 1 0 0 0 0 4 0 0 1 1 0 0 1 5 0 0 1 0 0 1 0 6 0 0 0 0 0 1 0 7 I 0 1 1 0 0 0 8 0 0 0 0 0 0 о 9 0 0 1 0 0 0 о // I 0 1 1 1 0 1 ь—ы I I 0 0 0 1 1 L I 0 0 0 1 1 1 b 0 0 0 0 0 1 1 F 0 0 0 1 1 1 о Е 0 0 0 0 1 1 о I I 1 0 1 1 1 м 0 0 0 1 0 0 0 215
Рис. 14.12. Структурная схема КА1808ВУ1 ~Х X X X X X X X х~ Коды индицируемы* симдолод Рис. 14.13. Временная диаграмма работы КА1808ВУ1. Цифрами обозначены выводы микросхемы индицируемого символа. Коды символов при- ведены в табл. 14.18. Импульсы, выдаваемые на магистраль F2, последовательно подаются на катоды сегмен- тов, реализуя динамический режим индика- тора. Основные электрические параметры микро- схемы приведены в табл. 14.19. Таблица 14.19 Параметр, режим измерения Обозна- чение Значения параметров [макс, (мин.)] Входной ток высокого уровня, мкА: для входа S/? (вывод /3) при t/cci = 4—5,5 В, t/cca=4—5,5 В, l/SH = 1,425 1,8 В Ьн 100 для входа С (вывод 12} гпи Ucci = 4—4,5 В, L'cca = 4—5,5 В, Utc = = 1,2 В 100 Входной ток низкою уровня, ikA: 1 /L для входа D (вывод 10) при Ucci = 4—5,5 В, Uссг = 4—5,5 В, USr = = 1,425 -1,8 В, U,n = 0 В 1-1001 для входа С (вывод 12) при Ucci = 4—5,5 В, Ucci — 4—5,5 В, (Л «=1,425-1,8 В, (Лс = 0 В (1-101) для входа SR (вывод, 13) при Ucci=4—5,5 В, Ucc2~4—5,5 В, Usr = 0 В 1-1001 Ток потребления, мА, при t/Cci = 4—5,5 В, Ucci=4—5,5 В, USr = = 1,425—1,8 В, Ц;с=1,2 В, (Лс = 0 В: !СС для входа U1 (вывод 11) 2 для входа U2 (вывод 14) 4 Выходное напряжение низкого уровня, В, для выходов магистрали F1 при Ucci — 4—5,5 В, Ucc2 = 4—5,5 В, Usr= 1,425—1,8 В, = мА, (Лс = 1,2 В, (Лв=1,2 В Uql 0,4 Ток утечки высокого уровня на выходе, мкА, для выходов магистрали F1: 1 'ЛН при Ucci = 4—5,5 В, Усс2 = 4—5,5 В, USr = 0 В, Цо = 4—5,5 В 50 при Ц<?с| = 4—5,5 В, Ucc2=4—5,5 В, (7s«=l,425—1,8 В, Urc=l,2 В ! LOL 50 Ток утечки низкого уровня мкА, для выходов магистрали F2: |-50| при (7cci = 4—5,5 В, 1/сс2=4-5,5 В, и = 1,425—1,8 В, С'Гс=1,2 В, 1/о=1,0 В при (7cci = 4—5,5 В, ЦСГ2 = 4-5,5 В, USR= 1,425-1,8 В, (Ле = 0,4 В, Цо = 1,0 В |—50| Выходной ток высокого уровня, мА, для выходов магистрали F2 при Ucci = 4—5,5 В, Ucc2 = 4—5,5 В, Usr= 1,425-1,8 В, Ц;с = 0,4 В, Ц;о = 0,4 В, Un= 1,0 В, /?,со=1 кОм+1% 1 ОН (11 — 1,2|) 216
14.5. Рекомендации по применению На рис. 14.14 приведена функциональная схема контроллера для управления зеркальной фотокамерой высшего класса. В состав конт- роллера входят: микросхемы КА1808ВМ1, КА1808ИР1, КА1808ВВ1, КА1808ВУ1; набор- иики кодов Д1—Д4; светодиодный индика- тор (СДИ); лампа-вспышка (ЛВ); фотопри- емиое устройство (ФПУ); устройство отработ- ки диафрагмы (УОД); электромагниты ЭМ1— ЭМЗ; светодиод VD2; электронный ключ на транзисторе VT; переключатели S1—S6; рези- сторы R1—R11; конденсаторы С1—С5. Наборники кодов выполнены на базе мало- габаритных миогопознционных переключате- лей и предназначены для ввода информации в ЦПЭ. Число позиций переключателей соответ- ствует числу слов вводимой информации. Возможный вариант реализации 4-разряд- ного иаборника кодов (Д2) и принцип ввода информации показаны на рис. 14.15. Состояние переключателя в Д2 соответствует коду ОНО, подаваемую на вход ЦПЭ. Наборник кодов Д1 совмещен с выключа- телем источника питания. Светодиодный инди- катор предназначен для индикации условий экспозиции. Он выполнен на 7-сегментных эле- ментах и содержит: четыре разряда для инди- кации выдержки; два разряда, разделенные запятой, для индикации диафрагмы и символ «М». Лампа-вспышка предназначена для освеще- ния объекта съемки н должна формировать; сигнал готовности ЛВ. При этом по выво- ду 12 микросхемы КА1808ИР1 должен проте- кать ток в соответствии с табл. 14.13; напряжение, пропорциональное диафрагме, в автоматическом режиме и уровень ОВ в руч- ном режиме работы ЛВ. Напряжение подается на вывод 11 микросхемы КА1808ИР1. Фотоприемное устройство предназначено для получения напряжения, пропорционально- го двоичному логарифму освещенности. Нап- ряжение подается на вывод 7 микросхемы КА1808ИР1. Резисторы R3, R4 позволяют ре- гулировать наклон передаточной характерис- тики АЦП. Типовое сопротивление резисторов: R3=z4,7 кОм, R4= 1,8 кОм. Устройство отработки диафрагмы предназ- начено для передачи информации о величине диафрагмы в ЦПЭ. Возможная организация УОД приведена на рис. 14.16. При изменении отверстия объектива от максимального к минимальному контактная щетка 2 движется по гребенке / и на выво- де 12 ЦПЭ возникают импульсы. Число им- пульсов пропорционально отработанной диаф- рагме. Электромагнит ЭМ2 фиксирует диаф- рагму по сигналу из ЦПЭ. Если УОД готово к работе, то контактная щетка находится в верхнем положении. Электромагниты предназначены для управ- ления исполнительными механизмами' ЭМ1 осуществляет спуск механизма подъема зерка- ла и 1-й шторки затвора фотокамеры; ЭМ2 управляет механизмом УОД; ЭМЗ управляет 2-й шторкой затвора фотокамеры. Рис. 14.14. Функциональная схема контроллера для управления зеркальной фотокаме- рой высшего класса 217
Рис. 14.15. Схемы реализации 4-разрядного набориика кодов (а) и принципа ввода инфор- мации (б) Светодиод VD2 предназначен для индика- ции в режимах «Автоспуск» и «Контроль пи- тания». Электронный ключ выполнен на транзисто- ре VT, резисторах Rl, R2, диоде VD1 и пред- назначен для подачи питания на микросхему при замыкании переключателя S1 или S2 Ре- зисторы обеспечивают работу транзистора в ключевом режиме. Устройства S1—S6 предназначены для уп- равления и задания режимов работы контрол- Рис. 14.16. Схема возможной организации уст- ройства автоматической отработки диафрагмы лера: S1 при малом утапливании подает пита- ние на контроллер, а при полном — перево- дит его в режим отработки; S2 задает режим «Контроль питания»; S3 — передает в ЦПЭ состояние затвора (если затвор взведен — он разомкнут). При замыкании S5 ЦПЭ запоми- нает последнее значение освещенности, и до тех пор, пока он замкнут, расчет условий экс- позиции производится на основании последне- го значения освещенности; S6 — размыкается, когда зеркало поднято. Цепочка R9, С5 опре- деляет время, через которое должен начаться отсчет выдержки, и таким образом синхрони- зирует работу затвора и таймера. Значения R9 и С5 зависят от быстродействия исполни- тельного механизма затвора и выбираются в соответствии с техническими условиями на ми- кросхемы Глава 15 Микропроцессорный комплект серии К1809 В состав МПК не входит микросхема цент- рального процессорного элемента, поэтому этот комплект ие имеет самостоятельного при- менения. Выполненные по п-МДП-технологии высокой степени интеграции микросхемы до- полняют МПК серии К1801, придавая ему но- вые качества, необходимые для эффективного применения в средствах цифровой автоматики и вычислительной техники. Состав микросхем серии К1809 приведен в табл. 15 1. Статические и динамические параметры ми- кросхем серии К1809 при t/cc=+5 В±5% приведены в табл. 15.2. Таблица 15.1 Тнп микросхем Фу нкциональиое назначение Тип корпуса К1809ВВ1 Устройство ввода/вы- вода 244.48-11 К1809ВВ2 Системный адаптер последовательного ка- нала 413.48-3 КМ 1509 КП 1 Цифровой матричный коммутатор 244.48-11 218
Таблица 15.2 Параметр Обозна- чение Значения параметров Режим измерения мин макс. Напряжение низкого уровня на входе, В Напряжение высокого уровня на входе, В Напряжение низкого уровня на выходе, В: К1809ВВ1 К1809ВВ2 КМ1509КП1 Напряжение высокого уровня на выходе, В Ток потребления, мА: К1809ВВ1, К1809ВВ2 КМ1509КП1 Период следования тактовых импульсов, нс: К1809ВВ1, К1809ВВ2 КМ1509КП1 Время настройки одного канала КМ1509КП1, нс Время задержки коммутируемого сигнала КМ1509КП1, нс и гн иО1 ^он 1 СС ТС1.С тн 2,0 0,4 0,4 0,4 2,4 190 100 0,8 120 160 5000 5000 100 50 /оь^3,2 мА, Си =£100 пФ (для выводов 8—15, 19, 30, 34); пФ (для остальных выводов) /о£^1,6 мА, Сн =£50 пФ (для выводов 11, 15, 21, 35—39, 46, 47); 1OL^ С 3,2 мА, Сн «=100 пФ (для остальных выводов) /ог.^4,8 мА, С,(«100 пФ /оиг£0,08 мА, 7 = 25 °C 15.1. Микросхема К1809ВВ1 Микросхема К1809ВВ1 — быстродействую- щая многоцелевая БИС, имеющая перестраи- ваемую структуру и предназначенная для по- строения цифровых устройств ввода/вывода для микро-ЭВМ широкого применения. Условное графическое обозначение микро- схемы приведено на рис. 15.1, назначение вы- водов — в табл. 15.3, структурная схема по- казана на рис. 15.2. Микросхема содержит: 1. Канальные регистры А, С, Д, имеющие непосредственную связь с внешними шинами данных и образующие каналы ввода/вывода. Число 8-разрядных параллельных каналов вво- да/вывода 2. Регистры А и Д являются регистрами па- раллельных входов/выходов, причем А может работать в качестве регистра прерываний. Ре- гистр А имеет для информационного обмена двойной доступ: со стороны МП по шине AD и со стороны объекта по шнне D1. Направле- ние обмена по шине D1 задается пятым разря- дом регистра Р. Если шина является выход- ной, то иа ее контактах отображается код, за- писанный в регистр А, а сигнал STB1 имеет активный (низкий) уровень, пока код в реги- стре А остается неизменным. Когда производится запись со стороны МП в регистр А, на выводе STB1 появляется им- пульс высокого уровня. Если шина D1 входная, то при переходе сигнала STB1 из пассивного состояния в ак- тивное производится перепись информации с выводов шины D1 в регистр А. Регистр Д (как и регистр /О имеет двой- ной доступ — по шине AD со стороны МП и по шине D2 со стороны внешнего объекта, ес- ли шина D2 седьмым разрядом регистра Р подключена к регистру Д. Если же шина D2 подключена к регистру С, а не к регистру Д, то последний доступен только со стороны МП. Обмен информации по шине D2 с регистром Д 219
Таблица 15.3 Вывод Обозначение Тип вывода Функциональное назначение выводов 1—5 А4—А0 Входы 5-разрядная адресная шина. Низкий уровень сиг- нала соответствует лог. 1, высокий — лог. 0 в ко- де адреса 6 ОРА Вход Сигнал «Обмен». Устанавливается низким уров- нем для обмена данными по шине AD7—AD0. В момент перехода уровня сигнала с высокого иа низкий воспринимается адрес с шины А5—А1 7 CLC Вход Тактовые импульсы с частотой 5 МГц 8—15 16 AD7—AD0 Вход/выход 8-разрядная шина записи чтения данных. Низкий уровень сигнала соответствует лог. 1, высокий — лог. 0 в коде данных STB2 Вход/выход Стробирующий сигнал для синхронизации обмена информацией с внешними объектами. Является входным, когда шина D2 входная. При низком уровне сигнала разрешает ввод информации по шине D2. Является выходным, когда шина D2 вы- 17, 18, ходная, и представляет собой импульс высокого уровня в момент смены информации иа шине D2 D2(0)—D2(7) Вход/выход 8-разрядная шина ввода/вывода данных для об- 21—23, мена информацией с внешними объектами. Низ- 26—28 СМ Выход кий уровень сигнала соответствует лог. 1, высо- кий — лог. 0 в коде данных 19 Сигнал сравнения, имеющий низкий уровень при совпадении содержимого счетчика сдвигателя с CLP Вход содержимым регистра уставки 29 Сигнал «Начальная установка». При поступлении на этот вход сигнала низкого уровня происходит 30 D4 1NTE Выход сброс внутренних регистров Выход счетчика сдвигателя или сигнал «Предо- SHICLR ставление прерывания» 31 Вход Сигнал «Начальная установка счетчика» или сиг- нал сдвига Установка счетчика в нулевое состоя- пне или сдвиг содержимого регистра сдвига на один разряд вправо производятся по перепаду 33 D3 Вход уровня сигнала от высокого к низкому Информационный вход счетчика/сдвигателя. Из- 32 E(D3) Вхогд менение уровня сигнала от высокого к низкому увеличивает код регистра на 1 Управление входом данных счетчика-сдвигателя 34 INTRQ Выход Сигнал «Запрос на прерывание». Транзистор с от- крытым стоком открывается при наличии запроса 35—42 43 DI(7}—Dl (0) Вход выход Вход 'выход прерывания 8-разрядная шнна ввода вывода данных для об- мена информацией с внешними объектами Низ- кий уровень сигнала соответствует лог. 1, высо- кий— лог. 0 в коде тайных STB1 Стробирующий сигнал для синхронизации обме- на информацией с внешними обьектами. Является входным, когда шина D1 входная, и при низком уровне разрешает ввод информации но шнне D1 Является выходным, когда шина D1 выходная, и S1B3/INTE Вход представляет собой импульс высокого уровня в момент смены информации по шине D1 20 Сигнал «Строб-3». При иизком уровне обеспечи- вается обмен между счетчиком сдвигателем и его буферным устройством. Сигнал «Предоставление прерывания», при низком уровне которого обеспе- BS GND чивается считывание вектора прерывания 25 Подложка Общий 220
Окончание табл. 15.3 Вывод Обозначение Тип вывода Функциональное назначение выводов 48 С сс Напряжение питания 44 R Вход Сигнал «Чтение». Низкий уровень сигнала обеспе- чивает при наличии сигналов ОРА и СБ выдачу микросхемой иа шину AD информации из регист- ра, адресованного по шиие А 45 W Вход Сигнал «Запись». Низкий уровень сигнала на этом входе обеспечивает прн наличии сигналов ОРА и СБ прием микросхемой по шине AD ин- формации в регистр, адресованный по шине А 46 ASWD Выход Сигнал «Ответ». Транзистор с открытым стоком открывается при обмене информацией по шине А Г) 47 CS Вход Сигнал «Выборка» микросхемы. Низкий уровень сигнала означает, что микросхема должна реаги- ровать иа сигналы R или w осуществляется точно так же, как обмен по шине D1 с регистром А. Регистр С предназначен для образования последовательных каналов ввода/вывода и мо- жет представлять собой либо счетчик, работа- ющий на сложение, либо регистр сдвига впра- во (из старших разрядов в младшие). Режим использования регистра С задается третьим разрядом регистра Р. Взаимодействие регистра С с внешними объектами осуществляется с использованием Рис. 15.1. Условное графическое обозначение К1809ВВ1 сигналов: DB — входные данные последова- тельного канала; E(DB) — управление вход- ными данными; SH/CLR — начальная уста- новка регистра С или сдвиг; СМ — состояние сравнения; D4/INTE — выходные данные пос- ледовательного канала. Вспомогательный счетчик СТ предназначен для отсчета восьми сдвигов информации в ре- гистре С и формирования импульса переписи кода из регистра С в регистр Б или из реги- стра Б в регистр С. 2. Служебные регистры Б, У, Р, обеспечи- вающие канальным регистрам необходимые ре- жимы работы. АП S731 STB3/INTE 'I I Регистр Б 1 ? I .......♦ регистр | Регистр~В » ♦ . Их Пр ._ intrh -О 3A/INTE —О Коммутатор СМ STB2 | Регистр | Регистр Р~]"*Т I Регистра 1~Т~ ♦ Г" Рнс. 15.2. Структурная схема К1809ВВ1 О £ I
Таблица 15.4 Объект установки режима Разряд регистра Р Установленный режим при записи кода информации в регистр Р ° 1 Шииа D2 и вывод STB2 7 Приданы регистру Д Приданы регистру С. Нет за- писи на Д(2) 6 Входные Выходные. Запись на Д(2) воз- можна при Рг. Р(7) = 0 Шина D1 и вывод STB1 5 Входные Выходные. Возможна запись на Д(1) Регистр С 4 Вход Д(3) регистра С закрыт Вход Д(3) регистра С открыт сигналом E(D3) 3 Счетчик Сдвигатель Вывод SH/CLR Начальная установка регист- ра С Сдвиг Обмен регистров С и Б * 2 По сигналу STB3 По счетчику СТ 1 Из регистра С в регистр Б Из регистра Б в регистр С 0 Есть обмен Нет обмена Регистр Б Буферная схема регистра С Регистр маски Вывод STB3/INTE STB3 INTE Вывод D4/INTE D4 INTE Вывод INTERQ При обмене регистров С и Б по счетчику СТ По наличию незамаскирован- ных прерываний * Обмен регистров Б и С не происходит при одновременном выполнении условий: С(2) = 1, С(/)-1, С(0)=0. Регистр Б может использоваться как ре- гистр маски в сочетании со схемой прерыва- ний СхПр, если регистр А служит регистром прерываний, и как буферный регистр обмена с регистром С, если последний служит преоб- разователем параллельного кода в последова- тельный либо последовательного кода в па- раллельный. Регистр У используется как регистр устав- ки, которая с помощью схемы сравнения СхСр непрерывно сравнивается с содержимым ре- гистра С. Регистр Р является регистром режимов и обеспечивает программное изменение внутрен- ней конфигурации и задание режимов рабо- ты микросхемы. 3. Векторный регистр В; код вектора запи- сывается в регистр Б со стороны МП програм- мой начальной установки системы. Регистр В необходим для хранения векто- ра прерывания. 4. Внешние двунаправленные 8-разрядные шины данных DI, D2 и однонаправленные 222 одноразрядные шины D3, D4 для информаци- онной связи канальных регистров с объектом. 5. Иитерфейсиая шина адреса/данных AD для обмена информацией с МП. 6. Входы и выходы для управляющих сиг- налов. 7, Вспомогательные схемы для обеспечения функционирования микросхемы. Для обмена информацией с МП микросхе- ма подключается к магистрали стандартного межмодульного интерфейса. При этом исполь- зуются следующие сигнальные линии интер- фейса: AD7—ADO, ОРА, R, W, ASWD, INTRQ, INTE. Временные диаграммы обмена сигналами с МП приведены на рис. 15.3. Микросхема имеет 8-разрядную структуру с расчетом на подключение к правому (млад- шему) байту разрядной сетки МП. Возможно подключение микросхемы и к левому байту разрядной сетки, а также работа машинными словами путем использования двух микросхем,
Таблица 15.5 Объект адресации Операции Код адреса на выводах микросхемы Запись Считывание А 5 (логиче- ское сложе- ние) А4 (логиче- ское умноже- ние) АЗ А2 А! Регистр А Обычная Обычное 1 1 0 0 0 С логическим умножени- ем Сквозь маску 0 1 С логическим сложением Со сбросом 1 0 Обычное 0 0 Регистр В Обычная 1 1 1 0 0 С логическим умноже- нием 0 1 С логическим сложением Со сбросом 1 0 Обычное 0 0 Регистр С Обычная 1 0 0 1 Со сбросом СТ 0 1 Обычное 1 0 0 0 1 1 1 0 1 0 1 Регистр У Со сбросом регистра С 1 0 Регистр В Обычная 0 0 1 0 1 0 Стробы Пет записи 0 1 1 0 Регистр Р Обычная Обычное 0 0 Регистр Д 1 1 1 1 0 С логическим умножени- ем 0 1 С логическим сложением Со сбросом ! 0 Обычное 0 0 Шина D1 Импульсная, со сбросом регистра А 1 0 1 1 0 1 1 0 0 0 Шина D2 Импульсная, со сбросом регистра Д Обычное 1 1 1 1 1 0 1 1 0 0 0 223
a) 6) W АД D7 57В1 Данные! Данные!. Данные 3 Данные 4 1- .zdqcA Адрес РгА Адрес РгА Адрес РгА Адрес РгА X Данные 1 X Данные! ианные31Ланн'ыеА 337с . 337с , , , 337с . Г~~~~\ Г=А. 8) Рис. 15.3. Временная диаграмма записи (а), чтения (б) и записи в регистр А (в) инфор- мации подключенных к разным байтам, но получаю- щих общий (один и тот же) сигнал выборки. Микросхема воспринимает и дешифрует разряды адреса 5—1. Разряды 15—13 (приз- нак обращения к УВВ) и 12—6 (адрес мик- росхемы в системе) дешифруются по фронту сигнала ОРА внешним по отношению к микро- схеме дешифратором, который выдает индиви- дуальные сигналы выборки CS всем микросхе- мам. Режимы работы микросхем устанавливают- ся регистром режимов согласно табл. 15.4. Все регистры микросхемы, а также шины DI, D2 и входы стробирующих сигналов имеют самостоятельные адреса для программного об- ращения к ним со стороны МП по шине AD при выполнении операций чтения и записи. Адреса и выполняемые по ним операции при- ведены в табл. 15.5. 224
Чтобы записать в какой-либо регистр (или на внешнюю шину) микросхемы информацию из МП, необходимо в соответствии с диаграм- мой на рис. 15.3, а подать сигналы управле- ния ОРА, CS, W, адрес регистра (шины) по табл. 15.5 и информацию. По фронту сигнала с задержкой ЗТ микросхема выдает сигнал ASWD. Снимается сигнал ASWD по срезу сигнала W с задержкой Т, т. е. длительность сигнала ASWD зависит от длительности W. Одновременно с выдачей сигнала ASWD запи- сываемая информация устанавливается на внешней шине (если, конечно, запись произво- дится на выходную шину или в регистр, свя- занный с выходной шиной). Чтобы прочитать в МП информацию из ка- кого-либо регистра (или с внешней шины» ми- кросхемы, необходимо в соответствии с диаг- раммой на рис. 15.3, б подать сигналы управ- ления ОРА, CS, R, адрес регистра (шины) по табл. 15.5, По фронту сигнала R с задержкой 2Т информация из регистра (с внешней шины) устанавливается на линиях AD, а сигнал ASWD — через время Т после установления информации. Снимается информация с линий AD по срезу сигнала R, а сигнал ASWD — с задержкой на время Т после среза сигнала R. Регистры А, Б и Д помимо обычной запи- си и считывания допускают запись информации со стороны МП с выполнением логического сложения или умножения и считывание из них информации с одновременным обнулением регистра. Обнуление при считывании не при- водит к потере информации, поступающей в регистр со стороны внешней шины в момент считывания. Регистры С, У, В, Р допускают со сторо- ны МП только запись (без логических опера- ций сложения и умножения) и считывание (без обнуления). Имеется возможность обну- лять регистр С в момент записи информации в регистр У. Это достигается установкой приз- нака логического сложения, равного 1, и приз- нака логического умножения, равного 0, в ко- де адреса регистра У. Использование микросхемы в системе пре- рывания обеспечивается при РгР(5)=0 и РгР(0) = 1. При этом регистр А становится регистром прерываний, воспринимаемых в мо- менты их появления на выводах шины D1, а регистр Б - регистром маски. Маскирование осуществляется единицами в разрядах регист- ра Б. Начальной установкой регистр Б приво- дится в состояние 11111111, маскирующее все прерывания. Если в регистре Л появляются незамаски- рованные сигналы прерывания, то вырабаты- вается сигнал 1NTRQ. Теперь при поступлении сигналов 1NTE и IV' снимается сигнал 1NTRQ, а на шину AD выдается вектор прерывания из регистра В. Выходной сигнал схемы сравнения исполь- зуется для деления частоты, организации тай- мерных режимов, задержек, для поиска задан, ных кодов в преобразуемых битовых последо- вательностях и т. д. 15.2. Микросхема К1809ВВ2 Микросхема К1809ВВ2 — системный адап- тер синхронного последовательного канала (СПК), предназначен для организации после- довательного канала ввода/вывода и расшире- ния функциональных возможностей процессор- ных систем. Микросхема может быть исполь- зована в качестве одного из элементов ввода/ вывода одноплатных или многоплатных ЭВМ высокого быстродействия. Последовательный канал имеет три линии: 16-разрядную шину данных, шину синхрони- зации н шину арбитра, замкнутую в кольцо. Условное графическое обозначение микро- схемы приведено на рис. 15.4, назначение вы- водов — в табл. 15.6, структурная схема по- казана на рис. 15.5. Микросхема содержит: блок последователь- ного интерфейса, блок параллельного интер- фейса, схему запуска процессора после вклю- чения питания. В блок последовательного интерфейса вхо- дят: схема управления последовательным ка- налом; регистр состояния канала (РСК); ре- гистр последовательного канала (РПК); ре- гистр синхроимпульсов (РСИ»; счетчик номе- ра последовательного канала- (Сч. N); счетчик синхроимпульсов (Сч. С); схема присвоения номера; схема арбитра последовательного ка- нала; триггер начала диалога (ТНД); триггер режима синхронизации (ТА1); схемы-форми- рователи импульсов (Ф); схемы сравнения (СхСр). В блок параллельного интерфейса входят: схема параллельного пассивного интерфейса; схема векторного прерывания; системный ре- гистр платы (СРП); дешифратор последова- тельного канала (ДШПК); буферный регистр адреса (РА); дешифратор внешних устройств (ДШВУ,. Регистр состояния канала (РСК) / раз- рядный, используется при идентификации со- стояния канала. Разряды 2--7 РСК доступны только по чтению. Регистр последовательного канала (РПК)~ 8-разрядный сдвиговый; сдвиг осуществляется синхроимпульсом от младших разрядов к стар- шим. Обращение к регистру происходит по ад- ресу А030. Регистр синхроимпульсов (РСИ) - 8-раз- рядный регистр-счетчик синхроимпульсов. В РСИ записывается необходимая частота для передачи информации по адресу А032. Счетчик номера (Сч. N) — 4-разрядный ре- . гистр номера последовательного канала. Уста- новка номера происходит в режиме присвое- ния номеров. Счетчик синхроимпульсов (Сч. С) - 3-разрядный, считает число синхроимпуль- сов при передаче информации. Сброс счетчи- ка происходит в режиме подготовки к пере- даче адреса. '1 риггер начала диалога (ТНД); его уста- новка и сброс происходят при записи по адре- су А026 нулевого разряда шины адрес/дан- ные. 6 Зак. 915 225
Таблица 15.6 10 8 . ~т~- j, "Г; 1 . J4 ЗУ; 32 1 31 . ТР 28 . 27 , 44 , ~~7"; Ц ' ТГ. 77": 40 41 42 > AD15 » AD14 ► AD13 > AD12 ’ О > Xgg > AD8 t AD7 > AD6 > AD5 > A_D4 > AD3 • Alf2 ' 42! » ADO СПК А UMQSt < DMGSo < < CL С So ’ AOSt < AOSo < SELj ( SEL4 < SEL3 < SEL 2 < SEL1 < OcTo ( ACLO < [NT Ucc TMR INIT ( CLC BS Ucc GND ) 12 t 22 ПГ 11 , 39 [зГ [37" [зГ 46 ' 47 » SYNC 1 ж > щт > ' ж > 1АК0 ) VTfa N1 N2 N3 20 43 23 24 , 48 Рис. 15.4. Условное гра- фическое обозначение К1809ВВ2 Вывод Обозначение Тип вывода Функциональное назначение выводов 1—5, ADO—AD15 Вход/ 16-разрядная шииа приема ад- 8—10, выход реса и приема/передачи данных 26—29, 31—34 7 DOUT Вход Запись данных // ADS„ Выход Шина данных последователь- 12 A Вход ного канала Арбитр последовательного ка- 13 DIN Вход нала Чтение данных 14 IAK, Вход Разрешение прерывания 15 IA Ko Выход Разрешение прерывания 16 RPLY Вход/ Ответ устройства 17 VIRQ ВЫХОД Выход Запрос на векторное прерыва- 18 CLCS0 Выход ние Синхроимпульс последователь- 19 CLCSt Вход ного канала Синхроимпульс последова 20 TMR Вход тельного канала Таймер последовательного ка- 21 DMGSo Выход нала Предоставление последова- 22 DMGS, Вход тельного канала Предоставление последова- 23 CLC Вход тельного канала Сигнал запуска 24 BS — Подложка 25 GND — Общий 30 ADSt Вход Шина данных последовательно- 35—39 SEL1-SEL5 Выходы го канала Дешифратор ввода 'вывода 40—42 N1 — N3 Входы Настройка 43 INIT Вход Сброс разрядов регистра уп- 44 SYNC Вход равлеиия Синхронизация обмена 45 1NTUCC Вход Включение питания 46 DCLO Выход Авария источника питания 47 ACLO Выход Авария сетевого питания 48 ucc — Напряжение питания Системный регистр платы (СРП) — 16-раз- рядный регистр с фиксированным адресом 1704408. Режимы начального пуска задаются с помощью выводов 1—3, которые соединены с разрядами 15—13 СРП. Содержимое СРП может быть изменено программными сред- ствами. Дешифратор последовательного канала — комбинационная схема, дешифрующая адреса регистров и триггеров СПК (табл. 15 7) Де- шифратор внешних устройств осуществляет дешифрацию адресов устройств, находящихся на плате микро-ЭВМ. Дешифратор формирует пять сигналов выборки для внешних устройств (SEL1—SEL5). Максимальная длительность импульса на выводах SEL1—SEL5 равна 200 нс на частоте 5 МГц Для запуска микропроцессора к выводу INT Ucc подключен конденсатор, иа котором организована временная задержка т, необхо- димая при включении питания (несколько миллисекунд). Установленные сигналы DCLO и ACLO не снимаются до выключения пита- ния Схема арбитра введена для организации кольца арбитра последовательного канала Существует шесть режимов работы СПК- присвоения номеров, подготовки к передаче адреса, ожидания требования на захват кана- ла, передачи адреса, передачи информации, передачи управления по диалогу. Режим присвоения номера начинается с момента поступления сигнала начальной уста- новки INIT и проводится автоматически. В этом режиме устройства, объединенные по по- 226
Рис. 15.5. Структурная схема К1809ВВ2 Рис. 15.6. Диаграмма обмена синхронного последовательного канала с микропроцес- сором 8* 227
Таблица 15.7 Разряды адреса Запись Чтение Выполняемая функция 15. 14. 13 8, 7, 6, 5, 4, 3, 2, 1,0 1 1 1 1 0 0 0 1 0 0 0 0 1 0 0 1 ТАР (А020), отказ передатчика от канала 1 1 1 1 0 0 0 1 0 0 10 0 1 Чт. Сч. N (А022), чтение номера последователь- ного канала 1 1 1 1 0 0 0 1 0 10 0 1 0 0 1 Зп. PCK(l) (А024), запись в ТТ Чт. РСК (А024), чтение регистра состояния кана- ла 1 1 1 1 0 0 0 1 0 110 1 0 Зп. ТНД (А026), сообщение о диалоге приемнику 1 1 1 1 0 0 0 1 10 0 0 I 0 0 1 Зп. РПК (А030), передача информации приемни- ку Чт. РПК (А030), прием информации приемником 1 1 1 1 0 0 0 1 10 10 1 0 0 1 Зп. РгСИ (А032), установка частоты передачи данных ТА1 (А032), установка максимальной частоты пе- редачи 1 1 1 1 0 0 0 1 110 0 1 0 0 1 1ПС (А034), приемник сообщает передатчику о готовности принять управление в режиме диалога 1 1 1 1 0 0 0 1 1110 1 о 0 { ТПДК, требование на захват канала 1 1 1 0 0 1 X X хххо 1 0 SEL1, 1-й выход дешифратора внешних устройств 1 1 1 0 1 0 X X хххо 1 о 0 1 SEL2, 2-й выход дешифратора внешних устройств 1 1 1 0 1 1 X X хххо 1 о 0 1 SEL3, 3-й выход дешифратора внешних устройств 1 1 1 1 0 0 0 0 хххо I о 0 1 SEL4, 4-й выход дешифратора внешних устройств 1 1 1 ООО X X хххо 1 0 0 1 SEL5, 5-й выход дешифратора внешних устройств П р и х 2 X — е ч а н и я 1. Разряды произвольная информация 1Дреса 2—9 coj держат информацию о номере платы. Рис. 15.7. Временная диаграмма 228
следовательному интерфейсу, последовательно захватывают магистраль, начиная с первого устройства, у которого на вход А подан сиг- нал высокого уровня (у остальных устройств в системе на этом входе — сигнал низкого уровня). Устройства, захватывая магистраль, выдают импульс на шину ADS. Получив этот импульс, все устройства, которые еще не при- няли сигнал управления шиной, прибавляют 1 в счетчик номера. Таким образом будут про- нумерованы все устройства, работающие на последовательной магистрали. Режим подготовки к передаче адреса на- чинается после окончания передачи по каналу (устройство, захватившее канал, отказывается от дальнейшей передачи) или после режима присвоения номера. По шине DMGS проходят два последовательных переключения сигнала из высокого уровня в низкий, подготовляющие устройства к режиму передачи адреса. По второму переключению в случае, если устрой- ством было поставлено требование на захват канала (обращение по адресу А036) и было разрешено прерывание, то формируется сигнал запроса на векторное прерывание VIR.Q Следующим режимом является режим пе- редачи адреса. Реакцией на получение преры- вания (получение разрешения работы по по- следовательной магистрали) является после- довательная запись в РПК номера вызывае- мого устройства (адрес РПК — А030). Содержание счетчика номера определяет номер микро-ЭВМ, причем старшие разряды байта при передаче номера должны быть рав- ны 1. Номер должен иметь вид 1111ХХХХ, где ХХХХ — номер вызываемого устройства. Запись информации в РПК является сиг- налом начала передачи информации на линию 4OS и синхроимпульсов на линию CLCS. Пос- ле передачи восьмого синхроимпульса пере- полняется Сч. С в передающем и принимаю- щем устройстве. Принимающие устройства в этом случае зануляют линию ADS. Устройст- во, в котором номер вызываемого устройства совпал с собственным номером в Сч. N, выра- батывает прерывание; при этом линия ADS занулена принимающим устройством до тех пор, пока не будет обработано прерывание и не произойдет чтение РПК. После снятия за- нуления с линии ADS возникает прерывание в передающем устройстве. Это указывает на раз- решение передачи следующего байта. Режим передачи информации проходит так же, как и режим передачи номера, с тем ис- ключением, что линия ADS зануляется веду- щим и ведомым устройствами. По окончании передачи последнего байта информации и снятия приемником зануления с линии ADS передатчик может либо отка- заться от дальнейшей работы по последова- тельной магистрали обращением по адресу А020, либо передать управление магистралью приемнику (режим диалога). В режиме передачи управления по диало- гу передатчик формирует импульс на линию ADS (импульс требования диалога) последо- вательной записью 1, 0 по адресу А026. Для организации приема управления при- емник устанавливает триггер прямого дос- тупа к каналу в 1 (обращение по адресу А036) и сообщает передатчику о готовности взять управление магистралью обращением по адресу А034, что вызывает зануление шины в передатчике. Далее передатчик отказывает- ся от канала обращением по адресу А020 и чтением РПК, становясь приемником. Бывший приемник после отказа бывшего передатчика работы К1809ВВ2 229
от канала становится передатчиком. Начина- ется режим передачи информации. На рис. 15.6 и 15.7 показаны временные диаграммы работы микросхемы. Указанные на диаграммах времена должны быть в пределах: ис, /2^20 нс, 400 нс<7з<700 нс, 400 нс</4<700 нс, 300 ис</5<600 нс, 0</6<200 нс, 300 нс <6 <600 ис. 15.3. Микросхема КМ1509КП1 Микросхема КМ1509КП1 — цифровой мат- ричный коммутатор с полем коммутации 16X16, предназначен для использования в цифровых вычислительных устройствах, систе- мах связи и радиоэлектронной аппаратуре широкого применения. Возможно соединение каждого выхода микросхемы с любым вхо- дом; при этом одни выход может быть соеди- нен не более чем с одним входом. Использование N\M микросхем позволяет строить коммутационное поле на 16 УХ 16 М точек коммутации. Условное графическое изображение микро- схемы приведено иа рис. 15.8, назначение вы- водов — в табл. 15.8, структурная схема по- казана на рис. 15.9. В состав микросхемы входят следующие функциональные узлы: матрица ячеек памяти (ЯП) с ключами размером 16X16; дешифра- торы адресов входов (ДВх) и выходов (ДВых) коммутатора; буферные входные регистры (БВ); устройства выходные (УВ); блоки ло- гические (БД), реализующие режимы выбор- ки кристалла при настройке и установлении режимов синхронной и асинхронной передачи коммутируемых сигналов. Микросхема работает в двух основных фа- зах: настройки (установления соединений) и передачи информации. Для установления соединения i-ro входа с /-м выходом коммутатора необходимо иа вхо- ды дешифратора выходов ДВых подать код адреса До /-го выхода, а на входы, дешифра- тора входов ДВх — код адреса Al i-ro вхо- да одновременно с сигналом разрешения за- писи STBfU7)==l. Для установления соедине- ний всех 16 каналов требуется 16 тактов. Во время настройки вход CS выборки кри- сталла должен быть в единичном состоянии. При работе коммутатора в составе коммута- ционного поля может возникнуть ситуация, когда к данному выходу не подключен ни один из входов данной микросхемы. Тогда на вход CS подается лог. 0 и независимо от ко- да Д1(Т) выбранный выход перейдет в 3-е (вы- сокоомное) состояние, которое запоминается в ЯП дополнительного, 17-го столбца матрицы коммутатора. Вход CS используется для объ- единения отдельных коммутаторов в комму- тационное поле. Возможные состояния входов STB(M), CS, At, Ло и соответствующие сос- тояния коммутатора приведены в табл. 15.9. Передача информации в микросхеме мо- жет осуществляться в двух режимах: асин- хронном (V=0) и синхронном (V=l). В синхронном режиме информация, посту- пающая иа входы коммутатора В1 через /2 11 <7 > 0В, > 1В, > 29, ЦМК ов0 < 1В0 I 2В0 < 47 <47 7 > 38j ) 9В0 < 9Ва < 7 > 5В, 5В„ < б | 1 6 В, 6В0 ( ,77 5 ; > 79, 7В0 < ,75 4 > 8В, 8В0 < ,75 3 7 > 9В, ) 10В, Ю80 ( 74 47 47 > ив, 11 в0 ( 32 > 12 В, > 19В, IBBq < ,29 , 2.8 27 1 /4 В, > 15В, 14В0 < 15В о < 16 >AS(0l STB(w)l 15. >Ад(1) CS < 18 74 >А„(2) а < 23 13 t >Ад(9) BS < 2k 19 , 2О{ 21{ >А,(О) СЮ 1 26 >А,(1) и < 25 'А, (2) , 44 iA,(9) ffW ) 90 Таблица 15.8 Вывод Обозначение Тнп вывода Функциональное назначение выводов 16-13 А„(0)—Л0(3) Входы Адреса выходов 19—22 At(0)-At(3) Входы Адреса входов 43—31, 29—27 ОВ0—15В0 Выходы Коммутируемые выводы 12—1, 48—45 0Bt—15Bt Входы Коммутируемые выводы 18 CS Вход Выбор кристалла 17 STB(W) Вход Сигнал разрешения за- писи 23 Q Вход Сигнал перевода выхо- дов в высокоомное со- стояние 24 BS — Подложка 26 CLC Вход Тактовый сигнал для синхронной передачи 25 V Вход Сигнал синхронной пере- дачи информации 44 Ucc — Напряжение питания 30 GND — Общий Рис. 15.8. Условное графическое обозначение КМ1509КП1 230
Рис. 15.9. Структурная схема КМ1509КП1 замкнутые ключи матрицы, непосредственно передается на выходы ВО коммутатора. В синхронном режиме при CLC=] проис- ходят запись входных сигналов в одноразряд- ный регистр сдвига и выдача информации на выходные шины коммутатора через такт при CLC*=\. В обоих режимах возможен перевод всех выходов коммутатора в высокоомное со- стояние при Q = 0. Временные диаграммы работы микросхемы приведены на рис. 15.10 Рис. 15.10. Временные диаграммы работы мик- росхемы для различных режимов: а — установления соединений; б - передачи инфор- мации Табл и ц а 15.9 В1 Устанавливается соеди- нение t-го входа с /-м выходом /-Й выход устанавливает- ся в высокоомное состоя- ние Установления соедине- ний в коммутаторе не происходит. Адресные входы забло- кированы оо Примечание X состояние входа безраз- лично; оо высокоомное состояние выхода. 231
Глава 16 Микропроцессорный комплект серии КМ 1810 Комплект микросхем серии КМ 1810 с 16- разрядной организацией предназначен для по- строения средств вычислительной техники раз- личного назначения: от одноплатных управля- ющих микро-ЭВМ до мультипроцессорных вы- сокопроизводительных систем. Широкие возможности МПК обеспечива- ются как совершенством архитектуры цент- Таблица 16.1 Тип микро- схемы Функциональное назначение Тнп корпуса Техно- логия KMI810BM86 Однокристальный 16-разрядный микропроцессор 2123 40-6 п-МДП КР1810ВН59А Программируе- мый контроллер прерываний 212! .28-5 п-МДП КР1810ВБ89 Арбитр системной шииы 2140 20-2 ттлш КР1810ГФ84 Генератор такто- вых сигналов 2104 18-5 ттлш КР1810ВГ88 Системный кон- троллер 2140 20-2 ттлш Таблица 16.2 Пара метр Обозна- чение Значения парамет- ров [макс. (мии.)] Напряжение питания, В ^сс (4,75) 5,25 Входное напряжение низкого уровня ', В 0.8 Входное напряжение вы- сокого уровня ', В и1Н (2,0) Выходное напряжение высокого уровня *, В ион (2,4) Выходное напряжение низкого уровня 1, В U()L 0,45 Выходной ток высокого уровня *, мА 1 ОН -0.4 Выходной ток низкого уровня мА 1OL 2,0 Ток утечки на входах, мкА 1 и ± 10 Ток утечки на входах/ выходах, мкА 1 о г ±10 Емкость нагрузки 1, пФ ct. 100 Входная емкость, пФ С, 15 Емкость входа/выхода, пФ С1 /о 15 1 Значения статических параметров, отличаю щиеся от указанных, приведены в таблицах пара- метров конкретных микросхем. рального процессорного элемента, так и на- бором функций, выполняемых всеми микросхе- мами, входящими в комплект. Состав МПК серии КМ1810 приведен в табл. 16.1, основные электрические параметры даны в табл. 16.2. 16.1. Микросхема КМ1810ВМ86 Микросхема КМ1810ВМ86 представляет со- бой однокристальный высокопроизводитель- ный 16-разрядный микропроцессор с фиксиро- ванной системой команд. Она предназначена для использования в качестве центрального процессорного устройства при построении средств вычислительной техники — от прос- тейших одноплатных микро-ЭВМ до высоко- производительных мультипроцессорных систем. Микропроцессор обладает высоким быстро- действием (до 2,5-106 операций/с типа /?/? при тактовой частоте 5 МГц), обеспечивает возможность прямой адресации памяти объе- мом .до 1М байта, 65536 устройств ввода и 65536 устройств вывода. Для вычисления ад- ресов операндов, размещенных в памяти, ис- пользуется 24 режима адресации. Микропро- цессор имеет векторную структуру прерывания и обеспечивает обработку до 256 запросов прерывания трех типов: внешних, внутренних и программных. Архитектурной особенностью микропроцес- сора КМ1810ВМ86 является наличие аппарат- но-программных средств, позволяющих упрос- тить построение мультипроцессорных систем на его основе. Эти средства обеспечивают синхронизацию работы нескольких независи- мых (выполняющих собственные потоки ко- манд) процессоров, имеющих общие ресурсы, а также синхронизацию параллельной работы микропроцессора и сопроцессоров (специали- зированных процессоров, аппаратно реализую- щих команды сложных процедур). Микропро- цессор КМ1810ВМ86 характеризуется двумя режимами работы — минимальным и макси- мальным, которые отличаются способом фор- мирования сигналов обмена и соответственно возможностями реализуемых систем. Условное графическое обозначение микро- схемы приведено на рис. 16.1, назначение вы- водов, используемых как для минимального, так и максимального режимов работы, — в табл. 16.3, назначение выводов, используемых только в минимальном режиме или только в максимальном режиме, в табл. 16.4, струк- турная схема показана на рис. 16.2. Структура микропроцессора КМ1810ВМ86 ориентирована на параллельное выполнение функций выборки и команд; состоит из устрой- ства сопряжения канала (УСК), устройства 232
Таблица 16.3 Вывод Обозначение Тип вывода Функциональное назначение выводов 1, 20 GND — Общий 2—16, 39 A[D0— A/D15 Вход/ выход1 Канал адреса'данных 17 NMI Вход Немаскируемый запрос пре- рывания 18 INT Вход Маскируемый запрос пре- рывания 19 С Вход Тактовый сигнал 21 SR Вход Сигнал «Установка» 22 RDY Вход Сигнал «Готовность» 23 TEST Вход Сигнал «Проверка» 32 ~RD Выход 1 Сигнал «Чтение» 33 MN MX Вход Режим минимального мак- симального включения 34 B11E/SA7 Выход’ Разрешение передачи по старшей половине канала данных D15—£)<8/сигнал со- стояния 35—38 A19 SA6; A18/SA5; A17/SA4; A16 SA3 Выходы1 Канал адреса'сигналы со- стояния 40 1 С тремя Ucc состояниями. — Напряжение питания +5 В Таблица 16.4 Рис. 16.1. Условное гра- фическое обозначение КМ1810ВМ86 /7 18 J9 21 22 23 33 30 3! 1 20 у U0 NMI CNT С 58 8DY TEST CPU A/DO A/O1 A./02 A/03 А/ДА A/05 A/Ob A/O3 A/OS A/O9 A/OIO A/Oil A/DfZ A/O13 A/D1A A/015 A15/SA3 A11/SAA A18/SA5 A19/SA6 BHC/SAS ivA(LOCK/ M[fO(SA2) DTIR1SA1) ALC/SSO) 1NTA(aS1) 15 1U 13 12 11 10 9 ~7~ 6 5 MN/m HinfRi/sro GND GND "cc ' U 3 2 39 38 37 36 35 3U 32 29 28 27 26 25 2\ Вывод Обозначение Тип вывода Функциональное назначение выводов Режим минимального включения микросхемы 24 INTA Выход Подтверждение прерывания 25 ALE Выход Стробирующий сигнал адреса 26 DEN Выход 1 Разрешение передачи данных 27 DT R Выход 1 Выдача прием данных 28 Ml IO Выход 1 Память/внешнее устройство 29 WR Выход 1 Запись 30 HLDA Выход Подтверждение захвата 31 HLD Вход Захват Режим максимального включения микросхемы 24, 25 QS1, QSO Выходы Сигналы состояния очереди команд 26—28 SA0—SA2 Выходы 1 Сигналы состояния цикла канала 29 LOCK Выход Канал занят 30, 31 RQIGT1, RQ GTO Вход выход Запрос'разрешение доступа к магистрали ‘ c тремя СОСТОЯНИЯМИ 233
MS SK INT wk (lock) Н/Г0(5Аг) пт/МмЦ Den(.sao) TEST NMl HL DA (KQ/STt) HLD{RQlsfO) MN/MA Рис. 16.2. Структурная схема микропроцессора КМ1810ВМ86 KJTY обработки (УО) и устройства управления и синхронизации. Устройство сопряжения канала предназна- чено для: формирования физического адреса памяти, выборки команд из памяти и записи их в очередь команд, чтения операндов ко- манд из памяти или регистров ввода/вывода, записи результатов выполнения команд в па- мять или регистры ввода/вывода. В УСК входят: шесть 8-разрядных реги- стров очереди команд; четыре 16-разрядных сегментных регистра; 16-разрядный регистр адреса (указателя) команды; 16-разрядный регистр обмена; 16-разрядный сумматор адре- са. Устройство обработки предназначено для выполнения операций по обработке данных. Команды, выбранные из памяти и записанные в регистры очереди команд УСК, по запросам от УО поступают через 8-разрядную маги- страль команд на микропрограммное устрой- ство управления, которое декодирует команды и вырабатывает соответствующую последова- тельность микрокоманд, управляющую про- цессом выполнения текущей операции. УО не имеет непосредственной связи с внешней ма- гистралью системы и обменивается данными через регистр обмена с УСК. В устройство обработки входят: 16-разряд- ное арифметико-логическое устройство, восемь 16-разрядных регистров общего назначения, 16-разрядный регистр признаков состояния микропроцессора. 234 Программно-доступными функциональны- ми частями микропроцессора являются реги- стры общего назначения, сегментные регист- ры, регистр адреса (указателя) команд и ре- гистр признаков (рис. 16.3). Регистры общего назначения используются для хранения операндов и результатов выпол- нения команд и делятся на две группы: реги- стры данных, индексные регистры н указа- тели. В группу регистров данных входят: регистр аккумулятора АХ; регистр указателя базы данных ВХ, регистр счетчика циклов СХ, ре- гистр данных DX. В группу индексных регистров и регист- ров указателей входят: регистр указателя сте- ка SP. регистр указателя базы стека ВР, ре- гистр индекса источника SI, регистр индекса приемника DI. Старшие и младшие восемь разрядов груп- пы регистров данных могут быть адресованы раздельно. Они образуют набор 8-разрядиых регистров общего назначения (АН, AL, ВН, BL, СН, CL, DH, DL), причем регистрам АН, ВН, СН, DH соответствуют старшие восемь разрядов, а регистрам AL, BL, CL, DL — младшие восемь разрядов группы регистров данных. Сегментные регистры используются для организации сегментной адресации памяти н предназначены для хранения базовых адресов текущих сегментов памяти. В КМ1810ВМ86 имеется четыре 16-разрядных сегментных ре-
гистра: кода CS, данных DS, стека SS, допол- нительного сегмента ES. Разряды регистра признаков содержат признаки состояния микропроцессора, кото- рые разделены на две группы: признаки ре- зультата и признаки управления. В группу признаков результата входят: признак переполнения OF, указывающий на переполнение в случае выполнения опера- ций над целыми числами; признак знака SF, указывающий на знак результата; признак нуля ZF, указывающий на равен- ство нулю результата; признак вспомогательного переноса AF, указывающий на перенос из третьего разряда или на заем в третий разряд результата при выполнении арифметических операций; признак четности PF, указывающий иа чет- ное число единиц в младшем байте результата; признак переноса CF, указывающий иа пе- ренос из старшего разряда или на заем в стар- ший разряд результата. В группу признаков управления входят: признак направления DF, указывающий на- правление обработки строк данных; признак разрешения прерывания IF, разре- шающий или запрещающий максируемые пре- рывания; признак пошагового режима TF, управля- ющий пошаговыми прерываниями. Распределение признаков по разрядам ре- гистра признаков показано на рнс. 16.3. Микропроцессор обеспечивает формирова- ние 20-разрядного адреса для адресации ячей- ки внешней памяти. Память организована как Регистры данных И L 15 8 7 О' \ I___АХ__ ~ АН~ ; AL ВХ_____ 8Н____________~! BL __________________сх________________ ~ CH_CL _ ____ЛХ_________ IГ лн SL Аккумулятор База Счетчик Данные Регистры индексные и указа - толи Указатель стека Указатель Уазы Индекс источника Индекс приемника сегментные регистры Рееистр признаков Признаки переноса четности вспомогательного переноса нуля знака пошагового режима разрешения прерывания направления переполнения 15 16 13 12 11 10 9 8 7 6 5 6 3 2 1 О / 1 1 1 дБ OF IF TF SF ZF 0 AF 0 PF 1 CF Рнс. 16.3. Программно-доступные регистры микропроцессора 235
Таблица 16.5 Тип прерывания Прерывание по ошибке деления Пошаговое прерыва- ние Немаскируемое пре- рывание Прерывание по точ- кам разрыва (по команде INT3) Прерывание по пере- полнению (по коман- де INTO) Прерывания, опреде- ляемые пользовате- лем (по команде INT ЛГ__PROC) Маскируемые преры- вания « 2 СХ £ 2 £££. о * £ * ® ф С- X X х с х Время вы- зова про- цедуры (в тактах) сх сх О Е я L с Я X м X 2 СХ 0 1 50 1 4 50 2 2 50 3 1 52 4 1 53 5-31 1 51 32—255 3 61 линейная последовательность в 1М байт с ад- ресами от 00000Н до FFFFFH. Структурными единицами памяти являются: ячейка, слово, двойное слово и сегмент. Ячейка памяти — минимальная адресуе- мая единица памяти, используемая для запо- минания 8-разрядных данных (байта данных). Слово памяти — две последовательные ячейки памяти, которые используются для за- поминания 16-разрядных данных (слова дан- ных), причем младшие восемь разрядов всегда хранятся в ячейке памяти с меньшим адресом, а старшие — с большим. При адресации 16- разрядных данных указывается адрес первой ячейки слова памяти. Слово памяти может располагаться в памяти как по четному, так и нечетному адресу. Чтение (запись) данных из слова памяти по четному адресу осущест- вляется за одно обращение к памяти, а по не- четному — за два обращения. Двойное слово памяти — четыре последо- вательные ячейки памяти или два последова- тельных слова памяти, которые используются для запоминания 32-разрядных данных. При адресации 32-разрядных данных указывается адрес первой ячейки двойного слова памяти. Двойное слово памяти также может иметь четный или нечетный адрес. Для достижения максимальной производи- тельности слова и двойные слова данных дол- жны размещаться в памяти по четным адре- сам. Программы, написанные для микропроцес- сора КМ1810ВМ86 «рассматривают» 1М байт памяти как группу сегментов, определяемых конкретным применением. Сегмент памяти — участок памяти, ем- кость которого может изменяться от 16 до 65536 байт; начинается с адреса, кратного ЮН. Каждому сегменту соответствует непре- рывная и отдельно адресуемая область па- мяти. Сегменты могут следовать друг за другом непрерывно, с интервалом или могут перекры- ваться. Максимальное количество следующих непрерывно друг за другом сегментов емко- стью 16 бант равно 65536, а емкостью 65536 байт равно 16. Программный сегмент может быть настроен на адрес любой ячейки сегмен- та, на адрес любого слова сегмента. Микропроцессор позволяет независимо ад- ресовать четыре программных сегмента в па- мяти, называемых текущими сегментами команд, данных, стека и текущим дополнитель- ным сегментом. Сегментирование памяти совместно с пози- ционно-независимыми командами передачи уп- равления позволяет создавать динамически перемещаемые программные модули. Физически область памяти для КМ1810ВМ86 организуется как два банка па- мяти по 512К байт: старший банк (D15—D8) и младший банк (D7—DO). Для адресации ячеек памяти в каждом банке используются разряды А19—А1 канала адреса микропроцес- сора. Байт данных с четным адресом пересы- лается по линиям D7—DO канала данных, а байт данных с нечетным адресом - по лини- ям D15—D8 канала данных. Микропроцессор вырабатывает сигналы ВНЕ и АО, используе- мые для выбора соответствующего банка па- мяти. Младший банк, содержащий четно адресуе- мые байты, выбирается при Л0 = 0. Старший банк, содержащий нечетно адресуемые байты, выбирается прн ВНЕ = 0. Команды всегда выбираются из памяти как слова, независимо от четности или нечетности адреса, по которому производится чтение команды. Микропроцессор позволяет обрабатывать 256 типов прерываний с номерами от 0 до 255. которые делятся на внешние аппаратные, внут- ренние аппаратные и программные. Запросы на внешние прерывания формируются внешни- ми по отношению к микропроцессору устрой- ствами, Запросы на внутренние прерывания формируются при выполнении определенных команд или по некоторым условиям при вы- полнении команд. По любому прерыванию управление передается программе (процеду- ре) обслуживания прерывания посредством вектора прерывания, выбираемого из таблицы векторов прерывания, располагаемой в памя- ти. Номер вектора прерывания и приоритет для всех типов прерывания приведены в табл. 16.5. Запросы на внешние прерывания восприни маются и обрабатываются после выполнения текущей команды. Внешние прерывания по- ступают на микропроцессор по двум внешним выводам (INT н NMI) и делятся па маскируе- мые и немаскируемые. Запросы на маскируемые прерывания от внешних устройств обычно поступают на вхо- 236
ды контроллера прерываний КР1810ВН59А, который формирует сигнал запроса прерыва- ния 1NT маскируемого прерывания с наи- большим приоритетом. Обработка маскируе- мого прерывания зависит от значения призна- ка разрешения прерывания IF. Управление признаком IF осуществляется командой CL1, запрещающей прерывания, и командой STI, разрешающей прерывания. Если прерывания разрешены и пришел запрос на маскируемое прерывание, то после его распознавания мик- ропроцессор формирует сигнал подтверждения прерывания INTA для контроллера прерыва- ний. Контроллер прерываний в ответ на этот сигнал выдает байт данных, который опреде- ляет номер прерывания в пределах от О до 255. Немаскируемый запрос прерывания посту- пает по входу NM1 микропроцессора и обыч- но используется для прерывания работы мик- ропроцессора при «катастрофических» событи- ях, таких как пропадание питания, обнаруже- ние ошибки памяти н т. д. Обработка немас- кируемого прерывания не зависит от значения признака IF, сигнал INTA не вырабатывается, а номер вектора прерывания формируется внутри микропроцессора. Обработка внутренних прерываний не за- висит от значения признака разрешения пре- рывания, и номер вектора прерывания форми- руется внутри микросхемы. Внутренние аппаратные прерывания делят- ся на прерывание по ошибке деления и поша- говые прерывания. Прерывание по ошибке деления пронсхо. дит во время выполнения команд деления DIV, IDIV, если результат деления превышает максимально допустимую величину илн в слу- чае деления на нуль. Выработка пошагового прерывания зависит от состояния признака пошагового режима TF. Если TF—\, то пошаговое прерывание ге- нерируется после выполнения каждой теку- щей команды или пары текущих команд, пер- вой из которых является команда изменения содержимого сегментного регистра. Пошаго- вые прерывания обычно используются в про- граммах отладки. Программные прерывания делятся на пре- рывания по точкам разрыва, прерывания, оп- ределяемые пользователем, и прерывания по переполнению. Обработка программных преры- ваний не зависит от значения признака раз- решения прерывания. Прерывание по точкам разрыва генерирует- ся по однобайтовой команде INT3. Точка раз- рыва в общем случае представляет собой лю- бое место в программе, где нормальное ее вы- полнение приостанавливается для выполнения некоторых специальных действий. Обычно дан- ное прерывание используется в программных средствах отладки. Прерывание по переполнению генерируется по однобайтовой команде INTO и зависит от значения признака переполнения OF. Если 0Е=1, то по команде INTO выполняется пе- реход на процедуру обслуживания прерыва- ния, если OF = 0, то прерывания ие происхо- дит. Прерывание по переполнению может быть использовано для обнаружения переполнений при выполнении операций иад целыми числа- ми. В случае прерываний, определяемых поль- зователем, номер прерывания задается вторым байтом кода команды INT. Данный вид пре- рывания может быть использован для вызова системных процедур и (или) процедур поль- зователя в любом месте программы. Микропроцессор КМ1810ВМ86 допускает разработку на его основе мультипроцессорных систем, так как в нем заложена возможность синхронизации работы нескольких процессо- ров. В мультипроцессорных системах, выпол- ненных на основе КМ1810ВМ86, возможно ис- пользование процессоров двух типов: незави- симых и подчиненных (сопроцессоров). Неза- висимый процессор выполняет свой собствен- ный поток команд. Сопроцессор отличается от независимого тем, что следит за выполнением потока команд центральным процессором, идентифицирует в этом потоке свои команды и выполняет их и таким образом расширяет набор команд центрального процессора. Для обеспечения возможности разделения системного канала при работе нескольких про- цессоров КМ1810ВМ86 по команде LOCK вы- рабатывает сигнал блокировки канала LOCK, который запрещает другим процессорам поль- зоваться системным каналом на время выпол- нения команды, следующей за командой LOCK. Данная команда совместно с командой XCHG может быть использована для координации доступа к совместно используемым ресурсам через «семафор» — программно-управляемый признак в памяти. Микропроцессор КМ1810ВМ86 может быть синхронизирован по отношению к внешним со- бытиям с помощью команды WAIT и входно- го сигнала TEST. В систему команд КМ1810ВМ86 входит команда ESC (расширение), которая представ- ляет другому процессору (сопроцессору) воз- можность получения команд и данных из про- граммы, выполняемой микропроцессором. Команда ESC совместно с командой WAIT используется для организации параллельных процессов (программ) в мультипроцессорной системе. Отличительной особенностью КМ1810ВМ86 является возможность аппаратной перестрой- ки внутренней структуры схемы управления и синхроиизации. Выбор режима функциони- рования этой схемы предоставляет разработ- чику системы возможность выбора подмноже- ства выходных управляющих сигналов в соот- ветствии со степенью сложности проектируе- мой микропроцессорной системы. Системная «настройка» обеспечивается специальным вы- водом выбора режима MN/MX. Минимальный режим (вывод MN/MX под- ключен к шине питания) ориентирован на при- менение микропроцессора в однопроцессорных 237
Рнс. 16.4. Структурная схема системы на базе микропроцессора КМ1810ВМ86 в ре- жиме минимального включения микросхемы системах, содержащих небольшое число уст- ройств. В этом режиме микропроцессор непо- средственно вырабатывает^ сигналы управле ния циклами канала DT/R, DEN, ALE, MflO, RD, WR, INTA в соответствующей временной последовательности, а также обеспечивает простой доступ к системному каналу по зап- росу от контроллера прямого доступа к памя- ти типа КР580ВТ57, используя сигналы HLDIHLDA Система минимальной сложности, как по казано на рис. 16.4, состоит из КМ1810ВМ86, генератора тактовых импульсов КР1810ГФ84, двух (трех) буферных регистров КР580ИР82, обеспечивающих фиксацию 16-разрядного по- разрядного) адреса. Подключение шины дан- ных системы к выходам канала данных мик- ропроцессора выполняется специальными схе- мами двунаправленных шинных формировате- лей КР580ВА86. Если нагрузка по току и ем- костная нагрузка не превышают нагрузочной способности выходных каскадов микропроцес- сора, то возможно непосредственное подклю- чение его канала данных На шину данных сис- темы. Максимальный режим (вывод MN/MX под- ключен к шине «Общий») ориентирован на применение микропроцессора в сложных одно- и многопроцессорных системах. В системах максимальной конфигурации (рис. 16.5) функ- ции управления каналом берет на себя сис- темный контроллер КР1810ВГ88, который де- кодирует три сигнала состояния SA0—5Л2, по- ступающие из микропроцессора, и выдает рас- Рис 16 5. Структурная схема системы на базе микропроцессора КМ1810ВМ86 в ре- жиме максимального включения микросхемы 238
ширенный набор сигналов управления для ос- тальной части системы. Пять выходных сигна- лов используются для координации совмест- ной работы с другими процессорами в мульти- процессорной системе. Для пользователя действия, выполняемые микропроцессором, представляют собой после- довательность циклов канала по обмену ин- формацией с памятью или периферийными устройствами. Каждый цикл канала микропро- цессора состоит, как минимум, из четырех ма- шинных тактов Т1—Т4. В такте Г/ на канал адреса'данных всегда выдается адресная ин- формация. В этом же такте вырабатывается сигнал ALE, который позволяет идентифици- ровать начало цикла канала и используется как стробирующий для занесения адресной ин- формации во внешний регистр адреса. В такте Т2 производится переключение на- правления работы канала адреса/данных. Пе- редача данных по каналу происходит в так- тах ТЗ и Т4. Длительность цикла канала мо- жет быть удлинена использованием управляю- щего сигнала RDY. Этот сигнал позволяет раз- работчику синхронизировать скорость работы внешней памяти со скоростью работы микро- процессора введением в цикл канала между тактами ТЗ н Т4 дополнительных тактов ожи- дания Twi. В течение тактов ожидания дан- ные иа канале остаются неизменными. Между тактом Т4 текущего цикла и тактом Т1 следу- ющего цикла канала процессор может вво- дить дополнительные «холостые» такты, пред- назначенные для выполнения внутренних дей- ствий. Моменты введения этих тактов и их число зависят от состояния очереди команд и выполняемой команды в УО, Все типы циклов канала могут быть объе- динены в два базовых цикла: цикл чтения и цикл записи. Пример базового цикла канала для минимального режима приведен на рис. 16.6. Цикл чтения начинается с выработки сиг- нала ALE. Этот сигнал используется для за- несения адресной информации на внешний ре- гистр адреса. В такте Т2 канал А/D переклю- чается в высокоомное состояние, вырабатыва- ется сигнал RD, который используется для чтения адресуемого устройства. Для управле- ния шинными формирователями, обеспечива- ющими развязку канала адреса/данных микро- процессора от системного канала данных, ис- пользуются сигналы DT/R и DEN. Цикл записи (как и цикл чтения) начина- ется с выдачи сигнала ALE и адреса иа канал адреса. В такте Т2 непосредственно за выда- чей адреса на канал А/D выдаются данные для записи в адресуемое устройство. Эта ин- формация остается истинной на канале дан- ных до окончания такта Т4 Сигнал WR выра- батывается в начале такта Т2 и остается в этом состоянии до начала такта Т4. Использование четырехтактового цикла об- мена информацией позволяет микропроцессо- ру при тактовой частоте 5 МГц работать без введения тактов ожидания со схемами памя- Рис. 16.6. Цикл канала для режима мини- мального включения микропроцессора тн с длительностью цикла обращения от 500 до 800 нс и временем выборки от 300 до 400 нс. Начальная установка и запуск микропро- цессора осуществляются по сигналу SR. Для правильной установки в начальное состояние микропроцессора сигнал S/? должен подавать- ся на вход S/? высоким уровнем — не менее четырех периодов тактовой частоты. По сиг- налу SR работа микропроцессора приостанав- ливается, н производится начальная установка регистра признаков F, регистра адреса (указа- теля) команд IP, сегментных регистров в соот- ветствии с табл. 16.6, выходы канала адреса/ данных переводятся в высокоомное состояние, выходы канала управления — в высокоомное или пассивное состояние. По окончании сигнала S/? пошаговые и маскируемые прерывания запрещены, и пер- вая команда начинает выбираться из ячейки памяти с физическим адресом FFFFOH. Обыч- но первой командой является команда межсег- Таблица 16.6 Назначение регистра Содержимое регистра Регистр признаков F F002I6 Регистр адреса команды 1Р 000016 Регистр сегмента команд CS FFFFI6 Регистр сегмента данных DS ОООО16 Регистр сегмента стека SS 000016 Регистр дополнительного сег- 000016 мента ES 239
ментного прямого перехода IMP, которая из- меняет содержимое регистров IP и CS и та- ким образом определяет начальный адрес вы- полняемой программы. Система команд микропроцессора содержит 135 машинных команд, которые могут быть разделены на шесть категорий: команды пере- сылки данных, арифметические команды, команды поразрядной обработки данных, стро- ковые команды, команды передачи управле- ния, команды управления микропроцессором. Команды пересылки данных предназначе- ны для пересылки содержимого операнда-ис- точника на место операнда-приемника. Суще- ствует четыре группы команд пересылки дан- ных: общего назначения, ввода/вывода, логи- ческого адреса, признаков. Арифметические команды предназначены для выполнения основных арифметических опе- раций (сложение, вычитание, умножение и де- ление) над порядковыми и целыми двоичны- ми числами, над упакованными и распакован- ными двоично-десятичными числами, а также для преобразования форматов данных. Команды поразрядной обработки данных предназначены для выполнения логических операций и операций линейного и циклическо- го сдвигов (арифметических и логических) на один или п разрядов. Команды обработки элементов строк дан- ных предназначены для пересылки, сравнения, записи в память, загрузки в аккумулятор эле- ментов строк данных. Команды обработки строк совместно с префиксом повторения поз- воляют организовать аппаратные циклы для обработки элементов строк длиной до 64К байт. Команды передачи управления предназна- чены для организации перехода в программе. Существует четыре класса таких команд: без- условная передача управления, условная пе- редача управления, управление циклами, ко- манды прерываний. Команды управления микропроцессором позволяют программно управлять его различ- ными функциями н делятся на две группы- команды управления состоянием признаков, команды синхронизации работы микропроцес- сора с внешними событиями. Система команд КМ1810ВМ86, упорядочен- ных по коду операции, приведена в табл 16.7, установка признаков состояния при выполне- нии команд — в табл. 16.8, набор машинных команд, упорядоченных по мнемокоду, пока- зан в табл. 16.9. Команды микропроцессора обеспечивают выполнение операций над одним или двумя операндами, и результат операции может за- писываться по адресу любого из операндов. В зависимости от типа команды операнды мо- гут быть расположены в программно-доступ- ных регистрах, непосредственно в коде коман- ды, в памяти и регистрах ввода/вывода. Непо- средственные данные могут быть типа байта или слова. Операнды в программно-доступных регистрах могут быть типа байта или слова, 240 а для команд умножения и деления — типа двойного слова. Операнды в памяти могут быть типа байта, слова, двойного слова, а в регистрах ввода/вы- вода — типа байта и слова. Для указания операнда в программно до- ступных регистрах используются регистровая и неявная регистровая адресации. При регист- ровой адресации номер 8- или 16-разрядного регистра, содержащего операнд, указывается в поле REG кода команды. При регистровой неявной адресации номер регистра определяет- ся неявно самим кодом команды. Для указания операнда в коде команды используется непосредственная адресация. Для указания операндов в памяти исполь- зуется прямая, косвенная регистровая, стеко- вая, строковая и табличная адресации. Адрес операнда в памяти состоит из двух 16-разряд- пых компонент: базы сегмента и смещения от- носительно базы сегмента, называемого испол- нительным адресом (£А). База сегмента хранится в сегментном реги- стре. Исполнительный адрес представляет со- бой расстояние в байтах от начала сегмента, содержащего операнд, до операнда и интер- претируется как порядковое число от 0 до 65535. Составными частями, используемыми при вычислении исполнительного адреса опе- ранда, являются: смещение в команде (DISP8/\f>), содержимое базового регистра ВХ или ВР, содержимое индексного регистра SI илн DI. Способ и время вычисления исполни- тельного адреса определяются полями MOD и R/М кода команды и приведены в табл 16 10. При прямой адресации исполнительным ад- ресом операнда является смещение в команде. При косвенной регистровой адресации в коде команды задается способ вычисления ис- полнительного адреса операнда. Имеются че- тыре типа косвенной регистровой адресации' через содержимое базового или индексного регистра ВХ, BP, SI, D1; через сумму содержимого базового или ин- дексного регистра BP'BXiSI/DI и смещение в команде; через сумму содержимого базового ВР'ВХ и индексного SIJDI регистров; через сумму содержимого базового ВР ВХ и индексного SDDI регистров и смещения в команде. При стековой адресации исполнительный адрес операнда находится в регистре указате- ля стека SP, причем после чтения операнда нз стека содержимое регистра указателя стека увеличивается на два, а перед записью операн- да в стек содержимое регистра указателя сте- ка уменьшается на два. При строковой адресации исполнительный адрес операнда находится в регистре индекса источника SI и (нли) регистре индекса прием- ника DI. После обращения к операнду содержимое указанного регистра увеличивается илн умень- шается в зависимости от значения признака направления DF. Данный вид адресации ис-
Таблица 16.7 КОД КОМАНДЫ КОМАНДА 1-й байт 2-й байт 3-й. 4-й, 5-й, 6-й байты в коде Н в коде В 00 00000000 MODREGR'M [D1SP8 16] ADD R8/M8, REG8 01 00000001 MODREGR'M [DISP8'16] ADD R16/M16, REG16 02 00000010 MODREGR'M [DISP8'16] ADD REG8, R8/M8 03 00000011 MODREGR'M [D1SP8 16] ADD REG16, R16/M16 04 00000100 DATA8 ADD AL, DATA8 05 00000101 DATA16 ADD AX. DATA16 06 00000110 PUSH ES 07 00000111 POP ES 08 00001000 MODREGR'M [DISP8T6] OR R8/M8, REG8 09 00001001 MODREGR'M [DISP8 16] OR R16/M16, REG16 0А 00001010 MODREGR'M [DISP8 16] OR REG8, R8/M8 ОВ 00001011 MODREGR'M [DISP8 16] OR REG16, R16/M16 ОС 00001100 DATA8 OR AL, DATA8 0D 00001101 DATA 16 OR AX, DATA 16 ОЕ 00001110 PUSH CS OF 00001111 He используется 10 00010000 MODREGR'M [DISP8-16] ADC R8/M8, REG8 11 00010001 MODREGR M [D1SP8 16] ADC R16/M16, REG16 12 00010010 MODREGR M [DISP8 16] ADC REG8, R8/M8 13 00010011 MODREGR'M [D1SP8 16] ADC REG16, R16/M16 14 00010100 DATA8 ADC AL, DATA8 15 00010101 DATA 16 ADC AX, DATA16 16 00010110 PUSH SS 17 00010111 POP SS 18 00011000 MODREGR/M |DISP8 16] SBB R8/M8, REG8 19 00011001 MODREGR/M [D1SP8 16] SBB R16/M16, REG16 1А 00011010 MODREGR/M [DISP8T6] SBB REG8, R8/M8 1В 00011011 MODREGR/M [DISP8 T6] SBB REG16, R16/M16 IC 00011100 DATA8 SBB AL, DATA8 ID 00011101 DATA 16 SBB AX, DATA16 IE 00011110 PUSH DS IF 00011111 POP DS 20 00100000 MODREGR/M [DISP8 16] AND R8/M8, REG8 21 00100001 MODREGR/M [DISP8 16] AND R16/M16, REGI6 22 00100010 MODREGR/M [DISP8 16] AND REG8, R8/M8 23 00100011 MODREGR/M [DISP8 16] AND REG16, R16/M16 24 00100100 DATA8 AND AL, DATA8 25 00100101 DATA16 AND AX, DATA16 26 00100110 ES: префикс замены сегмента 27 00100111 DAA 28 00101000 MODREGR/M (D1SP8 16] SUB R8/M8, REG8 29 00101001 MODREGR/M IDISP8 16] SUB R16/M16, REG16 2A 00101010 MODREGR/M [DISP8 16] SUB REG8, R8/M8 2B 00101011 MODREGR/M [D1SP8 16] SUB REG16, R16/M16 2C 00101100 DATA8 SUB AL, DATA8 2D 00101101 DATA!6 SUB AX. DATA16 2E 00101110 CS: префикс замены сегмента 2F 00101111 DAS 30 00110000 MODREGR/M [D1SP8 16] XOR R8/M8, REG8 31 00110001 MODREGR/M [DISP8 16] XOR R 16/M 16, REG 16 32 00110010 MODREGR/M [DISP8 16] XOR REG8, R8/M8 33 00110011 MODREGR/M [DISP8 16] XOR REG 16, R 16/M 16 34 00110100 DATA8 XOR AL, DATA8 35 00110101 DATA 16 XOR AX, DATA 16 36 00110110 SS: префикс замены сегмента 37 00110111 AAA 38 00111000 MODREGR/M [D1SP8 16] CMP R8/M8, REG8 39 00111001 MODREGR/M [D1SP8 16] CMP R16/M16, REG16 241
Продолжение табл. 16.7 код КОМАНДЫ 1-й байт 2-й байт 3-Й, 4-й. 5-й, 6-Й байты КОМАНДА в коде Н в коде В ЗА 00111010 MODREGR/M [DISP8 161 CMP REG8, R8/M8 ЗВ 00111011 MODREGR/M [DISP8/16] CMP REG 16, R 16/M 16 ЗС 00111100 DATA8 CMP AL, DATA8 3D 00111101 DATA 16 CMP AX, DATA 16 ЗЕ 00111110 DS: префикс замены сегмента 3F 00111111 AAS 40 01000000 INC AX 41 01000001 INC CX 42 01000010 INC DX 43 01000011 INC BX 44 01000100 INC SP 45 01000101 INC BP 46 01000110 INC SI 47 01000111 INC DI 48 01001000 DEC AX 49 01001001 DEC CX 4А 01001010 DEC DX 4В 01001011 DEC BX 4С 01001100 DEC SP 4D 01001101 DEC BP 4Е 01001110 DEC SI 4F 01001111 DEC DI 50 01010000 PUSH AX 51 01010001 PUSH CX 52 01010010 PUSH DX 53 01010011 PUSH BX 54 01010100 PUSH SP 55 01010101 PUSH BP 56 01010110 PUSH SI 57 01010111 PUSH DI 58 01011000 POP AX 59 01011001 POP CX 5А 01011010 POP DX 5В 01011011 POP BX 5С 01011100 POP SP 5D 01011101 POP BP 5Е 01011110 POP SI 5F 01011111 POP DI 60 —6F He используются 70 01110000 IP INC8 JO SHORT TAG 71 01110001 IP INC8 JNO SHORT TAG 72 01110010 IP INC8 JB SHORT TAG, (JNAE/JC) 73 01110011 IP INC8 JNB SHORT TAG (JAE/JNC) 74 01110100 IP INC8 JE SHORT TAG (JZ) 75 01110101 IP INC8 JNE SHORT TAG (JNZ) 76 01110110 IP INC8 JBE SHORT TAG (JNA) 77 01110111 IP INC8 JNBE SHORT TAG (JA) 78 01111000 IP INC8 JS SHORT TAG 79 01111001 IP INC8 JNS SHORT TAG 7А 01111010 IP INC8 JP SHORT TAG (IPE) 242
Продолжение табл. 16.7 код КОМАНДЫ 1-й коде И байт в коде В 2-й байт З-й, 4-й, 5-й, 6-й байты КОМАНДА 7В 7С 7D 7Е 7F 80 81 82 83 84 85 86 87 88 89 8А 8В 8С 8С 8D 8Е 8Е 8F 8F 01111011 01111100 01111101 01111110 01111111 10000000 10000001 10000010 10000011 10000100 10000101 10000110 10000111 юооюоо 10001001 10001010 10001011 10001100 10001100 10001101 10001110 10001110 10001111 10001111 IP INC8 IP INC8 IP INC8 IP INC8 IP INC8 MODOOOR/M MOD001R/M MOD010R/M MODOHR/M MOD100R/M MOD101R/M MODHOR/M MOD111R./M MODOOOR/M MOD001R/M MOD010R/M MODOHR/M MOD100R/M MOD101R/M MODHOR/M MODI HR M MODOOOR/M MOD001R/M MOD010R/M MODOHR/M MOD100R/M MOD101R/M MODHOR/M MOD1UR/M MODOOOR/M MOD001R/M MOD010R/M MODOHR/M MOD100R/M MOD101R/M MODHOR/M MOD111R/M MODREGR/M MODREGR/M MODREGR/M MODREGR/M MODREGR/M MODREGR/M MODREGR/M MODREGR/M MODOSRR/M MOD100— HIR'M MODREGR/M MODOSRR/M MOD100— HIR'M MODOOOR/M MO DOO 1 — 111R/M DISP8/16] DATA8 DISP8/16] DATA8 DISP816] DATA8 DISP8/16 DATA8 DISP8/16 DATA8 DISP816 DATA8 DISP8/16 DATA8 DISP816 DATA8 DISP8/16 DATA 16 DISP8/16 DATA16 'DISP8/16 DATA16 [DISP8/16 DATA16 [DISP8/16 DATA16 [DISP8/16] DATA16 [DISP8/16] DATA16 [DISP8/16 DATA16 [D1SP8 16] DATA8 [DISP8'16] DATA8 [DISP8 16] DATA8 [DISP8 16] DATA8 [DISP816] DATA8 [DISP8/16] DATA S [DISP8/16] DATA S [DISP8/16] DATA S [DISP8/16] DATA S [DISP8/16] DATA S DISP8/16 DISP8/16 DISP8/16 [D1SP8/16 DISP8/16 DISP816 Dispene DISP8/16 DISP816 [DISP8 16] [DISP8.16] [D1SP8T6] JNP SHORT TAG (JPO) JL SHORT TAG (JNGE) JNL SHORT TAG (JGE) JLE SHORT TAG (JNG) JNLE SHORT TAG (JG) ADD R8/M8, DATA8 OR R8/M8, DATA8 ADC R8/M8, DATA8 SBB R8/M8, DATA8 AND R8/M8, DATA8 SUB R8/M8, DATA8 XOR R8/M8, DATA8 CMP R8/M8, DATA8 ADD R 16/M 16, DATA16 OR R16/M16, DATA16 ADC R16/M16, DATA16 SBB RI6/M16, DATA16 AND R 16/M 16, DATA 16 SUB R16/M16, DATA16 XOR R 16/M 16, DATA 16 CMP R 16/M 16, DATA 16 ADD R8/M8, DATA8 He используется ADC R8/M8, DATA8 SBB R8/M8, DATA8 He используется SUB R8/M8, DATA8 He используется CMP R8M8, DATA8 ADD R16/M16, DATA S He используется ADC R 16/M 16, DATA S SBB R16/M16, DATA S He используется SUB R 16/M 16, DATA S He используется CMP R 16/M 16, DATA S TEST R8/M8, REG8 TEST R16/M16, REG16 XCHG REG8, R8/M8 XCHG REG16, R16/M16 MOV R8/M8, REG8 MOV R16/M16, REG16 MOV REG8, R8/M8 MOV REG16, R16/M16 MOV R 16/M 16. SR He используются LEA REG16, MEM MOV SR, R16/M16 He используются POP R 16/MI 6 He используются 243
Продолжение табл. 16.7 КОД КОМАНДЫ КОМАНДА 1-Й байт 2-й байт 3-и, 4-й, 5-й, 6-й байты в коде Н в коде В 90 10010000 NOP (XCHG AX, AX) 91 10010001 XCHG AX, CX 92 10010010 XCHG AX, DX 93 10010011 XCHG AX, BX 94 10010100 XCHG AX, SP 95 10010101 XCHG AX, BP 96 10010110 XCHG AX, SI 97 10010111 XCHG AX, DI 98 10011000 CBW 99 10011001 CWD 9А 10011010 IP NEW CS—NEW CALL FAR PROC 9В 10011011 WAIT 9С 10011100 PUSHF 9D 10011101 POPE 9Е 10011110 SAHF 9F 10011111 LAHF АО 10100000 ADDR16 MOV AL, M8 А1 10100001 ADDR16 MOV AX, Ml6 А 2 10100010 ADDR16 MOV M8, AL АЗ 10100011 ADDR16 MOV M16, AL А4 10100100 MOVS D STR8, S STR8 (MOVB) А5 10100101 MOVS D STR 16, S STR 16 (MOVW) А6 10100110 CMPS D STR8, S STR8 (CMPSB) А7 10100111 CMPS, D STR16, S STR16 (CMPSW) А8 10101000 DATA8 TEST AL, DATA8 А 9 10101001 DATA 16 TEST AX, DATA16 А А 10101010 STOS D STR8 (STOSB) АВ 10101011 STOS D STR 16 (STOSW) АС 10101100 LODS S STR8 (LODSB) AD 10101101 LODS S STR16 (LODSW) АЕ 10101110 SCAS D STR8 (SCASB) AF 10101111 SCAS D STRI6 (SCASW) ВО 10110000 DATA8 MOV AL, DATA8 В1 10110001 DATA8 MOV CL, DATA8 В2 10110010 DATA8 MOV DL, DATA8 ВЗ 10111011 DATA8 MOV BL, DATA8 В4 10110100 DATA8 MOV AH, DATA8 В5 10110101 DATA8 MOV CH, DATA8 В6 10110110 DATA8 MOV DH, DATA8 В7 10110111 DATA8 MOV BH, DATA8 В8 10111000 DATA 16 MOV AX, DATA 16 В9 10111001 DATA 16 MOV CX, DATA16 ВА 10111010 DATA 16 MOV DX, DATA16 вв 10111011 DATA 16 MOV BX, DATA16 ВС 10111100 DATA! 6 MOV SP, DATA16 BD 10111101 DATA 16 MOV BP, DATA16 BE 10111110 DATA 16 MOV SI, DATA16 BF 10111111 DATA 16 MOV DI, DATA16 244
Продолжение табл 16 7 КОД КОМАНДЫ КОМАНДА I Й байт 2 й байт 3-й, 4-й, 5 й Ой байты в коде Н в коде В со He используется С1 He используется С2 11000010 POP VAL RET POP VAL (NEAR) сз 11000011 RET (NEAR) С4 11000100 MODREGR М [DISP8 16] LES REG16, M32 С5 ЦП! >0101 MODREGR М [DISP8 16] LDS REG 16, M32 С6 1 "О01 10 MOD000R/M [D1SP8 16] DATA8 MOV M8, DATA8 С6 11000110 MOD001 — 111R/M He используются С7 11000111 MOD000R/M [DISP8/16] DATA16 MOV Ml6, DATA 16 С7 11000111 MODOOl-ll 1R/M He используются С8 He используется С9 He используется СА 11001010 POP VAL RET POP VAL (FAR) СВ 11001011 RET (FAR) СС 11001100 INT N PR0C3 CD 11001101 N PROC INT N PROC СЕ 11001110 INTO CF 11001111 1RET DO 11010000 MOD000R M [DISP8 16] ROL R8/M8, VAL1 MOD001R/M [DISP8 16] ROR R8/M8, VAL1 MOD010R/M [DISP8 16] RCL R8/M8, VAL1 MOD011R M [DISP8T6] RCR R8/M8, VAL1 MOD100R/M [DISP8 16] SAL R8/M8, VAL1 (SHL) MODIOIR/'M [DISP8 16] SHR R8/M8, VAL1 MOD110R M He используется MOD111R/M [DISP8 16] SAR R8/M8, VAL1 DI 11010001 MOD000R/M [DISP8 16] ROL R 16/M 16, VAL1 MOD001R/M [D1SP8 16] ROR R 16/M 16, VAL1 MODOIOR'M [DISP816] RCL R16/M16, VAL1 MOD01 1R M [DISP8 16] RCR R 16/M 16, VAL1 MOD100R/M [DISP8 16] SAL R 16/M 16, VAL1 (SHL) MOD101R/M [DISP8 16] SHR R16/M16, VAL1 MODHOR M He используется 11010010 MOD111R/M [DISP8 16] SAR R16/M16, VAL1 D2 MOD000R/M [DISP8 16] ROL R8/M8, CL MOD001R/M [DISP8 16] ROR R8/M8, CL MOD010R/M [DISP8 16] RCL R8/M8, CL MOD01 IR'M [DISP8 16] RCR R8/M8, CL MOD100R/M [DISP8 16] SAL R8/M8, CL (SHL) SHR R8/M8, CL MOD101R/M [DISP8 16] MODI 1 OR'M He используется D3 MOD111R/M [DISP8 16] SAR R8/M8, CL 11010011 MOD000R M [DISP8 16] ROL R16/M16, CL MOD001R/M [DISP8 16] ROR R16/M16, CL MODOIOR'M [DISP8 16] RCL R16/M16, CL MODOHR/M [DISP8 16] RCR R 16/M 16, CL MOD100R/M [DISP8 16] SAL R16/M16, CL (SHL) MOD101R/M [D1SP8 16] SHR R16/M16, CL MODI 1 OR'M He используется D4 11010100 MODI 11R/M [DISP8T6] SAR R 16/M 16, CL 00001010 AAM D5 11010101 00001010 AAD D6 11010110 He используется 245
Продолжение табл. 16 7 КОД КОМАНДЫ 1-й байт в коде И в коде В 2 й байт 3-й, 4-й. Э-Й, 6-й байты КОМАНДА D7 D8 DF ЕО Е1 Е2 ЕЗ Е4 Е5 Е6 Е7 Е8 Е9 ЕА ЕВ ЕС ED ЕЕ EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE 11010111 11011000 НОНххх 1101Ц11 11100000 11100001 11100010 11100011 11100100 11100101 11100110 11100111 11101000 11101001 11101010 11101011 11101100 11101101 11101П0 ИЮНИ 11110000 11110001 11110010 11110011 11110100 11110101 11110110 11110111 11111000 11111001 11111010 11111011 11111100 11111101 11111110 MOD000R/M MODYYYR'M MOD111R/M IP INC8 IP. 1NC8 IP. INC8 IP INC8 PORT PORT PORT PORT IP INC16 IP INC16 IP NEW IP INC8 MOD000R/M MODOOIR'M MOD010R/M MOD011R/M MOD100R M MOD101R/M MODHOR/M MODIHR'M MOD000R/M MOD001R M MOD010R/M MOD011R/M MOD100R/M MOD101R/M MODllOR/M MODIHR'M MOD000R/M MODOOIR/M MOD010— IHR'M CS [DISP8 16 —NEW DISP8M6 D1SP8 16 DISP8 16 DISP8 16 DISP8 16 DISP8 16 DISP8 16 DISP8/16 DISP8 16 DISP8 16 DISP8 16 DISP8 16 DISP8 16 DISP8 16 D1SP8 16] DISP8 16 DATA8 DATA16 XLAT SRC TABLE (XLATB) ESC OPCODE, R/MEM LOOPNE SHORT TAG (LOOPNZ) I.OOPE SHORT TAG (LOOPZ) LOOP SHORT TAG JCXZ SHORT TAG IN AL, PORT IN AX, PORT OUT AL, PORT OUT AX, PORT CALL NEAR PROC JMP NEAR TAG JMP FAR TAG JMP SHORT TAG IN AL, DX IN AX, DX OUT AL, DX OUT AX, DX LOCK (префикс) He используется REPNE (префикс) (REPNZ) REP (префикс) (REPE/REPZ) HLT CMC TEST R8/M8, DATA8 He используется NOT R8/M8 NEG R8/M8 MUL R8/M8 IMUL R8/M8, DIV R8/M8 IDIV R8/M8 TEST R16/M16, DATA16 He используется NOT R16/M16 NEG R16/M16 MUL R16/M16 IMUL R16/M16 DIV R16/M16 IDIV R16/M16 CLC STC CLI STI CLD STD INC R8/M8 DEC R8'M8 He используются 246
Окончание табл. 16.7 КОД КОМАНДЫ КОМАНДА 1-й байт 2-й байт 3-й, 4-й, 5-й, 6-й байты в коде Н в коде В FF 11111111 MOD000R/M MODOOIR'M MOD010R/M MODOUR/M MODIOOR'M MOD101R/M MODHOR/M MOD111R/M [DISP8 16 [D1SP8 16 [D1SP8/16 [DISP8 16 [D1SP8 16 [DISP816 [D1SP8/16 INC M16 DEC M16 CALL R16/M16 (NEAR) CALL M32 (FAR) JMP R16/M16 (NEAR) .IMP M32 (FAR) PUSH M16 He используется Перечень сокращений к табл. 16.7 1. Машинные команды: АЛА — коррекция результата сложения распакованных двоичио-десятичных чисел; AAD — преобразование двоично-десятично- го числа в двоичное; ААМ — преобразование двоичного числа в двончно-десятичное; AAS — коррекция результата вычитания распакованных двоично-десятичных чисел; ADC — сложение с переносом; ADD — сложение; AND — логическая операция И; CALL — вызов процедуры; CBW — преобразование байта в слово; CLC—установка признака переноса в 0; CLD — установка признака направления в 0; CLI — установка признака разрешения пре- рывания в 0; СМС — инвертирование признака переноса; СМР — сравнение двух операндов; CMPS — сравнение элементов строк; CMPSB — сравнение байтов строк; CMPSW - сравнение слов строк; CWD — преобразование слова в двойное слово; DAA коррекция результата сложения упакованных двоично-десятичных чисел; DAS — коррекция результата вычитания упакованных двоично-десятичных чисел; DEC — декремент на 1; D1V — деление порядковых чисел; ESC — расширение; HLT — останов; 1D1V — деление целых чисел; 1MUL — умножение целых чисел; 1N — ввод байта или слова; 1NC — инкремент на 1; INT — прерывание; INTO--прерывание по переполнению; IRET — возврат из прерывания; JA — переход, если больше, для порядко- вых чисел; JAE — переход, если больше или равно, для порядковых чисел; JB — переход, если меньше, для порядко- вых чисел; JBE — переход, если меньше илн равно, для порядковых чисел; JC — переход, если признак переноса ра- вен 1; JCXZ — переход, если содержимое регистра СХ равно 0; JE — переход, если равно; JG — переход, если больше, для целых чи- сел; JGE — переход, если больше или равно, для целых чисел; JL — переход, если меньше, для целых чи- сел; JLE — переход, если меньше или равно, для целых чисел; JMP — безусловный переход; JNA — переход, если не больше, для поряд- ковых чисел; JNAE — переход, если не больше и не рав- но, для порядковых чисел; JNB — переход, если не меньше, для поряд- ковых чисел; JNBE — переход, если не меньше и не рав- но, для порядковых чисел; JNC—переход, если признак переноса ра- вен 0; JNE — переход, если не равно; JNG — переход, если не больше, для целых чисел; JNGE — переход, если не больше или не равно, для целых чисел; > JNL—переход, если не меньше, для целых чисел; JNLE — переход, если не меньше или не равно, для целых чисел; JNO — переход, если признак переполнения равен 0; JNP — переход, если признак четности ра- вен 0; 247'
JNS— переход, если признак знака равен 0; JNZ — переход, если признак нуля равен 0; .10 - переход, если признак переполнения равен 1; JP — переход, если признак четности ра- вен 1, JPE — переход, если четно; JPO — переход, если не четно; JS—переход, если признак знака равен 1; JZ — переход, если признак 0 равен 1; LAHF — загрузка признаков в регистр АН; LDS — загрузка логического адреса в ре- гистр DS и регистр общего назначения; LEA — загрузка исполнительного адреса; LES — загрузка логического адреса в ре- гистр ES и регистр общего назначения; LODS — загрузка элемента строки в акку- мулятор; LODSB — загрузка байта строки в регистр AL; LODSW — загрузка слова строки в регистр АХ; LOOP — итеративный цикл; LOOPE — цикл, пока равно; LOOPNE—цикл, пока не равно; LOOPNZ — цикл, пока не 0; LOOPZ — цикл, пока 0; MOV — пересылка; MOVS — пересылка элемента строки; MOVSB — пересылка байта строки; M0VSW — пересылка слова строки; MUL — умножение порядковых чисел; NEG — формирование дополнительного ко- да; NIL — нет операции; NOP — холостая операция; NOT — логическая операцн; НЕ; OR — логическая операция ИЛИ; OUT—вывод байта нли слова; POP — чтение слова из стека; POPF -- чтение из стека и запись в регистр признаков; PUSH — запись слова в стек; PUSHF--запись содержимого регистра признаков в стек; RCL — сдвиг влево циклический через пере- нос; RCR—сдвиг вправо циклический через пе- ренос; RET — возврат из процедуры; ROL — сдвиг влево циклический; ROR — сдвиг вправо циклический; SAHF — запись содержимого регистра АН в регистр признаков; SAL — сдвиг влево арифметический; SAR — сдвиг вправо арифметический; SBB — вычитание с заемом; SCAS — сравнение элемента строки с со- держимым аккумулятора; SCASB — сравнение байта строки с содер- жимым регистра AL; SCASW — сравнение слова строки с содер- жимым регистра АХ; 248 SHL —сдвиг влево логический; SHR — сдвиг вправо логический; STC—установка признака переноса в 1; STD — установка признака направления в STI — установка признака разрешения пре- рывания в 1; STOS — запись элемента строки в память; STOSB — запись байта строки в память; STOSW — запись слова строки в память; SUB — вычитание; TEST — логическая операция И без измене- ния содержимого приемника; WAIT — ожидание сигнала TEST; XCHG — обмен; XLAT — перекодирование; XLATB — перекодирование байта; XOR — логическая операция исключающее ИЛИ. 2. Префиксы команд: LOCK — префикс блокировки; REP — префикс повторения строковой опе- рации; REPE — префикс повторения строковой операции, пока равно; REPNE — префикс повторения строковой операции, пока не равно; REPNZ — префикс повторения строковой операции, пока не О, REPZ — префикс повторения строковой операции, пока 0; SR — префикс замены сегмента. 3. Регистры и признаки: AF — признак вспомогательного переноса; АН — старшие восемь разрядов аккумуля- тора; AL — младшие восемь разрядов аккумуля- тора; АХ — регистр аккумулятора; ВН — старшие восемь разрядов регистра базы данных; BL — младшие восемь разрядов регистра базы данных; ВР — регистр указателя базы стека; ВХ—регистр базы данных; CF — признак переноса; СН — старшие восемь разрядов регистра счетчика циклов; CL — младшие восемь разрядов регистра счетчика циклов; CS — регистр сегмента команд; СХ — регистр счетчика циклов; DF — признак направления; DH — старшие восемь разрядов регистра данных; DI — регистр индекса приемника; DL — младшие восемь разрядов регистра данных;
DS - регистр сегмента данных; DX — регистр данных; ES — регистр дополнительного сегмента; F — регистр признаков; 1F — признак разрешения прерывания; 1Р — регистр адреса (указателя) команд; OF — признак переполнения; PF — признак четности; SF — признак знака; S1 — регистр индекса источника; SP — регистр указателя стека; SS — регистр сегмента стека; TF — признак пошагового режима; ZF — признак 0. 4. Поля кодов команд: CS___NEW — поле нового значения регист- ра CS; DATA8 16—поле непосредственных дан- ных; DATA___S — поле непосредственных знако- во-расширяемых до 16 бит данных; DISP8/16—поле смещения операнда в па- мяти; 1Р___1NC8/16— поле позиционно-независи- мого смещения, которое прибавляется к содер- жимому регистра IP; IP____NEW — поле нового значения регистра IP, MOD поле, определяющее местонахожде- ние и способ адресации операнда; N____PROC — поле номера процедуры об- служивания прерывания; XXX____YYY — поле кода операции для внешнего процессора; POP____VAL — поле величины приращения содержимого регистра SP по команде RET; PORT — поле постоянного адреса регистра ввода/вывода, R M—поле адреса регистра общего назна- чения или способа вычисления исполнительно- го адреса операнда, REG — поле адреса регистра общего назна чения, SR - поле адреса сегментного регистра; W—поле, определяющее тип операнда 5. Обозначение описаний операндов команд: А обозначение аккумулятора AL или АХ, С — обозначение ссылки на команды; D — обозначение числового выражения, Е -- обозначение ссылки на элементарные или индексированные данные или обозначение регистра общего назначения; М — обозначение ссылки на элементарные или индексированные данные, R - обозначение регистра общего назначе- ния, X—обозначение ссылки на элементарные данные. 6. Описание операндов команд: АСС —• обозначение аккумулятора AL или АХ; COUNT — счетчик сдвигов; DATA — числовое выражение, определяю- щее 8- или 16-бнтовые непосредственные дан- ные; DATA____S — числовое выражение опреде- ляющее 8-битовые знаково-расширяемые до 16 бнт непосредственные данные; DEST — операнд-приемник; D____STR — ссылка на строку приемник; FAR_____PROC — ссылка на процедуру типа FAR; FAR_____TAG — ссылка на точку назначения типа FAR; М — ссылка на элементарные нли индекси- рованные данные в памяти; N____PROC — числовое выражение, опре- деляющее номер процедуры обслуживания прерывания; NEAR____PROC — позиционно-независимая ссылка на процедуру типа NEAR; NEAR____TAG — позиционно-независимая ссылка на точку назначения типа NEAR; OPCODE — числовое выражение, опреде- ляющее код операции для внешнего процес- сора, POP_____VAL — числовое выражение, опре- деляющее значение приращения содержимого регистра SP по команде RET; PORT — числовое выражение, определяю- щее постоянный адрес регистра ввода/вывода; PORT____ADDR — адрес регистра вво- да/вывода; PROC — процедура, R, REG — обозначения регистра общего назначения SHORT_____TAG — позиционно-независимая ссылка на точку назначения типа NEAR с рас- стоянием от —128 до +127; SIMP____М — ссылка на элементарные дан- ные, SR — обозначение сегментного регистра, SRC — операнд-источник; S___STR —- ссылка на строку-источник; SRC TABLE — ссылка на таблицу-источ- ник, VAL1 — числовое выражение, значение ко- торого равно 1. 7. Обозначение состояний признаков микропроцессора: R — признак устанавливается по содержи- мому стека; U — значение признака не определено; X — признак устанавливается по результату выполнения операции; 0—признак устанавливается в 0; 1 —признак устанавливается в 1; — значение признака не изменяется. 249
Таблица 16.8 Обозначение команды Состояние признаков микропроцессора OF DF IF TF SF ZF AF PF CF 1 2 3 4 5 6 7 8 9 I 0 Команды микропроцессора AAA u — — — и и X и X AAD и — — — X X и X и AAM и — — — X X и X и AAS и — — -— и и X и X ADC DEST, SRC X — — — X X X X X ADD DEST, SRC X — — — X X X X X AND DEST, SRC о — — — X X и X о CALL PROC — — — — — — — — —. CBW — — — — — — — — — CLC — — — — — — — — о CLD — о — CLI — — о — — — — — — CMC ——- — — — — — — — X CMP DEST, SRC X — — •— X X X X X CMPS D STR, S STR X — — — X X X X X CMPSB X — — — X X X X X CMPSW X — — — X X X X X CWD — — — — — — — — DAA и — — -— X X X X X и — — — X X X X X DEC DEST X — — я X X X X — DIV SRC и — — — и и и и и ESC OPCODE, SRC — — — — — — — — — HLT IDIV SRC и — — — и и и и и IMUL SRC X — — — и и и и X IN ACC, PORT ADDR — — — —. — — — — — INC DEST X — — — X X X X — INT N PROC — — о О — — — —- — INTO — — о О — — — — — IRET R R R R R R R R R JA SHOR Г TAG JAE SHORT TAG — — — — — — — — — JB SHORT TAG — — — — — — — — — JBE SHORT TAG — — -- — — — — — — JC SHORT TAG — — —— -— — — — — — JCXZ SHORT TAG — — — .— — — — — — JE SHORT TAG •— — JG SHORT TAG JGE SHORT TAG JL SHORT TAG JLE SHORT TAG •— — — — — — — — —- JMP TAG JNA SHORT TAG JNAE SHORT TAG — — — — — — — — — JNB SHORT TAG .— — — — — — — — — JNBE SHORT TAG Z — JNC SHORT TAG — — — — — — — — — JNE SHORT TAG — — — — — — — — — JNG SHORT TAG —. — — — — — — — — JNGE SHORT TAG — JNL SHORT TAG JNLE SHORT TAG — — — — — — — — — JNO SHORT TAG — JNP SHORT TAG — JNS SHORT TAG — —. — — — — — — — JNZ SHORT TAG — — — — — — — — —. JO SHORT TAG — — — — — — — — — 250
Продолжение табл. 16.8 Состояние признаков микропроцессора Обозначение команды OF DF IF TF SF ZF AF PF CF 1 2 3 4 5 6 7 8 9 10 JP SHORT TAG — — — — — — — — — JPE SHORT TAG — — — — — — — — — JPO SHORT TAG — —- — — — —- — — —— JS SHORT TAG JZ LAHF SHORT TAG — — — — — — — — — LDS DEST, SRC LEA DEST, SRC LES DEST, SRC — — — — — — — — — LODS S STR LODSB — — — — — — — — — LODSW — — — — — — — — — LOOP SHORT TAG — LOOPE SHORT TAG — — — — — — — —- — LOOPNE SHORT TAG — — — — — — — — —. LOOPNZ SHORT TAG LOOPZ SHORT TAG — — — — — — — — — MOV DEST, SRC — — — — — — — — — MOVS MOVSB D STR, S STR MOVSW — — — — — — — — — MUL SRC X — — — и и и и X NEG DEST X — — —. X X X X X NOP NOT DEST — — — — — — —. OR DEST, SRC 0 — — — X X и X О OUT PORT, ADDR, ACC — — — — — — — — POP DEST — POPF R R R R R R R R R PUSH SRC — — — — PUSHF RCL DEST, COUNT X .. - X RCR DEST, COUNT X — — — — X RET [POP VAL] — — — — — — — .— X ROL DEST, COUNT X — — — — — X ROR DEST, COUNT X — — — R SAHF — — — — R R R R X SAL DEST, COUNT X —— — X X U X X SAR DEST, COUNT X — — X X U X X SBB DEST, SRC X — X X X X X SCAS D STR X — X X X X X SCASB X — X X X X X SCASW X X X X X X SHL DEST, COUNT X — X X и X X SHR STC STD STI STOS DEST, COUNT X — — — X X и X X — 1 — — — 1 D STR 1 — — STOSB STOSW SUB DEST, SRC X X X X X X TEST WAIT 0 — — — X X и X 0 XCHG XLAT XLATB XOR DEST, SRC TABLE SRC — — — — — - — — — DEST, SRC 0 — — — X X и X 0 251
Окончание табл. 16.8 Состояние признаков микропроцессора Обозначение команды OF DF IF TF SF ZF AF PF CF 1 2 3 4 5 6 7 8 9 1 0 LOCK REP REPE REPNE REPNZ REPZ SR Прерывания INT NMI Ошибка деления Пошаговые о о о о О О О о Таблица 16.9 Обозначение команды Кол команды Машинные команды AAA 00110111 AAD 11010101 00001010 AAM 00111111 11010100 00001010 AAS M, REG 0001000W MODREGR/M DISP8/16 ADC ACC, DATA 0001010W DATA8/16 R/M, DATA 1000000W MOD010R/M [DISP8/16] DATA/16 R 16/M 16, DATA S 10000011 MOD010R/M [DISP8/16] DATA S ADD REG, R/M 0000001W MODREGR/M [DISP8/16] M, REG OOOOOOOW MODREGR/M [DISP8 16] ACC, DATA 0000010W DATA8/16 R/M, DATA 1000000W MOD000R/M [DISP8/16] DATA/16 R 16/M 16, DATA S 10000011 MOD000R/M [DISP8/16] DATA S AND REG, R/M 0010001W MODREG/M [DISP8/16] M, REG 0010000W MODREGR M [DISP8 16] ACC, DATA 001001 OR DATA8/16 R/M, DATA 1000000W MOD100R/M [D1SP8/16] DATA/16 CALL NEAR PROC 11101000 IP INCI6 R16/M16 (NEAR) 11111111 MOD010R/M [DISP8/16] PAR PROC 10011001 IP NEW CS NEW M32 (FAR) 1111111 MOD011R M [D1SP8, 16] CBW 10011000 CLC 11111000 CLD 11111110 CLI 11111010 CMC 11110101 CMP REG, R/M 0011101W MODREGR/M [DISP8/16] M, REG 0011I00W MODREGR/M [DISP8 16] ACC, DATA 001 11 low DATA8/ 16 R/M, DATA 1000000W MOD111R/M [DISP8/16] DATA/16 R/M, DATA S 10000011 MODI 11 R/M [DISP8 16] DATA S 252
Продолжение табл. 16 9 Обозначение команды Код команды CMPS D STR, S STR 1010011W CMPSB 10100110 CMPSW 10100111 CWD 10011001 DAA 00100111 DAS 00101111 DEC REG 16 01001REG R8/16 1111111W MOD001R/M [DISP8/16] DIV R/M 1111011W MODHOR/M [DISP8/16] ESC OPCODE, R/MEM 11011XXX MODYYYR/M [DISP8/16] HLT 11110100 IDIV R/M 1111011W MOD111R/M [DISP8/16] IML'L R/M 1111011W MOD101R/M [DISP8/16] IN ACC, PORT 11 10010W PORT ACC, DX 1110110W INC REG16 C'lOOOREG R8/16 1111 111W MOD000R/M [D1SP8/16] INT N PROC3 11001100 N PROC 11001101 N PROC INTO 11001110 IRET 11001111 .IA SHORT TAG 01110111 IP INC8 JAE SHORT TAG 01110011 IP INC8 JB SHORT TAG 01110010 IP INC8 JBE SHORT TAG 01110110 IP INC8 JC SHORT TAG 01110010 IP INC8 JCXZ SHORT FAG 11100011 IP INC8 IE SHORT TAG 01110100 IP INC8 ,JG SHORT TAG 01111111 IP INC8 IGE SHORT TAG 01111101 IP INC8 .IL SHORT TAG 01111100 IP INC8 JLE SHORT TAG 01111100 IP INC8 .IMP SHORT TAG 11101011 IP INC8 NEAR TAG 1 1101001 IP 1NC16 R16/M16 (NEAR) 11111111 MOD100R/M [DISP8/16] FAR TAG 11101010 IP NEW CS NEW JNA M32 (FAR) 11111111 MOD101R/M [DISP8/16] SHORT TAG 01110110 IP INC8 JNAE SHORT TAG 01110010 IP INC8 .IN В SHORT TAG 01110011 IP INC8 JNBE SHORT TAG 01110111 IP INC8 .INC SHORT TAG 01110011 IP INC8 JNE SHORT TAG 01110101 IP INC8 .ING SHORT TAG 01111110 IP INC8 JNGE SHORT TAG 01111100 IP INC8 .INL SHORT TAG 01111101 IP INC8 JNLE SHORT TAG 01111111 IP INC8 JNO SHORT TAG 01110001 IP INC8 INP SHORT TAG 01111011 IP INC8 .INS SHORT TAG 01111001 IP INC8 JNZ SHORT TAG 01110101 IP INC8 JO SHORT TAG 01110000 IP INC8 JP SHORT TAG 01111010 IP INC8 J PE SHORT TAG 01111010 IP INC8 .IPO SHORT TAG 01111011 IP INC8 IS SHORT TAG 01111000 IP INC8 .IZ SHORT TAG 01110100 IP INC8 LAHF 10011111 LDS REG16, M32 11000101 MODREGR/M D1SP8/16 LbA REG16, MEM 10001101 MODREGR/M DISP8/I6 LhS REG 16. M32 11000100 MODREGR/M DISP8/16 25.3
Продолжение табл. 16.9 Обозначение команды Код команды LODS S STR LODSB IODSW LOOP SHORT TAG LOOPE SHORT TAG LOOPNE SHORT TAG l.OOPNZ SHORT TAG LOOPZ SHORT TAG MOV SIMP M, ACC ACC, SIMP M SR, R16/M16 R16/M16, SR REG, R/M M, REG REG, DATA M, DATA MOVS D STR, S STR MOVSB MOVSW MUL R/M NEG R/M NOP (XCHG AX, AX) NOT R/M OR REG, R/M M, REG ACC, DATA RM, DATA OUT PORT, ACC DX, ACC POP REG 16 MI6 SR POPE PUSH REG16 M16 SR PUSHF RCL R/M, VAL1 R/M, CL RCR R/M, VAL1 R/M, CL RET (NEAR) POP VAL (NEAR) RET (FAR) POP_ VAL (FAR) ROL R/M, VAL1 R/M, CL ROR R/M, VAL1 R/M, CL SAFIF SAL R/M, VAL1 R/M, CL SAR R/M, VAL1 R/M, CL SBB REG, R/M M, REG ACC, DATA R/M, DATA R 16/M 16, DATA S SCAS D STR 1010110W 10101100 10101101 11100010 IP INC8 11100001 IP INC8 11100000 IP INC8 11100000 IP INC8 11100001 IP INC8 1010001W DISP16 1010000W DISP16 10001110 MODOSRR/M [DISP8/16J 10001100 MODOSRR/M [DISP8/16] 1000101W MODREGR/M [DISP8/16] 1000100W MODREGR/M DISP8/16 1011WREG DATA8/16 1100011W MOD000R/M DISP8/16 DATA8/16 1010010W 10100100 10100101 111 1011W MOD100R/M [DISP8/16] 1111011W MOD011R/M [DISP8/16] 10010000 111 1011W MOD010R/M [DISP8/16] 0000101W MODREGR/M [DISP8/16] 0000100W MODREGR/M DISP8/16 0000HOW DATA8/16 1000000W MOD001D/M [DISP8/16] DATA8/16 1110011W PORT 1110111W 01011REG 10001111 MOD000R/M DISP8/16 000SR111 10011101 01010REG 11111111 MOD110R/M DISP8/16 000SR110 10011100 1101000W MOD010R/M [DISP8/16] 1101001W MODOIOR/M DISP8/16] 1101000W MODOHR/M [DISP8/16J 1101001W MODOHR/M DISP8/I6J 11000011 11000010 POP VAL 11001011 11001010 POP VAL 1101000W MOD000R/M [D1SP8/16] 1101001W MOD000R/M [DISP8/16] I101000W MOD001R/M [DISP8/16] 1101001W MOD001R/M [DISP8/16] 10011110 1101000W MOD100R/M [D1SP8/16] 1101001W MODI00R/M [DISP8/16] 1I01000W MOD111R/M [DISP8/16] 1101001W MOD111R/M [DISP8/16] 0001101W MODREGR/M [DISP8/16] 0001100W MODREGR/M DISP8/16 0001 HOW DATA8/16 1000000W MODOHR/M [DISP8/1G] DATA8/16 10000011 MODOHD/M [DISP8/16] DATA S 1010111W 254
Окончание табл. 16.9 Обозначение команды Код команды SCASB 10101110 SCASW 10101111 SHL R/M, VAL1 II01000W MOD100R/M DISP8/16] R/M, CL 1101001W MOD100R/M DISP8/16] SHR R/M, VAL1 1101000W MOD101R/M DISP8/16] R/M, CL 1101001W MOD101R/M DISP8/16] STC 11111001 STD 11111101 STI union STOS D STR 111I101W STOSB 11111010 STOSW union SUB REG, R/M 0010101W MODREGR/M [DISP8/16] М, REG 0010100W MODREGR/M DISP8/16 ACC, DATA 0010110W DATA8/16 R/M, DATA 1000000W MOD101R/M [D1SP8/16] DATA8/16 R 16/M 16, DATA S 10000011 MOD101R/M [DISP8/16] DATA S TEST REG, R/M 1000010W MODREGR/M [DISP8/16] M, REG 1000010W MODREGR/M DISP8/16 ACC, DATA 1010100W DATA8/16 R/M, DATA 1111011W MODOOOR/M [DISP8/16] DATA8/16 WAIT 00001001 XCHG AX, REG16 10010REG REG 16, AX 10010REG REG, R/M 1000011W MODREGR/M [DISP8/16] M, REG 1000011W MODREGR/M DISP8/16 XLAT SRC TABLE 11010111 XLATB 11010111 XOR REG, R/M 0011001W MODREGR/M [DISP8/16] M, REG 0011000W MODREGR/M DISP8/16 ACC, DATA 0011010W DATA8/16 R/M, DATA 1000000W MODHOR/M [DISP8/16] DATA/16 Префиксы команд LOCK 11110000 REP 11110011 REPE 11110011 REPNE 11110010 REPNZ 11110010 REPZ 11110011 SR 001 SRI 10 Таблица 16.10 Содержимое поля R/M MOD - 00 Время вы- числения EA (в так- тах) ЛЮ/J-Ol Время вы- числения EA (в так- тах) UOD= 10 Время вы- числения EA (в так- тах) ООО (BX) + (S1) 7 (BX) + (SI) + DISP8 II (BX) + (SI) + DISP16 11 001 (BX) + (D1) 8 (BX) + (DI)+DISP8 12 (BX) + (DI)+DISP16 12 010 (BP) + (S/) 8 (BP) + (SI) + DISP8 12 (BP) + (SI) + D1SP16 12 on (BP) + (D1) 7 (BP) + (DI)+DISP8 11 (BP) + (DI) + DISP16 11 100 (SI) 5 (SI) + DISP8 9 (SI) + DISP16 9 101 (D/) 5 (D1) + D1SP8 9 (DI)+DISP16 9 110 DISP16 6 (BP) + DISP8 9 (BP) + DISPI6 9 111 (BX) 5 (BX) + D1SP8 9 (BX) + DISPI6 9 255
Таблица 1611 Продолжение табл 16 11 Обозначение команды Число тактов Число об ращений Число байтов Команды микропроцессора ААА 4 — 1 AAD 60 2 AAS 4 1 ААМ 83 — I ADC RFG R 3 — REG М 9 + EA 1 2-4 VI RbG lb + EA 2 2 4 ACC DATA 4 2 — 3 R DATA 4 -> 4 M DATA 1'4 EA j 3 b Rib DATA S 4 3 Mlb DATA S 17+EA 2 3 — 5 ADD REG R 3 — 2 REG M 9-^-EA I 2 4 M REG lb+EA p 2—4 ACC DATA 4 — 2 — 3 R DATA 4 — 3—4 M DATA 17 + EA 2 3- b Rib DATA S 4 — 3 M16 DATA S 17 + EA 2 3 5 AND REG R 3 -— 2 REG M 9 + EA 1 2 — 1 M REQ lb+EA 2 2-4 XCC DXTA 4 — 2 3 R DATA 4 — 3 — 4 M DATA I- + FA 0 3 — 6 CALL NEAR PROC 19 1 3 R16 (NEAR) 16 I 2 Mlb (NEAR) 21 + EA 2 2 -4 FAR PROC 28 2 5 432 (EAR) 3" + EA 4 2 — 4 CBW 2 — 1 CLC 2 I CLD 2 1 CLI 2 1 CMC 2 — 1 CMP REG R 3 — 9 RLG M 9 + EA 1 2-4 4 RFG 9 + LA 1 2 4 VCC DMA 4 2- 3 R DA I X 1 — 3—4 M DATA 10+F A 1 3 — 6 Rib DATA s 4 -— 3 Mlb DATA S 10+EA 1 3-5 CMPS D STR S 22 2 I STR (CMPSB/CMPSW) (в аппаратном цикле) 9 + 22* N 2*N I CWD 5 —— 1 DAA 4 — 1 DAS 4 — 1 DEC Rib 2 -— 1 R8 3 — 2 M 15 + EA 2 2-4 DIV R8 80-90 — 2 Rib 144 162 — 2 Mb (86—9b) + EA 1 2—4 Mlb (130 168)+E\ I 2- 4 ESC OPCODE R 2 — 2 OPCOD1 MEM 84 FA 2—4 HLT ) — 1 IDIV R8 101 112 / RI6 16a 184 2 M8 (107- 118) F E A 1 9—4 Mlb (1'1 190)+EA 1 2 — 4 IMUL R8 80-98 — 2 Rib 128 154 — 2 M8 (8b—IO4) + EA 1 2 4 M>6 (134 160)+ EA 1 2 — 4 IN ACC PORT 10 1 2 ACC DA 8 1 1 INC Rib 2 — 1 R8 3 — ) M 15+EA 2 2 4 INT N PROC3 52 Q 1 N PROC 51 5 9 IRET 24 3 1 Обозначение команды Число тактов Число об ращений о “ ч £ И Э-о INTO 53 4 5 1 JA SHORT TAG lb/4 — (JAE JB JBE JC) JCXZ SHORT TAG 18 6 — 2 JE SHORT TAG lb 4 2 (JG/IGF/JL/JLE) JMP SHORT TAG 15 — 2 NEAR TAG 15 3 R16 (NEAR) 11 2 Mlb (NEAR) 18+tA 1 2-4 FAR TAG 15 — 5 M32 (FAR) 24 +E X > 2 -4 JNA SHORT TAG lb 4 > (JNA/JNAE/JNB/JNBE/ JNC/JNF/JNG / JN GE / JN1 /JNLE/JNO/J NP/JNS/ JNZ/JO/JP JPE/JPO/1S) LAHF 4 1 LDS REGI6 M32 Jb4 EA 2 2 4 LEA REG16 MEM 2+EA — 2 4 LES REGIb M32 lb + EA 2 2—4 LODS S STR 12 1 1 LODSB 12 1 1 LODSW 12 1 1 LOOP SHORT TAG Г 5 LOOPE SHORT TA(i 18/b 2 LOOPNF SHORT TAG 19 5 — > LOOPNZ SHORT TAG 19 5 2 LOOPZ SHORT TAG 18/b 2 MOV SIMP M ACC 10 5 ACC SIMP M 10 1 $ SR Rib 2 — SR Mlb b + EX 1 2 4 R16 SR 2 2 Mlb SR 9+EA 1 2 1 REG R 2 — 9 REG M 8 + EX 1 2 -4 M REG 9+EA 1 ? — 4 REG DATA 4 — 2 -3 M DATA 10 + EA 1 3 ь MOVS D STR 18 2 1 S STR (MONSB MOVSW) (в аппаратном цнк 9+17* N 2 * N 1 ле) MUL R8 '0—" — 2 Rib 118 133 2 M8 (76-83)+ EA 1 2- 4 M16 (124 139J + EA 1 2 4 NEG R $ 2 M lb4 EA j 2 - 4 NOP (XCHG AX AX) 3 1 NOT R 3 — 2 M lb+EA о 2 -4 OR REG R 3 2 REG M 9 + EA 1 2 — 4 M REG lb+EA 2 2 — 4 ACC DATA 4 — 2 3 R DATA 4 3 4 M DATA Г + ЕА 9 3 - b OUT PORT ACC 10 I 2 DX ACC 8 1 1 POP REGIb 8 1 1 M16 Г + ГА 9 2 4 SR 8 1 1 РОРГ 8 1 1 PUSH RFGlb 11 1 1 M16 16 + EA 2 ’ 4 SR 10 1 1 PUSHF 10 1 1 RCL R VAL1 9 — 2 (RCR) M VXL1 И-7 EA 2 2 4 R CL 8 + 4* N — M CL 20 + LA-M* N О 2 4 RET (NEAR) 8 I 1 RET POP VAL 12 1 3 (NEAR) RET (TAR) 18 2 1 RET POP XXL r 2 3 (FAR) 256
Окончание табл. 16.11 Обозначение команды Число тактов Число об- ращений Число байтов ROL R, VALI (ROR) М. VAL1 2 15 + EA 2 2-4 R, Cl- М. CL 8 + 4* N 20+EA + 4* N 2 2 --4 SAHF SAL R, VAL1 (SAR) М, VAL1 4 2 15+EA 2 1 2 2— 4 R. CL М, CL 8 + 4* N 20+EA + 4* N 2 2 2-4 SBB REG. R REG, М 3 9 + EA 1 2 2-4 М. REG 16 + EA 2 2-4 ACC. DATA 4 — 2 — 3 R. DATA 4 — 3— I M. DATA 17 + EA 2 3—6 R16, DATA S M16. DATA S 4 17+EA 2 3 3—5 SCAS D_ .STR (SCASB/SCASW) — (в аппаратном цик- ле) SHL R. VALI (SHR) M, VALI 15 9+15* N 2 15+EA I 1 *N 2 1 1 2 2-4 R. CL M. CL 8 + 4* N 20 + EA + 4* N 2 2 2-4 STC STD STI STOS D_ STR (STOSB/STOSW) — (в аппаратном цик- ле) SUB REG, R REG, M 2 2 2 11 9+10* N 3 9 + EA 1 1*N 1 1 1 1 1 1 2 2—4 M. REG 16+EA 2 2 — 4 ACC. DATA 4 —— 2 — 3 R, DATA 4 — 3 — 4 M. DATA 17+EA 2 3 — 6 R16. DATA S M16. DATA S 4 17+EA 2 3 3 — 5 TEST REG, R REG, M 3 9 + EA 1 2 2-4 M. REG 9 + EA 1 2 — 4 ACC, DATA 4 — 2 — 3 R. DATA 5 —- 3-4 M. DATA ll+EA 1 3 — 6 WAIT XCHG AX. REG16 REG16. AX REG, R REG. M 3 + 5* N 3 3 4 17 + EA 2 1 1 1 2 2-4 M. REG 17 + EA 9 2-4 XLAT SRC TABLE (XLATB) XOR REG. R REG, M 11 3 9+ EA 1 1 1 2 2-4 M. REG 16 + EA 2 2 — 4 ACC. DATA 4 — 2—3 R. DATA 4 .— 3-4 M. DATA 17 + EA 2 3-6 Префиксы команд I оск REP (REPE/REPNE/REPNZ/ REPZ) SR 2 - I 2 — 1 2 - 1 Прерываний Маскируемые (INT) 61 -7 Немаскируемые (NM1) 50 5 — Пошаговые ((TF)-l) 50 5 пользуется только в командах обработки эле- ментов строк данных. При табличной адресации исполнительный адрес операнда определяется как сумма со- держимого регистров ВХ и AL, причем после обращения к операнду содержимое регистра AL изменяется на содержимое памяти по ис- полнительному адресу. Данный вид адресации используется в команде XLAT. Для указания операнда в регистрах ввода/ вывода используется прямая и косвенная ре- гистровая адресации. Время выполнения команд КМ1810ВМ86 (в тактах) приведено в табл. 16.11. Время вы- полнения команды фиксировано только прн регистровых и непосредственных операндах. Если операнд находится в памяти по исполни- тельному адресу, то время выполнения коман- ды должно быть увеличено на время вычисле- ния исполнительного адреса. В табл. 16.11 вре- мя вычисления исполнительного адреса запи- сано как « + £Д». Если операнд типа «слово» находится в па- мяти по нечетному адресу, то время выполне- ния команды должно быть увеличено на четы- ре такта для каждого обращения к памяти за операндом. Время выполнения команды в табл. 16.11 указано в предположении, что команда выби- рается из очереди команд микропроцессора. В случае использования префикса замены сег- мента время выполнения команды, перед кото- рой стоял префикс замены, должно быть уве- личено на два такта. Электрические параметры микросхемы при t/cc=+5,0 В±5 % н температуре окружаю- щей среды от —10 до +70 °C приведены в табл. 16.12. Временные диаграммы соотношения вход- ных и выходных управляющих, адресных, ин- формационных и тактовых сигналов для мини- мального и максимального режимов работы микропроцессора приведены на рис, 16.7 и 16.8. На рис. 16.7, а сигнал RDY анализируется в конце тактов Т2, ТЗ, Twi для определения необходимости введения тактов Twi. На рис. 16.7,6 два цикла INTA следуют один за другим. Локальный канал адреса/данных мик- ропроцессора находится в высокоомном со- стоянии во время обоих циклов INTA. Сигна- лы управления показаны для второго цикла INTA. Состояния выводов при останове: SA7, DEN, RD. WR, 7nTA = Uon-, DT/R — неопреде- ленное. На рис. 16.8, а сигнал RDY анализируется в конце тактов Т2, ТЗ, TWi для определения необходимости введения тактов Twi. Такту Т4 предшествует пассивное состояние сигналов SA2—SA0. На рис. 16.8, б каскадный адрес действителен между первым и вторым цикла- ми INTA. Два цикла INTA следуют один за другим. Локальный канал адреса/даниых мик- 257 9 Зак 915
Т1 Г4 uo M/IO ЯНЕ13А7 A13/SAS- A1S/SA3 Uo ALL тг тз ty/tt(cL_ Uz c t iy(SA,LH/HLj tdlSA. lh/hl) ty(0,LHlHL)______________ I 3A7-SA3 td(A,HL/LHT x °. tdM.HLM У BHE,A19-A1S )f t>li{AL£,LH): _LJ twHULE) s| S_ t t ш.ктпг {Входы , zpieior<iiok)\. W< U, Vl/r Г A/DI5- A/10 _U0i Uo ПТ/Ё Uo\ MN tdlALCM) td(ALC,HL-A,HL/Ln) ty(»,HLlLH-»LC,HL} hu(RDYI,Z HL/LH) t tsUlRDYM tvl/Wt,2 HL/LH) t tdlA, HL/LH) A15-A0 id{BT/S, lh/hl) td(AO,HL) tsU{Riy, LU) tylwy, LH/HL) t ^>d(A,LZlHZY '3-ecocm. t_d(RO,HL-A,LZ/HZ) td(HH,LH) tdfdZN, HL) (jlpueM донных tv(D,HZ/LZ) 3-e COCm. d ^d(A,ZL)ZH-R[,LHj t twL(AP) tdJllT/R,LH/Hl.) / td(DCN,LH) t JT Рис. 16.7. Временные диаграммы сигналов для режима минимального включения 258
Л тг 7J c Up М/10 t t Ш,А19-А16 SA7-SAB ____t Uo, B»LISA7 AI9/3A6- A16/SA3 Uo AM Ц/Л tdMLHl AB15------------ ABO fa * f= 6g X A15-A0 J^IAlh/hl) td(j,LH/Hl) и0. ш и0 WR АЖ-' AM и0 М/Р. Пт Uo ' им АЖ- АМ td(OCM,nQ td(wa,HL) tyn.LZ/HZ) td(DT/R,LH/nL) Выдача данные (Bt5~B0) tvll,HZ/LZ-wd,LH) J-e cocm twL(wH) ~td!HH,LH) ____ JlVlOMZH^ / М3 типа \_______3 \пдерывани^ / td^Tld.LHlHL) td(BCR. hl) t d/рен, lh) .tdlA.HL/LH) X недействительный aopec 3) , _____ t IdflLH, LH) t , t lvIi.hzIlz) he cocm / / t / t микропроцессора в циклах чтения (а), записи и подтверждения прерывания (6) 9* 259
Z4 Uo\ aso, US) тг тз Vi tiias.uiM zzti Up I 3A2-SAD -----------$ (кроме HLT) Uo ltd(A,HLlLH) m/SA7, A13/SAB- A15/3A3 TILE (Выход , KPISIOBEdd) Uli Ш, RDY2 (Вход KPIBIOrLpB1)) Ui ,, tdlALf,LH-diT» Uot tdlALi,Lli)y \ВНЕ,А13-А16 ftlfa Ц. AB)5-AD0 X _U0 RD Up dt/k 81’4 ’рЗ.МРЕСили im Uo ЛЕИ twL(C) fa SA7-SA3 idlALt.Hi.) tsU(APY,HL) 3-e cocm fah/tlY, LHT 'tdlA.LZlHZ) id(3i,LH/HH> tdlSX,LH/HL) “"tvlA.LH/nTi tyJpHZ/LZj 3eco cm прием данных \> 315-Sb )' 1$и(чЩАч.М tvlMYt,2,HL) tsUtdHV, A15-AO ti(0T/R,0l) td/c, hl) tv/AffY, Lh/hl) td(AIl,HL-A,LZlHZ) td(Kn,LHl ij(A.0LlLH-fiP,L0) t tg/ffl, hl) ______________twL(xd) td(OTlA,LH) L t idle, lh) 'td(IjeN,LH) tdIntH.HL'i t LT) Рис. 16.8. Временные диаграммы сигналов для режима максимального включения 260
Vi c t_d(SA,HL) ЗЙ-Зло *1 (хроме ни) Цца AD15-AD0 'ШИ t Г к; *5 DON <<> AMWuau 53“ AI0WC ив\ *> MWJOUAU nwc i S £ 4 J L А15-А0 J Iv(a,lh/hl) Ldln.LHlHL} td(l,LZ/n$ t выдача данных (D15-D0) id(HCM,LH) td(I£N,HL] t Idle, hl) td(c.LH) t t td(c,HLL *d(A,LZ/HZ) 3-e, cocm idle, LH) 3-e cocm t (su(n,ZL/ZH) ty(H,HZ/LZ) f код типа \ прерывай и 3-е cocm Vi/o\ AB15- ado LZZZZZ Ы__________ AD15- -------- ADO Unjtd(Mt:t,LH3A,nn/HLi МОР/ T1 ГХ Ш____________ Ue^d(nce,LH\ DT/R id(lT/R, HL) td(MCE,HL) td(m/R,LH} t t Uo- ША ' idle, hl) id(C,LH) t DEN Ц/0 AD15- ADO td(0CH, lh) UlAMLH) ХНеОеОствителбныи _____ggpec______ HL) t— t t микропроцессора в циклах чтения (а), записи и подтверждения прерывания (б) 261
Таблица 16.12 Параметр Обозначение Значения параметров мин. макс. 1 2 3 4 Входное напряжение тактовых сиг- (С) 3,9 налов высокого уровня, В Входное напряжение тактовых сигна- лов низкого уровня, В Ток потребления, мА —0,5 0,6 UIL (С) 1 сс — 340 Период следования импульсов такто- вых сигналов, ис Длительность тактовых сигналов вы- тс 200 500 {УУН (С) 69 — сокого уровня, ис Длительность тактовых сигналов ииз- 118 — hvL (С) кого-уровня, нс Время нарастания и спада импульсов h (С) — 10 тактовых сигналов, ис (1 (С) — 10 Время установления сигналов данных D15—DO в цикле приема, ис (см. (SU (D, ZL/ZH) 30 — прим. 1) Время сохранения сигналов данных D15—DO в цикле приема, ис (см. *V (D, HZ/ LZ) 10 — прим. 1) Время установления сигналов RDY1, RDY2 на входах КР1810ГФ84, ис (см. fSU (RDY I , 2) 35 — прим. 2) Время сохранения сигналов RDY1, RDY2 на входах КР1810ГФ84, нс (см. *V (RDYl, 2) 0 — прим. 2) Время установления сигнала RDY, нс (SU (RDY, LH) 118 — (см. прим. 1) Время сохранения сигнала RDY, нс 30 — (V (RDY, LH/HL) (см. прим. 1) Время установления сигнала RDY, нс (см. прим. 1 и 3) -8 110 lSU (RDY, HL) Время установления сигнала RDY в состояние высокого уровня относи- тельно перехода сигналов SA2—SA0 (SU (RDY, LH-SA) в пассивное состояние, нс (см. прим. 4) Время нарастания входных сигналов hl — 20 (за исключением сигнала С), нс Время спада входных сигналов (за hl — 12 исключением сигнала С), нс Время задержки сигналов адреса (d (Л, HL/LH) ’ 10 110 А19—АО, ВНЕ, нс (см. прим. 1) h (ВНЕ, HL/LH) Время сохранения сигналов адреса (V (A, LH/HL) 10 — А19—АО, ВНЕ, нс (см. прим. 1) Время задержки сигналов адреса А19—АО при переходе в высокоомное h (Л, LZ/HZ) h (A, LH/HL) 80 состояние, нс (см. прим. 1) Длительность сигнала ALE, нс hd (ALE) hl (C) 2® — Время задержки сигнала ALE, нс fd (ALE, LH) — 80 (см. прим. 1) J 85 'd (ALE, HL) Время сохранения сигналов адреса (Л, HL/LH-ALE, HL) • ha (o~10 — А19—АО, ВНЕ относительно сигнала ALE, нс *V (ВНЕ, HL/LH-ALE, HL) 262
Продолжение табл. 16.12 Параметр Обозначение Значения параметров мин. макс. 1 2 3 4 Время задержки сигналов данных D15—DO, ис (см. прим. 1) (d (D, LH/HL) 10 по Время задержки сигналов данных D15—D0 в цикле выдачи, ис (см. прим. 1) h (D, LZ/HZ) 10 Время сохранения сигналов данных D15—DO в цикле выдачи относитель- но сигнала WR, ис (V (D. HZ/LZ-WR, LH) ^CL-30 Время задержки сигналов М/1О, DT/R, нс (см. прим. 1) fd (М/To, LH/HL) • *d (DT/R, LH/HL) 10 но Время задержки сигнала DEN, ис (см. прим. 1) Время задержки сигнала IV7?, 1NTA, нс (см. прим. 1) (d (DEN, HL) fd (DEN7LH) 10 но ld (VER, HL/LH) > fd (INTA. HL/LH) 10 но Время задержки сигнала RD относи- тельно перехода сигналов адреса А15—АО в высокоомное состояние, ис (d (RD, HL-A, LZ/HZ) 0 — Время задержки сигнала RD, нс (см. *d (RD. HL) 10 165 прнм. 1) ld (RD, LH) 10 150 Время задержки выдачи сигналов следующего адреса А15—АО относи- тельно окончания сигнала RD, нс ld (4, ZL/ZH— RD, LH) 7 c 45 Длительность сигнала RD, нс 'vi. (RD) 2Гс-75 — Длительность сигнала WR, нс ‘WE (WR) 2Гс-60 — Время задержки переключения сигна- ла ALE относительно выдачи сигна- лов адреса А15—АО, нс ‘d (ALE, HL—A, HL/LH) t\VCL~ 60 — Время задержки сигналов QSO, QS1, нс (см. прим. 1 и 5) ‘d (QS, HH/HL) 10 по Время задержки сигналов SAO—SA2 при переходе в активное состояние, нс (см. прим. 1 и 5) 'd (SA, LH/HH) 10 но Время задержки сигналов SA0—SA2 при переходе в пассивное состояние, нс (см. прим. 1 н 5) 'd (SA, LH/HL) 10 130 Время задержки сигналов состояния SA7—SA3, нс (см. прим. 1 и 5) ‘d (SA, LH/HL) 10 но Время сохранения сигналов состоя- ния SA7—SA3, нс (см. прим. 1 и 5) 'v (SA. LH/HL) 10 — Время задержки сигнала ALE микро- схемы КР1810ВГ88, нс (см. прим. 5) 'd (ALE. LH/HL) — 15 Время задержки сигнала ALE микро- схемы КР1810ВГ88 относительно пе- рехода сигналов SA2—SA0 в актив- ное состояние, нс (см. прим. 5) 'd (ALE. LH—SA, HH/HL) 15 Время задержки сигнала MCE микро- схемы КР1810ВГ88 относительно пе- рехода сигналов SA2—SA0 в актив- ное состояние, нс (см. прим. 5) fd (MCE, LH-SA, HH/HL) 15 263
Окончание табл. 16.12 Параметр Обозначение Значения параметров мнн. макс. 1 2 3 4 Время задержки сигнала MCE мик- росхемы КР1810ВГ88, нс (см. прим. 5) (d (MCE, LH/HL) — 15 Время задержки сигнала DT/R мик- *d (DT/R, HL) — 50 росхемы КР1810ВГ88, нс (см. прим. 5) ld (DT/R, LH) ou Время задержки сигнала DEN мик- ld (DEN. LH) 5 45 росхемы КР1810ВГ88, ис (см. прим. 5) ld (DEN, HL) 10 45 Время задержки сигналов команд (d (C, HL/LH) 10 35 управления MRDC, MWTC, IORC, I0WC, AMWC, AIOWC, INTA микро- схемы КР1810ВГ88, ис Время нарастания выходных сигна- лов, ис Время спада выходных сигналов, нс frO 20 ho — 12 Примечания: 1. Значения параметров приведены относительно тактового сигнала. 2. Параметры входных сигналов КР1810ГФ84 приведены только для справок. 3. Сигнал RDY должен быть установлен в состояние низкого уровня в такте Т2 или в течение 8 нс после начала такта ТЗ. 4. Данный параметр используется только в такте ТЗ н тактах ожидания. 5. Параметр используется только в максимальном режиме работы. 6. Пояснения к буквенным обозначениям временных параметров приведены в примечаниях к табл. 3.8. ропроцессора находится в высокоомном со- стоянии во время обоих циклов INTA. Сигна- лы управления показаны для второго цикла INTA. 16.2. Микросхема КР1810ВН59А Микросхема КР1810ВН59А— программи- руемый контроллер прерывания (ПКП), пред- назначен для реализации прерываний в систе- мах с приоритетами многих уровней. Она мо- жет применяться совместно с микросхемами серий КР580 или КМ1810. Микросхема обслуживает до восьми запро- сов иа прерывание микропроцессора, поступив- ших от внешних устройств, и позволяет расши- рять число обслуживаемых запросов до 64 пу- тем каскадного соединения микросхем ПКП. Микросхема может работать в нескольких режимах, которые устанавливаются программ- ным путем. Уровни приоритета входов запросов уста- навливаются программным путем. Приорите- ты, закрепленные за внешними устройствами, могут быть изменены в процессе выполнения программы. Условное графическое обозначение микро- схемы приведено на рис. 16.9, назначение вы- водов—в табл. 16.13, структурная схема по- казана иа рис. 16.10. 264 Назначение узлов микросхемы КР1810ВН59А аналогично назначению соответствующих уз- лов КР580ВН59 (см. § 3.6). Принцип работы ПКП КР1810ВН59А зави- сит от типа используемого микропроцессора. При работе с микропроцессором серии КР580 после получения от него сигнала INTA ПКП вырабатывает вектор прерывания, т. е. началь- ный адрес подпрограммы обслуживания того устройства, которое вызвало прерывание. Про- исходит это следующим образом. При получе- нии сигнала INTA микросхема КР1810ВН59А посылает кодовую комбинацию 11001101 (т. е. код команды CALL) на 8-разрядиую шину данных. Код команды CALL инициирует euie два сигнала INTA, которые поступают иа ПКП со стороны микропроцессора. Последние два сигнала позволяют микросхеме КР1810ВН59А выдать сформированный двухбайтовый адрес подпрограммы иа шину данных: сначала млад- шие восемь разрядов адреса, затем старшие восемь разрядов адреса. Так завершается вы- дача трехбайтовой команды CALL микросхе- мой КР1810ВН59А иа шину данных системы. Прн работе с микропроцессором серия К1810 старший по уровню приоритета запрос, определяемый ПКП, инициирует сигнал INT, поступающий на микропроцессор. Процессор выдает два сигнала INTA. В ответ на сигнал INTA1 ПКП не выдает данных в микропро- цессор, и буферная схема шины данных оста-
Таблица 16.13 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 CS Вход Выбор микросхемы 2 WR Вход Запись 3 RD Вход Чтение 4—11 D7—D0 Вход/вы- Канал данных 12, 13, CAS2—CAS0 ход 1 Вход/вы- Шина каскадирования 15 14 GND ход 1 Общий 16 ~MSjSVIDE Вход/вы- Выбор ведомой микро- 17 INT ход Выход схемы (разрешение дан- ных) Прерывание 18—25 1RQ7—IRQ0 Вход Запрос прерывания 26 INTA Вход Подтверждение прерыва- 27 АО Вход НИЯ Адресный вход 28 Ucc — Напряжение питания 1 Двунаправленный трехстабнльный. пс Рис. 16.9. Условное графическое обозна- чение КР1810ВН59А INT — BNU Ucc CASO CAS! CASS TA ется в высокоомном состоянии. По сигналу 1NTA2 ПКП посылает байт данных в процес- сор с кодом подтверждения запроса на преры- вание. Чтобы запрос обслуживался, он должен сохраняться до прихода сигнала INTA, иначе ПКП выдает вектор прерывания как бы для седьмого запроса, независимо от наличия это- го запроса. Одна микросхема КР1810ВН59А управляет восемью уровнями прерывания и имеет внутренние возможности для расшире- ния их до 64 путем соединения аналогичных микросхем с помощью специальной шины CAS2—CASO. При работе ПКП с микропроцессором се- рии КР580 назначение микросхемы ведущей или ведомой осуществляется подачей на вы- вод MS/SVJDE напряжения высокого или низ- кого уровня соответственно. При работе ПКП с микропроцессором серин К1810 назначение микросхемы ведущей или ведомой осущест- вляется программным путем. Предварительно каждой ведомой микросхе- ме присваивается ее код (путем «записи соот- ветствующего командного слова), равный но- меру входа IRQ ведущей микросхемы, с кото- рым соединен вывод 1NT ведомой микросхемы. Если сигнал INT, поступивший на микропро- цессор, выработан сигналом IRQ, поступившим на вход ведущей микросхемы, то формирова- ние адреса подпрограммы обслуживания осу- ществляется этой же микросхемой аналогично тому, как это происходит при работе с одной микросхемой. Если же прерывание МП проис- ходит по сигналу IRQ, поступившему иа вход ведомой микросхемы, то формирование адре- са подпрограммы обслуживания осуществля- ется следующим образом. При поступлении первого сигнала INTA ведущая микросхема выдает на шину данных код команды CALL только прн работе с микропроцессором серии КР580, а на шину CAS2—CASO — код номера ведомой микросхемы. Поэтому с приходом остальных сигналов INTA код адреса под- программы обслуживания выдается иа шину Рис. 16.10. Структурная схема КР1810ВН59А 265
Таблица 16.14 х 3 г г Статус уровня приоритета Статус уровня приоритета 1RQ0 1RQ1 IRQ2 IRQ3 7 6 О 7 1 О 2 1 5 6 7 О 4 5 6 7 3 4 5 6 2 1 3 2 4 3 5 4 О 1 2 3 IRQ4 IRQ5 IRQ6 IRQ7 3 2 1 0 7 6 5 4 4 3 2 1 0 7 6 5 5 4 3 2 1 0 7 6 6 5 4 3 2 1 0 7 Примечание, но дно приоритетного Жирной цифрой 7 обозначе- кольца. данных той ведомой микросхемой, запрограм- мированный иомер которой совпал с кодом иа шиие CAS2—CAS0. Получая запросы от пери- ферийных устройств, ПКП определяет, какое из них обладает наивысшим уровнем приори- тета. При этом уровни приоритета входов 1RQ7—IRQ0 микросхемы заранее заданы и на- ходятся всегда в строго определенном соотно- шении (статус уровней приоритета). Самым высоким уровнем приоритета обладает вход IRQ с обозначением 0 приоритетного кольца, а самым низким — вход IRQ с обозначением 7, называемым дном приоритетного кольца. Та- ким образом, задавая положение дна, можно однозначно определять уровень приоритета каждого входа микросхемы. Все возможные варианты статусов приведены в табл. 16.14. Микросхема имеет несколько программных способов задания дна приоритетного кольца, применяемых в зависимости от системных тре- бований. Микросхема имеет регистр запросов (РЗПР) и регистр обслуженных запросов (РОЗПР). В РЗПР хранятся запросы от ожи- дающих обслуживания периферийных устройств. После выработки сигнала 1NT н получения последовательности сигналов 1NTA разряд РЗПР, соответствующий обслуживае- мому запросу, устанавливается в нулевое со- стояние, а соответствующий разряд РОЗПР — в единичное состояние. Этот разряд РОЗПР блокирует обслуживание всех запросов, имею- щих равный или более низкий по сравнению с ним уровень приоритета. Кроме того, запре- тить обслуживание запросов можно примене- нием маскирования, что позволяет заблокиро- вать любой из входов микросхемы, иа кото- рый поступает IRQ. Однако устранить блоки- рующее влияние разрядов РОЗПР иа обслу- живание поступившего запроса можно либо путем сброса соответствующего запроса _в РОЗПР, либо путем специального маскирова- ния. При обслуживании прерываний по опросу микропроцессор блокирует свой вход 1NT, так как в этом случае инициатором обслужи- вания является микропроцессор. Поэтому по сигналу RD, поступившему после подачи ко- манды «Обслуживание по результатам опро- са», при наличии запросов иа шину данных считывается код номера запроса, имеющий наивысший в данный момент уровень приори- тета. Установка микросхемы в исходное состоя- ние и установка алгоритма обслуживания пре- рываний осуществляются с помощью двух ти- пов слов команд, записываемых в ПКП: слов команд инициализации (СКИ) и слов команд операций (СКО). Микросхема может выполнять следующий набор операций: операции индивидуального маскирова- ния — индивидуальное маскирование запро- сов, специальное маскирование обслуженных запросов; операции установки статуса уровней прио- ритета—по установке исходного состояния; по обслуженному запросу, по указанию; операции конца прерываний — обычный ко- нец прерывания, специальный конец прерыва- ния, автоматический конец прерывания; операции чтения — чтение регистра запро- сов, чтение регистра обслуженных запросов, чтение регистра маски. В процессе работы микросхем можно выде- лить следующие основные режимы: программи- рование, обслуживание по запросу, обслужива- ние по результатам опроса. В режиме программирования запись слов команд микросхемы осуществляется при CS=0 и WR=0. Временная диаграмма рабо- ты микросхем показана иа рис. 16.11, а. При подаче на шииу данных соответствующего ко- да команды микросхема выполняет определен- ный алгоритм работы. В режиме программирования чтение инфор- мации осуществляется при CS=0 и RD=0 (рис. 16.11,6). В режиме обслуживания (CS=1) микро- схема выполняет команды подпрограммы обслуживания запросов. Временные диа- граммы работы микросхемы показаны на рис. 16.12, а. Режим работы микросхемы устанавливает- ся путем ее программирования как устройства ввода/вывода с помощью программного обес- печения микропроцессорной системы. В процессе работы ПКП можно изменять алгоритмы обслуживания прерываний. Это осуществляется с помощью системы команд, перечень которых приведен в табл. 16.15. Прежде всего микросхема должна быть уста- новлена в исходное состояние. Для этого ис- пользуется последовательность команд СКИ (рис. 16.13). Формат команд СКИ приведен на рис. 16.14. По команде СКИ1 (признак /40 = 0, D4=l) микросхема выполняет следующие действия: устанавливает в исходное состояние схему, чувствительную к перепаду уровней напряже- ния, по входам 1RQ7—IRQ0-, очищает регистр маскирования запросов; входу IRQ7 присваивает уровень приорите- та 7; 266
°) 10117- ioqo 00i INT ut rsTA ut M.IHTA ~A uo ЧДОКгЛЗД ^WL(AD) , twL(jSri/ toectRDl скесйнго) DE U, cs AO u0> HI-DO 'raw it ^(sU(AO,Ut^L-BV«) ^su(Qhl-EO,hl) rd(n,iL/zn-fHiAtz) ОСС (I АО) «М. H7-D0 - Uo' CASO- CASO (MS) t CASO- CASO (SV) t tvnita.HL-iHni.HL) i^uwmzutl ’.iuu-IUFam td(as,LH-iHnifnp isti(as,iH-lHTAl,HLl t t t — t t t f— ------------7 tdlSl.LH-XSAH), 'Л<ЦЯ,1И-1НТА,1н) < t4{iO,>lL/LH-Ab,LHl tv(CS,LH-W,LH) fdlD,LZlnZ-r.D,LH/ t-dtO,ZL/2H-Aaj.H/HLl Рис. 16.11. Временные диаграммы работы КР1810ВН59А в режиме программирования и обслуживания по опросу при записи (а) и чтении (б) td&,ZL/ZH-CAS,LH) a) % слзг-жо (MS) Vt CAS2-CAS0 (SB) Рис. 16.12. Временные диаграммы работы микросхемы в режиме обслуживания по запро- су при работе с микропроцессорами серий КМ1810 (а) и КР580 (б) сбрасывает триггер специального маскиро- вания, а триггер выбора РЗПР/РОЗПР для последующего считывания устанавливает в состояние выбора РЗПР; сбрасывает триггер циклического сдвига уровней приоритета; если 00=0, то сбрасывает признаки разре- шения данных и автоматического конца пре- рывания. Команда СКИ1 имеет 16 модифика- ций (а—р), что связано с указанием в ней признака СКИ4 (разряд ОО), признака числа ПКП в системе (разряд О/), признака форма- та адреса (02) и признака установки запросов (03). Если DO=1, то программируется регистр СКИ4. Если 0/ = 1, то ПКП в системе единст- венный, если D1=O — в системе несколько ПКП. При 02= 1 формат равен 4, т. е. началь- ные адреса программ обслуживания смежных запросов отстоят друг от друга на 4 адреса, при D=0 — иа 8. В разрядах 07—D5 команды СКИ1 указываются разряды адреса А 7—А5 младшего байта начального адреса подпро- граммы обслуживания запросов при форма- те 4. При формате 8 в разрядах 07, D6 ука- зываются разряды адреса А 7, А6. Возможные варианты адресов младшего байта в зависи- мости от номера запроса и формата приведе- ны в табл. 16.16. При работе с процессором се- рии КМ 1810 младший байт адреса ПКП не формируется. Признак формата игнорируется. Прн 03=1 ПКП работает в режиме преры- вания по запросу, который реализуется посто- янным уровнем напряжения, при 03=0 ПКП работает в режиме прерывания по запросу, который реализуется изменением напряжения от низкого уровня до высокого. Следующая команда после СКИ1 (признак А0=1) воспринимается как команда СКИ2, в 267
Таблица 16.15 Слова команды АО D7 D6 D5 D4 D3 D2 DI DO Примечание СКИ1 а 0 А7 Ав А5 1 0 1 1 0 Формат 4, единственный, IRQ фронтом, СКИ4 есть б 0 А7 А6 А5 1 1 1 1 0 Формат 4, единственный, IRQ уровнем, СКИ4 есть в 0 А7 А6 .45 1 0 1 0 0 Формат 4, не единственный, IRQ фронтом, СКИ4 есть г 0 А7 А6 А5 1 1 1 0 0 Формат 4, не единственный, IRQ уровнем, СК.И4 есть д 0 А7 А6 0 1 0 0 1 0 Формат 8, единственный, IRQ фронтом, СКИ4 есть е 0 А7 А6 0 1 1 0 1 0 Формат 8, единственный, IRQ уровнем, СКИ4 есть ж 0 А7 А6 0 1 0 0 0 0 Формат 8, не единственный, IRQ уровнем, СКИ4 есть 3 0 А7 А6 0 1 1 0 0 0 Формат 8, не единственный, IRQ фронтом, СКИ4 есть и 0 А7 А6 А5 1 0 1 1 1 Формат 4, единственный, IRQ фронтом, СКИ4 нет к 0 А7 А6 А5 А5 1 1 1 1 1 Формат 4, единственный, IRQ уровнем, СКИ4 нет л 0 А7 А6 А6 1 0 1 0 1 Формат 4, не единственный, IRQ фронтом, СКИ4 нет А5 м 0 А7 1 1 1 0 1 Формат 4, не единственный, IRQ уровнем, СКИ4 иет А6 н 0 А7 0 1 0 0 1 1 Формат 8, единственный, IRQ фронтом, СКИ4 нет А6 о 0 А7 0 1 1 0 1 1 Формат 8, единственный, IRQ уровнем, СКИ4 нет А6 п 0 А7 0 1 0 0 0 1 Формат 8, не единственный, IRQ фронтом, СКИ4 нет Ав р 0 А7 0 1 1 0 0 1 Формат 8, не единственный, IRQ уровнем, СКИ4 нет СКИ2 1 А15 А14 А13 AI2 АН А10 А9 А8 Старший байт адреса под- программы обслуживания скиз а 1 U7 U6 U5 U4 из U2 U1 ио Для ведущего ПКП б 1 0 0 0 0 0 U2 U1 U2 Для ведомого ПКП СКИ4 а 1 0 0 0 0 0 0 0 0 PSV, EN, ведомый, АКП, с КР580 б 1 0 0 0 0 0 0 0 1 PSV, EN, ведомый, АКП, с КМ1810 в 1 0 0 0 0 0 0 1 0 PSV, EN, ведомый, АКП, с КР580 г 1 0 0 0 0 , 0 0 1 1 PSV, EN, ведомый, АКП, с КМ1810 д 1 0 0 0 0 0 1 0 0 PSV, EN, ведущий, АКП, с КР580 е 1 0 0 0 0 0 1 0 1 PSV, EN, ведущий, АКП, с КМ1810 ж 1 0 0 .0 0 0 1 1 0 PSV, EN, ведущий, АКП, с КР580 3 1 0 0 0 0 0 1 1 1 PSV, EN, ведущий, АКП, с КМ1810 и 1 0 0 0 0 1 0 0 0 PSV, EN, ведомый, АКП, с КР580 к 1 0 0 0 0 1 0 0 1 PSV, EN, ведомый, АКП, с КМ1810 268
Продолжение табл. 16.15 Слова команды АО D7 D6 D5 D4 D3 D2 D1 DO Примечание 2КИ4 л 1 0 0 0 0 1 0 1 0 PSV, EN, ведомый, АКП, с КР580 м 1 0 0 0 0 1 0 1 1 PSV, EN, ведомый, АКП, с КМ1810 и 1 0 0 0 0 1 1 0 0 PSV, EN, ведущий АКП, с КР580 О 1 0 0 0 0 1 1 0 1 PSV, EN, ведущий АКП, с КМ1810 п 1 0 0 0 0 1 1 1 0 PSV, EN, ведущий АКП, с КР580 р 1 0 0 0 0 1 1 1 1 PSV, EN, ведущий АКП, с КМ1810 а' 1 0 0 0 1 0 0 0 0 PSV, EN, ведомый, АКП, б' с КР580 1 0 0 0 1 0 0 0 1 PSV, ЕМ, ведомый, АКП, с КМ1810 в' 1 0 0 0 1 0 0 1 0 PSV, ЕМ, ведомый, АКП, с КР580 г' 1 0 0 0 1 0 0 1 1 PSV, ЕМ, ведомый, АКП, д' с КМ1810 1 0 0 0 1 0 1 0 0 PSV, ЕМ, ведущий АКП, с КР580 е 1 0 0 0 1 0 1 0 1 PSV, EN, ведущий АКП, с КМ1810 ж' 1 0 0 0 1 0 1 1 0 PSV, EN, ведущий АКП, с КР580 з' 1 0 0 0 1 0 1 1 1 PSV, EN, ведущий АКП, с КМ1810 и 1 0 0 0 1 1 0 0 0 PSV, ЕМ, ведомый, АКП, с КР580 1 0 0 0 1 1 0 0 1 PSV, EN, ведомый, АКП, с КМ1810 1 0 0 0 1 1 0 1 0 PSV, ЕМ, ведомый, АКП, с КР580 1 0 0 0 1 1 0 1 1 PSV, ЕМ, ведомый, АКП, с КМ1810 1 0 0 0 1 1 1 0 0 PSV, ЕМ, ведущий АКП с КР580 1 0 0 0 1 1 1 0 1 PSV, ЕМ, ведущий АКП с КМ1810 1 0 0 0 1 1 1 1 0 PSV, EN, ведущий АКП, . / с КР580 р 1 0 0 0 1 1 1 1 1 PSV, ЕМ, ведущий, АКП с КМ1810 CKOI 1 М7 Мб М5 М4 М3 М2 Ml МО Маскирование РЗПР СКО2 а 0 0 0 1 0 0 0 0 0 Обычный КП б 0 0 1 1 0 0 В2 Bl во Специальный КП, B2—ВО— двоично-десятичиый код ио- мера разряда, сбрасываемо- го в РОЗПР в 0 1 0 1 0 0 0 0 0 Циклический сдвиг уровней приоритета с обычным КП. Установка дна приоритетно- го кольца по обслужеииому запросу 269
Окончание табл. 16.15 Слова команды АО D7 D6 D5 D4 D3 D2 DI DO Примечание СКО2 Г 0 1 1 1 0 0 В2 В1 во Циклический сдвиг уровней приоритета с СКП, В2— ВО — двоично-десятичный код дна приоритетного кольца и номера сбрасывае- мого разряда в РОЗПР д 0 1 0 0 0 0 0 0 0 Разрешение вращения уров- ней приоритета е 0 0 0 0 0 0 0 0 0 Сброс разрешения враще- ния уровней приоритета ж 0 1 1 0 0 0 В2 В1 во Циклический сдвиг уровней приоритета, В2—ВО — дно приоритетного кольца скоз а 0 0 0 0 0 1 1 X X Установка режима опроса б 0 0 0 0 0 1 0 1 1 Разрешение чтения РОЗПР в 0 0 0 0 0 1 0 1 0 Разрешение чтения РЗПР г 0 0 1 1 0 1 0 0 0 Разрешение триггера специ- ального маскирования д 0 0 1 0 0 1 0 0 0 Сброс триггера специально- го маскирования Примечание. Для СКИ1н — СКИ1 слово СКИ4 — слов команд СКИ1а СКИ1э слово команды СКИ4 не программируется для npoi раммируется. М D7 DB D5 D3 U2 DI DO Рис. 16.13. Последовательность слов команд инициализации которой указываются старшие разряды 16-раз- рядного адреса подпрограммы обслуживания: при работе с микропроцессором серии КР580— разряды А15—А8, с микропроцессором серии К1810 — разряды А15—АП. Если в СКИ1 Dl = 0, то следующая коман- да за СКИ2 (прн АО—1) воспринимается мнк- Таблица 16.16 Формат Номер •С Q S 2 S 5 IRQ7 А7 А6 А5 1 1 1 0 0 IRQ6 А7 А6 А5 1 1 0 0 0 IRQ5 А7 А6 А5 1 0 1 0 0 4-й 1RQ4 А7 А6 А5 1 0 0 0 0 1RQ3 А7 А6 А5 0 1 1 0 0 1RQ2 А7 А6 А5 0 1 0 0 0 IRQ1 А7 А6 А5 0 0 1 0 0 1RQ0 А7 А6 А5 0 0 0 0 0 IRQ7 А7 А6 1 1 1 0 0 0 1RQ6 А7 А6 1 1 0 0 0 0 IRQ5 А7 А6 1 0 1 0 0 0 8-й IRQ4 А7 А6 1 0 0 0 0 0 1RQ3 А7 А6 0 1 1 0 0 0 1RQ2 А7 А6 0 1 0 0 0 0 1RQ1 А7 А6 0 0 1 0 0 0 IRQ0 А7 А6 0 0 0 0 0 0 270
росхемой как СКИЗ и имеет две модификации. Команду СКИЗа подают на ведущую микро- схему, а СКИЗб — на ведомые. Если в разря- дах D7—DO команды СКИЗа устанавливается единичное состояние, то это означает, что к соответствующему входу IRQ ведущей микро- схемы подключен вывод 1NT ведомой микро- схемы. Нулевое состояние означает, что на соответствующий вход подключено периферий- ное устройство либо этот вход не использует- ся. В разрядах D2—DO команды СКИЗб ука- зывается идентификатор ведомой микросхемы, который должен быть равен номеру входа за- проса ведущей микросхемы, к которому под- ключена эта ведомая микросхема. Например, если выход INT ведомой микросхемы подклю- чен ко входу IRQ6 ведущей микросхемы, то в разрядах D2—D0 команды СКИЗб, выдавае- мой на эту микросхему, указывается код ПО. Команда, записываемая после СКИЗ (при D0=l в СКИ1), будет восприниматься как СКИ4. В команде СКИ4 в разряде DO записы- Формат СКИ1 АО 117 116 115 ПР ПЗ Р2 PI РО О А7 А6 AS 1 УП Ф Е СКИР 1-регистр СКИР программируется 0-регистр СКИР не программируется 1-ПКП еОинстОенный О-ПКП не воинственный г Только для КР5В0 Р 7-1А7^б1вб1в21в71до1о |71 8 О-\А7\Аб\в2\В1\вО\О \о |7] 82-вй-ддоично-десятичный код за - проса, выработавшего прерывание Формат СКИ2а АО П7 Пб 65 ВР ПЗ 62 61 ВО 1 А15 А1Р А13 А12 1аП IaIoIaB [Ав Формат СКИ26 АО 17 Пб 65 ПР ПЗ П2 П1 ПО 1 А15 А1Р А13 А12\ап\к Iz Z 1-IKQ воспринимается уровнем O-IKQ воспринимается фронтом А7-А5-разряды младшего адреса подпрограммы обслуживания только длл мп серии КвввО Разряды старшего байта адреса подпрограмм обслуживания при работе с МП серии КР560 Формат СКИЗа (ведущий) АО 67 Пб 15 ИР 13 62 П1 ЦО 1 U7 U6 U5 UP\U3\U2\UI\UO Формат СКИЗб (ведомый) АО 67 Пб 65 ПР 63 62 61 Па О О О О О U2 U1 UO О О Разряды старшего байта адреса подпрограммы обслуживания при работе с МП серии КМ1ВЮ 1- аапрос с ведомого ПКП О- запрос с периферии Двоично-десятичный код номе- ра дедомого ПКП О 1 2 3 Р 5 6 7 О О Формат С КИР 1 0 0 0 т ЕН мД АК! ДА 1- работа с МП серии КМТвТО 0-работа с МП серии КРбвО | 1- разрешение автоматического конца- прерывания О 1 1 Нет разрешения буфер- ного регистра(нк) Ведомый с ЕН ведущий с ЕН 1-разрешение приоритета ведомого Рис. 16.14. Формат слов команд инициализации 271
вается признак системы р, который определя- ет, работает ПКП в микропроцессорном ком- плекте КР580 (D0=0) или КМ1810 (D0=1). В этой команде могут быть запрограммирова- ны признак разрешения данных EN (7)3=1) и признак автоматического конца прерывания — АКП (только для ведущей микросхемы). Если D3=l, то вывод MSISVIDE становит- ся выходом и назначение ПКП (ведущий или ведомый) определяется признаком M/S (D2 = = 1 для ведущего, D2—0 для ведомого) Особенностью КМ1810ВН59А является воз- можность работать с разрешением приоритета ведомого ПКП (D4—1 в СКИ4). Необходи- мость такого обслуживания возникает в боль- ших системах с несколькими ПКП, где ведомая микросхема с высшим приоритетом должна непрерывно обслуживать поступившие на нее запросы. Микропроцессор контролирует нали- чие запросов от ведомой микросхемы, выдавая две команды конца прерывания и считывания содержимого РЗПР ведущего ПКП. Если в СКИ4 ведущей микросхемы установлен при- знак АКП (D/=l), то достаточно выдачи од- ной команды конца прерывания на ведомую микросхему. Выбор или изменение алгоритма обслужи- вания запросов в процессе работы осущест- вляется с помощью команд СКО (рис. 16.15 и табл. 16.15). Команда СКО1 (признак А0=1) позволяет загрузить регистр маскирования (WR = 0, 272 Формат СКО! АО 17 16 15 Д4 13 12 17 10 Формат 07703 АО 17 16 15 14 13 12 11 10 Рис. 16.15. Формат слов команд операций
Таблица 16.17 Параметр Обозначение Значения параметров мин. | макс Выходное напряжение высокого уровня на выво- де INT, В V ОН, 1NT 3,5 — Ток потребления, мА 1СС — 85 Входной ток, мкА <1 —300 — Длительность сигнала WR низкого уровня, нс ‘wL (ГД) 290 — Время восстановления сигнала WR, нс ‘ REC (ГД) 370 — Время восстановления сигнала АО относительно сигнала WR, нс ‘sU (АО, LH/HL—WR, HL) 0 — Время установления сигнала CS относительно сигнала WR, нс ‘sU (CS. HL-WR, HL) 0 — Время сохранения сигнала АО относительно сигна- ла WR, нс ‘v (AO, HL/LH-^R, LH) 0 Время сохранения сигнала CS относительно сиг- нала WR, нс ‘v (CS, LH-'Tr, LH) 0 — Время установления сигналов D7—DO относитель- но сигнала WR, нс ‘sU (D, LH /HL-WR, LH) 240 — Время сохранения сигналов D7—DO относитель- но сигнала WR, нс ‘v (D, HL/LH-W~R, LH) 0 — Длительность сигнала RD низкого уровня, нс ‘wL (RD) 235 — Время восстановления сигнала RD, нс ‘rEC(RD) ) — Длительность сигнала INTA низкого уровня, нс lVL (INTA) 235 — Время восстановления сигнала INTA, нс ‘ REC (INTA) 300 — Время задержки сигнала DE относительно сигна- ‘d (DE, HL—RD~, HL) — 125 ла RD, нс ‘d (DE, LH~RI>, LH) — 150 Время задержки сигнала DE относительно сигна- ‘d (DE, HL—INTA, HL) — 125 ла INTA, нс ‘d (DE, LH-INTA, LH) — 150 Время установления сигнала АО относительно сигнала RD, нс ‘sU (AO, LH/HL—RD, HL) 0 — Время сохранения сигнала АО относительно сигнала RD, нс ‘v (AO, HL/LH--RD, LH) 0 — Время установления сигнала CS относительно сигнала RD, нс ‘sU (CS, HL-RD, HL) 0 — Время сохранения сигнала CS относительно сигнала RD, нс ‘v (CS. LH-RD~, LH) 0 — Время задержки сигналов D7—DO относительно ‘d (D, ZL/ZH-RD, HL) — 200 сигнала RD, нс ‘d (D, LZ/HZ—RD, LH) 10 100 Время задержки сигналов D7—DO относительно сигнала АО. нс ‘d (D, ZL/ZH-- AO, LH/HL) — 200 Время задержки сигнала INT относительно сигна- ла IRQ, нс ‘d (INT. LH IRQ, LH) — 350 Время восстановления сигнала IRQ, ис fREC (IRQ) 100 — Время сохранения сигнала IRQ относительно сиг- нала INTA1, нс ‘v (IRQ, HL-INTA!, HL) 200 273
Окончание табл. 16.17 Параметр Обозначение Значения параметров МИИ. макс. Время установления сигнала INTAI относительно ^SU (INTAI, HL—INT, LH) 100 — сигнала INT, нс Время задержки сигнала INT относительно сигиа- *d (INT, HL-INTA2, LH), — 850 лов INTA2, INTA3, нс Время задержки сигналов D7—D0 относительно fd (INT, HL-INTA-i, LH) *d (D, ZL/ZH-TNTA, HL) — 200 сигнала INTA, нс Время задержки сигналов CAS относительно сиг- *d (CAS, LH—INTAI, HL) — 565 нала INTA1, нс Время задержки сигналов D7—D0 относительно ld (D, HZ/LZ—INTA, LH) 10 100 сигнала INTA, нс Время установления сигналов C4S относительно {SU (CAS, LH—INTA2, HL) 55 — сигнала INTA2, нс Время задержки сигналов D7—D0 относительно (d (D, ZL/ZH—CAS, LH) — 300 сигналов C4S, нс CS=0). Установка признака ЛЬ = 1 (1=0—7) в этой команде указывает на блокировку об- служивания соответствующего IRQ,. Содержи- мое регистра маскирования выдается иа шину данных при подаче сигналов CS=0, RD = 0, 40=1. Команда СКО2 (признаки 40=0, D4=0, D3=0) имеет семь модификаций СКО2а— СКО2ж. Группа команд СКО2 указывает вид конца выполненного обслуживания прерыва- ния, а также вид установки дна и дно приори- тетного кольца. Статус уровня приоритета, устанавливаемый одной из команд СКО2в, СКО2г, СКО2ж, сохраняется до подачи коман- ды, которая имеет возможность его изменить, или команды СКИ1. Команда СКО2а (обычный конец прерыва- ния) устанавливает в нулевое состояние раз- ряд РОЗПР, соответствующий последнему (до подачи команды СКО2а) обслуженному за- просу. Команда СКО26 (специальный конец пре- рывания) устанавливает в нулевое состояние тот разряд РОЗПР, номер которого указан двоично-десятичным кодом (В2—ВО) в разря- дах D2—D0 этой команды. Команда СКО2в вводит вид установки ста- туса уровней приоритета по последнему обслу- женному запросу. По этой команде устанавли- вается в нулевое состояние разряд РОЗПР, соответствующий последнему обслуженному запросу, и этому же номеру запроса присваи- вается низший уровень приоритета. Команда СКО2г вводит вид установки ста- туса уровней приоритета по указанию о выпол- нении операции обычного конца прерывания. По этой команде присваивается низший уро- вень приоритета тому входу IRQ, номер кото- рого в виде двоично-десятичного кода (В2— ВО) указан в разрядах D2—D0 команды; при 274 этом устанавливается в нулевое состояние соответствующий разряд РОЗПР. Команда СКО2д разрешает вращение уров- ней приоритета с помощью установки тригге- ра, и действие ее сохраняется до подачи команд СКО2е и СКИ1. Эта команда эффек- тивно используется при заданном признаке АКП (Dl = 1 в СКИ4), если в системе имеется несколько устройств с одинаковым уровнем приоритета. Команда СКО2е осуществляет сброс разре- шения вращения уровней приоритета. Команда СКО2ж вводит вид установки ста- туса уровней приоритета по указанию без вы- полнения операции конца прерывания. Двоич- ио-десятичный код в разрядах D2—D0 этой команды указывает дно приоритетного кольца. Группа команд типа СКОЗ (признаки 40=0, Ш=1, D4=0) используется для разрешения чтения РЗПР и РОЗПР, для разрешения и сброса специального маскирования, а также для установки режима обслуживания по ре- зультатам опроса. Команда СКОЗа устанав- ливает режим обслуживания по результатам опроса. После подачи сигналов RD=0, RS = 0, 40=0 действие команды СКОЗа прекращается. При подаче команд СКОЗб, СКОЗв разре- шается чтение регистров РЗПР, РОЗПР соот- ветственно. При последующей подаче сигналов RD=0, CS = 0, 40=0 выполняется считывание данных с выбранного регистра, причем дейст- вие команд СКОЗб, СКОЗв сохраняется до по- дачи команды СКИ1. Команда СКОЗг обеспечивает специальное маскирование путем блокировки действия тех разрядов РОЗПР, которые замаскированы командой СКО1 на соответствующих позициях РЗПР. Специальное маскирование использует- ся в том случае, когда необходимо обслужить запрос, который блокируется старшим или
равным по уровню приоритета обслуженным запросом, хранящимся в РОЗПР, не сбрасывая последний. Команды СКОЗд или СКИ1 прекращают действие команды СКОЗг. Таким образом, при- веденная система команд позволяет устанавли- 16.3. Микросхема КР1810ВБ89 Микросхема КР1810ВБ89 представляет со- бой арбитр системной шины, предназначенный для использования в многопроцессорных систе- мах в качестве устройства, осуществляющего вать различные алгоритмы и закреплять уров- ни приоритета за внешними устройствами как статически, так и динамически, т. е. в любое время работы основной программы. Работа микросхемы КР1810ВН59А в режи- мах прерывания по запросу и прерывания по результатам опроса аналогична работе в этих режимах микросхемы КР580ВН59 (см. § 3.6). Основные параметры микросхемы в диапа- зоне рабочих температур —1O-5--+-7O °C и на- пряжении питания +5,0 В ±5 % приведены в табл. 16.17. синхронизацию доступа множества ведущих устройств к системной шине. Условное графическое обозначение микро- схемы приведено на рис. 16.16, назначение вы- водов— в табл. 16.18, структурная схема по- казана на рис. 16.17. Микросхема включает в себя следующие функциональные узлы: интерфейс местной шины, формирует сиг- нал разрешения доступа к системной шине шиииым устройствам микропроцессора (кон- троллеру шины, адресным регистрам, шинным формирователям); интерфейс MULTIBUS, осущест- Таблица 16.18 Вывод Обозначение Тип вывода Функциональное назначение выводов 20 Ucc — Напряжение питания 10 GND — Общий 18, 19, 1 SO—S2 Входы Сигналы состояний 17 CLK Вход Тактовый сигнал синхрони- зации микропроцессора 16 LOCK Запрет освобождения си- стемной шины 15 CRQLCK Вход Запрет освобождения си- стемной шины при запросе через вход CBRQ 4 RESB Вход Выбор режима работы с ре- зидентной шиной 14 ANYRQST Вход Разрешение освобождения системной шины при любом запросе 2 1OB Вход Выбор режима работы с пе риферийиой шиной ввода/ вывода 13 AEN Выход Разрешение доступа к си стемной шние для шинных задающих устройств мик ропроцессора 3 SYSBiRESB Вход Разрешение доступа к си стемной шнне для арбитра, сформированного в режиме работы с системной н рези дентной шинами (режимы S', R) 12 CBRQ Вход/ ВЫХОД Общий запрос шнны 6 INIT Вход Начальная установка 5 BCLK Вход Тактовый сигнал синхрони- зации системной шины 7 BREQ Выход Сигнал запроса шнны 9 BPRN Вход Приоритетное разрешение Доступа к шине 8 BPRO Выход Приоритетное разрешение доступа к шине 11 BUSY Вход/ выход Занятость шнны вляет процедуру взаимодействия ар- битров шины на интерфейсе много- процессорной системы (MULTIBUS) и синхронизирует действия по захва- ту системной шины в соответствии с сигналом синхронизации ШИНЫ; схема приоритетного арбитража, проводит арбитраж микропроцессо- ров, запрашивающих управление ши- ной, и по тактовому сигналу микро- процессора, занимающего шину, осу- ществляет действия по освобожде- нию системной шииы; генератор состояний, дешифри- рует команды состояния микропро- цессора и запускает схему прио- ритетного арбитража, интерфейс MULTIBUS и интерфейс местной шины на осуществление действий по захвату и освобождению системной шины: Рис. 16.16. Условное графическое обо- значение КР1810ВБ89 275
Рис. 16.17. Структурная схема КР1810ВБ89 устройство управления, осуществляет син- хронизацию и управление режимами работы арбитра шины со стороны микропроцессора. Арбитр шииы КР1810ВБ89 используется совместно с контроллером шины КР1810ВГ88 для связи микропроцессоров серии КР1810 с системной шииой коллективного пользования. Процессор не осведомлен о существовании арбитра и выдает команды так, как если бы ои имел исключительное право пользования системной шииой. Если процессор не имеет права на использование шииы, то арбитр ши- ны предупреждает контроллер шины, приемо- передатчики данных и адресные регистры об отсутствии доступа к системной шине. Ши- на не готова к пользованию, и процессор вой- дет в состояние ожидания. Процессор останется в состоянии ожидания до тех пор, пока арбитр шины не получит в пользование системную шину, после чего арбитр позволит контролле- ру шины, приемопередатчикам данных адрес- ным регистрам произвести обращение к системной шине. Обычно если имела место пе- Рис. 16.18. Структурная схема параллельного разрешения приоритета редача данных, то подтверждение передачи (ХАСК) возвращается к процессору от вы- бранного исполнителя и тогда процессор вы- ходит из состояния ожидания и завершает цикл передачи. Таким образом, арбитр и контроллер шины служат для выполнения одновременно несколь- ких команд процессора посредством системной шины и предохраняют процессоры от проблем соревнования между ними при коллективном использовании шины. Для координации доступа множества про- цессоров к системной шине арбитрами шины осуществляется арбитраж системной шины. Принцип арбитража основан на концепции приоритета, согласно которой в любой данный промежуток времени одно ведущее устройство шины будет иметь приоритет над всеми други- ми ведущими устройствами. В соответствии с этим принципом каждому арбитру присваивает- ся приоритет. Арбитр шины, имеющий более высокий приоритет, захватывает управление системной шиной, когда процессор арбитра с более низким приоритетом завершает свой цикл передачи. Арбитр с более низким приори, тетом захватывает управление шиной, когда процессор арбитра с более высоким приорите- том не обращается к системной шине, т. е. когда он находится в состоянии останова или получает доступ к резидентной шине или шине ввода вывода. Исключением является случай, когда вход AHYRQST арбитра с высоким приоритетом находится на высоком уровне. В этом случае арбитр может отдать систем- ную шину арбитру с более низким приорите- том так, как если бы он был запрашивающим арбитром с более высоким приоритетом. Если никакие ведущие устройства не запрашивают системную шину, то арбитр удерживает шину до тех пор, пока его процессор не войдет в со- стояние останова (HALT). Арбитр добровольно не сдает системной ши- ны; заставить сделать это должен запрос, про- изведенный другим арбитром по требованию своего процессора (кроме состояния HALT). Арбитр шины КР1810ВБ89 обеспечивает реализацию трех методов разрешения приори- тета: параллельного, последовательного и вра- щающегося Прн параллельном разрешении приоритета используется индивидуальная линия запроса шины (BREQ) для каждого арбитра (рис. 16.18). Каждая линия BREQ входит в приоритетное кодирующее устройство, которое формирует двоичный адрес линии BREQ с са- мым высоким приоритетом. Двоичный адрес линии декодируется для выбора соответствую- щей линии BPRN (линия приоритетного раз- решения доступа к шине), и арбитр шииы, связанный с выбранной линией BPRN, получа- ет приоритет над всеми другими арбитрами. Приоритет позволяет арбитру захватить шину для своего процессора, как только она освобо- дится Освобождение шины арбитром, потеряв- шим приоритет, осуществляется после завер- 276
шеиия текущей операции шины. В это время арбитр узнает, что он больше не обладает приоритетом, и освобождает шину, отпуская сигнал занятости шины (BUSY). Линия BUSY является общей для всех арбитров, и, после того как арбитр отпустит сигнал BUSY (выве- дет линию BUSY на высокий уровень), арбитр, который в данный момент получил наивысший приоритет, захватит шину и, в свою очередь, выведет линию BUSY на низкий уровень, пре- дупредив другие арбитры, что шина занята. Все операции, связанные с захватом шины, синхронизируются тактовым сигналом BCLK. (рис. 16.19). Последовательное разрешение приоритета исключает необходимость в приоритетном ко- дирующем и декодирующем устройствах. В этом случае арбитры шины соединяются по- следовательно. Выход приоритетного разре- шения доступа к шине ВРИО арбитра с более высоким приоритетом соединяется со входом приоритетного разрешения доступа к шиие BPRN арбитра с более низким приоритетом (рис. 16.20). Если процессор арбитра с более высоким приоритетом не использует системную шину, то арбитр передает полученный приоритет следующему за ним арбитру шины. Приоритет к последнему арбитру приходит с задержкой, связанной с процедурой передачи приоритета от арбитра к арбитру, поэтому при тактовой частоте до 10 МГц возможно последователь- ное соединение не более трех арбитров. Метод вращающегося разрешения приори- тета аналогичен методу параллельного разре- шения приоритета, за исключением того, что приоритет динамически переназначается. Приоритетное кодирующее устройство заме- няется более сложными логическими схемами, которые вращают приоритет между запраши- вающими арбитрами, позволяя тем самым каждому арбитру в равной степени использо- вать системную шину в порядке очередности. Арбитр шины микросхемы КР1810ВБ89 имеет четыре режима работы, которые ис- пользуются в многопроцессорных системах различных конфигураций. 1. Режим работы только с системной ши- ной осуществляется при ЮВ иа высоком уров- не и RESB на низком уровне. В этом режиме каждый процессор работает только с систем- ной шиной и выдает команды только для си- стемной шины. 2. Режим работы с периферийной шиной ввода/вывода осуществляется при 10В, RESB на низком уровне. Периферийной шиной вво- да/вывода является шина, все устройства ко- торой, включая память, рассматриваются как устройства ввода'вывода, а команды памяти обращаются к системной шине. С периферий- ной шиной обычно работает процессор ввода' вывода. В режиме работы с периферийной шииой арбитр шины позволяет процессору связывать- редачи шины от арбитра с низким приорите- том к арбитру с высоким приоритетом: 7 — арбитр системной шины с более высоким прио- ритетом запрашивает управление шиной; 2 — арбитр системной шины получает приоритет; 3 — арбитр си стемной шины с более низким приоритетом отпуска- ет шину и линию BUSY; 4 — арбитр с высоким при- орнтетом захватывает шину и выводит линию BUSY на низкий уровень ся как с системной шиной, так и с периферий- ной шиной ввода/вывода; при этом процессор может контролировать массу периферийных устройств через периферийную шину, но когда ему необходимо связаться с памятью системы, то арбитр шины связывает его с системной шиной. 3. Режим работы с резидентной шиной осу- ществляется при ЮВ, RESB на высоком уров- не. Резидентная шина — это такая шина, все устройства которой обеспечивают работу толь- ко одного процессора, причем эти устройства могут адресоваться как командами ввода/вы- вода, так и командами обращения к памяти. В режиме работы с резидентной шиной один арбитр шины и два контроллера шины позволяют процессору связываться с перифе- рийными устройствами ввода вывода и памя- тью как системной, так и резидентной шины. Выбор системной шины осуществляется как функция сигнала SYSB/RESB. Сигнал SYSB/RESB, кроме того, разрешает или за- прещает по входу AEN каждого из шинных Рис. 16.20. Структурная схема последователь- ного разрешения приоритета 277
Таблица 10.19 Команды состояний Состояния системной шины в различных режимах работы микропроцессора арбитра шииы Наименование команд Сигналы состояний микропроцес- сора С периферий- ной шииой ввода/вывода: 7ов=о, RESB=0 С резидентной ши- ной: 1ОВ = \, RESB=\ С периферийной ши- иой ввода/вывода и резидентной шииой: ЮВ = 0, RESB=\ Только с системной шиной: 1ОВ=~\, RESB=0 S2 S1 so SYSB/ /RESB=\ SYSB/ /RESB=0 SYSB/ /RESB=l SYSB/ /RESB—О Команды ввода' 0 0 0 -j— — — — + вывода 0 0 1 — 4- — — — + 0 1 0 — + — — — + Состояние остано- ва 0 1 1 — — — — — — Команды обраще- 1 0 0 + + — + — 4- ния к памяти 1 0 1 + + — + — + 1 1 0 + + — + — + Пассивное состоя- ние 1 1 1 — — — — — шнна П р и м е ч а н н е. не запрашивается и может < + > - арбитр запрашивает управление системной шиной; «—» - системная быть сдана для управления другому арбитру многопроцессорной системы. Таблица 16.20 Режим Условия режима Условия захвата и освобождения системной шнны Захват Освобождение 1 Только с системной ши- ной С резидентной шиной С периферийной шиной ввода/вывода С периферийной шииой ввода вывода и резидент- ной шиной *о| *о| ^21^01 со Col Со Cel со tel со to| te || to у to || to || II о II о II II - — о — о S2, SI, S0 в активном состоянии (SYSBJRESB = 1) A(SZ SI, SO — в активном состоянии) Команды обращения к памяти (Команды обращения к памяти) A (SYSB/ V RESB=\) HLT \/TI/\CBRQ\/(BPRN=l) (SYSB/RESB >CBRQ\/HLT\/(BPRN-3) (Команды ввода выводаХ/Г/)Д Д CBRQ\JHLT\/ (BPRN = 1) ((Команды ввода/вывода) V \/(SYSB/RESB = O)) /\CBRQ\/ (BPRN _=l)y HLT П 1) и м е ч а н и я: 1. CBRQ - сигнал опроса на пользование шиной от другого арбитра. 2. V - логическая операция ИЛИ; А - логическая операция И. з. Ti - ы, si, so= in. 4. HLT — S2, Si, 30=011. 1 Низкий уровень сигнала LOCK запрещает освобождать системную шину любому другому арбитру: низ- кий уровень сигнала CRQLCK эапрещает освобождать системную шину арбитру с более низким приорите- том. 278
Рис, 16.21. Временная диаграмма интерфейсных сигналов в системах с последователь- ным разрешением приоритета контроллеров выдачу команд управления для существующих шинных устройств процессора. Режим работы с периферийной шиной вво- да вывода и резидентной шиной осуществля- ется при ЮВ на низком уровне и RESB на высоком уровне. В этом режиме арбитр шины осуществляет связь процессора с системной шиной, резидентной шиной и периферийной шиной ввода/вывода. Общий обзор режимов работы арбитра шины приведен в табл. 16.19 и 16.20 состояний микросхемы КР1810ВБ89, временные диаграм- мы работы показаны на рис. 16.21 и 16.22. Диаграмма на рис. 16.21 поясняет работу ар- битров шины в многопроцессорной системе, соединенных по схеме последовательного раз- решения приоритета. Предположим, арбитр 1 захватил шину и нывел линию BUSY на низкий уровень. Ар- битр 2 запрашивает управление шиной и выво- дит на низкий уровень линию BREQ2. Если SKS/MSB ’tyfCLK^L-SYSB/BESB^ t ^В(С1К^1-лт, LH) ABB £ t Рис. 16.22. Временная диаграмма сигналов управления арбитром шины со стороны процессора 279
Рис. 16.23. Арбитр шины в конфигурации с системной шиной Рис. 16.24. Арбитр шины в многопроцессорной системе с периферийной шиной ввода/ вывода 280
Таблица 16.21 Параметр Обозначение Значения параметров [макс, (мин.)] Режим измерения Выходное напряжение низкого уровня, В UOL 0,45 и С с = 4,75 В, Выходное напряжение высокого уров- ион (2,4) < < < < < 2 2 2 2 2 О О с© О О —Г и® II II II ,1 ю _ о |Х с о IP1 S га а: се 14 о 04 ко со ч о. |ос II II га о ч: ч |ч II g * 4 J j J j £ ня, В Входной ток низкого уровня, мА lIL -0,5 (Ль=0,8 В, (Ли = 2,0 В, 1он — —0,4 мА (Усс = 5,25 В, Входной ток .высокого уровня, мкА I IH 60 (Ль=0,45 В 1/с с = 5,25 В, Ток потребления, мА lCC 165 (Л и = 5,25 В 1/с с =5,25 В Время задержки распространения сигна- tp (BCLK, HL—BREQ, HL) 35 47сс = 5,О В, ла BREQ при переходе его из состояния высокого уровня в состояние низкого уровня относительно сигнала BCLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс Время задержки распространения сигна- *P (BCLK, HL—BUSY, HL) 60 Rl — 160 Ом, Сь = 60 пФ, Ucc, HL =2^3 В Ссс = 5,0 В, ла BUSY при переходе его из состояния высокого уровня в состояние низкого уровня относительно сигнала BCLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс Время задержки распространения сигна- tp (BCLK, HL—CBRQ. HL) 60 /?t=91 Ом, Cl =250 пФ, Uсс, rl = 2,3 В (Лс = 5,0 В, ла CBRQ при переходе его из состояния высокого уровня в состояние низкого уровня относительно сигнала BCLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс Время задержки распространения сигна- fP (BCLK, HL—AEN, HL) 40 /?ь=91 Ом, Cl =250 пФ, Ucc. RL =2,3 В Ссс = 5,0 В, ла AEN при переходе его из состояния высокого уровня в состояние низкого уровня относительно сигнала BCLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс Время задержки распространения сигна- tp (BPRN, HL—BPRO, HL) 25 Rl = 110 Ом, Cl =100 пФ, Ucc, hl = 2,3 В Ссс = 5,0 В, ла BPRO при переходе его из состояния высокого уровня в состояние низкого уровня относительно сигнала BPRN при переходе его из состояния высокого уровня в состояние низкого уровня, нс Rl= 160 Ом, Cl = 60 пФ, Ucc, RL = 2,3 В 281
Окончание табл. 16.21 Параметр Обозначение Значения параметров [макс. (мин.)] Режим измерения Время задержки распространения сигна- ла BPRO при переходе его из состояния низкого уровня в состояние высокого уровня относительно сигнала BCLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс Время задержки распространения сигна- ла BUSY прн переходе его из состояния низкого уровня в состояние высокого уровня относительно сигнала BCLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс Время задержки распространения сигна- ла CBRQ при переходе его из состояния низкого уровня в состояние высокого уровня относительно сигнала BCLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс Время задержки распространения сигна- ла AEN при переходе его из состояния низкого уровня в состояние высокого уровня относительно сигнала CLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс tptBCLK., Hl. —BPRO, LH) ipiBCLK, HL —BUSY, LH) tp(BCLK, HL —CBRQ, LH) tpiCLK, HL — AEN, LH) 40 35 35 65 Ссс = 5.0 В, Rl =160 Ом. Cl = 60 пФ, Ucc, RL =2,3 В ССс = 5,0 В, /?ь = 91 Ом, Cl = 250 пФ, Uсс, hl = 2,3 В Ссе = 5,0 В, /?l = 91 Ом, Cl = 250 пФ, Ucc, hl = 2,3 В Ссс = 5.0 В, Rl ~ 110 Ом, Cl =100 пФ, Uсс, RL =2,3 В Примечание. Ucc, rl -- напряжение питания, подключаемое к сопротивлению нагрузки при изме- рении динамических параметров. Таблица 16.22 Параметр Обозначе- ние Значения параметров мин макс. Продолжение Параметр Обозначе- ние Зиа* парал макс. <еиия «етров мин. Предельно допустимые электрические режимы эксплуатации Напряжение питания, В исс 4,75 5,25 Входное напряже- ние низкого уровня,В UIL 0,8 Входное напряже- ние высокого уровня, В U1Н 2,0 ‘— Предельные режимы эксплуатации Напряжение пита- ния, В Максимальное вы- ходное напряжение, ^СС max — 6,0 7,0* 5,25 В Минимальное выход- UО min —0,4 — ное напряжение, В Входное напряжение, и. —0,4 5,5 В * В течение времени не более 5 м С. 282
Таблица 16.23 Параметр Обозначение Значения пара метров (мин (макс )] Период следования импульсов тактового сигнала CLK, ис TCLK 125 Длительность тактового сигнала CLK низкого уровня, нс lWL, CLK 65 Длительность тактового сигнала CLK высокого уровня, ис Время установления сигналов SO, SI, S2 при пе- lWH, CLK 35 *SU(CLK, LH-S, HL) 65 реходе их из состояния высокого уровня в состоя- ние низкого уровня относительно сигнала CLK при переходе его из состояния низкого уровня в состояние высокого уровня, нс CLK—10) Время установления сигналов SO, SI, S2 при пе- tsuiCLK, HI -S, LH) 50 реходе их из состояния низкого уровня в состоя- ние высокого уровня относительно сигнала CLK при переходе его из состояния высокого уровня в состояние низкого уровня, ис (Т CLK 10) Время сохранения сигналов SO, SI, S2 при пе- реходе их из состояния высокого уровня в состоя- ние низкого уровня относительно сигнала CLK при переходе его из состояния низкого уровня в состояние высокого уровня, ис tyiCLK, LH-S. HL) 10 Время сохраиеиия сигналов SO, SI, S2 при пе- реходе их из состояния низкого уровня в состоя- ние высокого уровня относительно сигнала CLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс ‘VICLK, HL-S, LH) 10 Время установления сигнала BUSY относительно сигнала BCLK прн переходе его из состояния вы- сокого уровня в состояние низкого уровня, ис ^SU (BCLK, HL—BUSY) 20 Время установления сигнала CBRQ относительно сигнала BCLK при переходе его из состояния вы- сокого уровня в состояние низкого уровня, нс lSU(BCLK, HL —CBRQ) 20 Период следования импульсов тактового сигнала BCLK, нс ? BCLK 100 Длительность тактового сигнала BCLK низкого уровня, ис fWL. BCLK 35 Длительность тактового сигнала BCLK высокого уровня, нс *№Н, BCLK 30 Время сохранения сигнала LOCK при переходе его из состояния высокого уровня в состояние низкого уровня относительно сигнала CLK при переходе его из состояния высокого уровня в со- стояние низкого уровня, нс *V(CLK, HL - LOCK, HL) 20 Время установления сигнала LOCK при переходе его из состояния высокого уровня в состояние низкого уровня относительно сигнала CLK при переходе его из состояния высокого уровня в со- стояние низкого уровня, ис tsULCLK, HI LOCK. HL) 40 Время установлении сигнала BPRN относительно сигнала BCLK при переходе его из состояния высокого уровня в состояние низкого уровня, ис lSU(BCLK. HL BPRN) 15 283
Окончание табл. 16.23 Параметр Обозначение Значения пара- метров [мин. (макс.)] Время установления сигнала SYSB/RESB относи- тельно сигнала CLK при переходе его из состоя- ния высокого уровня в состояние низкого уровня, нс Время сохранения сигнала SYSB/RESB относи- тельно сигнала CLK при переходе его из состоя- ния высокого уровня в состояние низкого уров- ня, нс *S(/(Ci.K, HL—SYSB/RESB) *У(С1.К, HL—SYSB/RESB) 0 .20 BPRN2=\, то арбитр 2 выводит на низкий уровень линию CBRQ, т. е. сообщает арбит- ру 1, имеющему более высокий приоритет, что арбитр с более низким приоритетом запраши- вает управление шиной. (Арбитру с более вы- соким приоритетом при запросе шины приори- тет возвращается до того, как другой арбитр успеет захватить шину посредством запроса через CBRQ), Арбитр 1 освобождает систем- ную шину, когда заканчивается состояние за- проса; при этом он переводит на низкий уро- вень выход BPRO, связанный со входом BPRN второго арбитра и отпускает сигнал BUSY. ______ Арбитр 2, получив приоритет BPRN от первого арбитра, отпускает сигнал CBRQ. Как только шина освободится, т. е. линия BUSY выйдет на высокий уровень, арбитр 2 на сле- дующем отрицательном фронте BCLK снова ХАСК резидентной шины AEN1 <>• КР18ЮГФ8Ь №П мп . ХАСК системной шины КР18ЮВБ89 Резидентная. ? командная • >' шина ______ КР18ЮВГ88 ПЗУ или декодиру- ющее устройстдо Резидентная. 20 I адресная * , * шина. СЕН SK-32 CLK DT/K ALE СЕК BEAM CLK SO-32 АОО-АШд, AIS-AI3 КМ1810ВМ86 OL^AHYKOS ack srss/Sds. AEN СЕК КР18108Г88 CLK _ 1)7/8 'СС Резидентная шина -* данных 7 Системная X — шина управления О, Системная командная шина. I 20, .Системная шина. ST8 ОЕ КР580ИР82 (КР580ИР83) ОЁ STB КР580ИР82 (KPS80HP83) Рис. 16.25. Арбитр шины в конфигурации с системной и резидентной шинами 284
установит линии BUSY на низкий уровень, т. е. сообщит другим арбитрам, что он занял шину. Если арбитр 2 не запрашивает управление шиной во время получения приоритета, то он теряет приоритет, который передается с выхо- да BPRO2 арбитру 3, имеющему более низкий приоритет. Примеры применения микросхем КР1810ВБ89 приведены на рис. 16.23—16.25, основные электрические параметры •— в табл. 16.21, предельно допустимые и предель- ные значения электрических режимов эксплуа- тации— в табл. 16.22, временные параметры, рекомендуемые для эксплуатации, - в табл. 16.23. 16.4. Микросхема КР1810ВГ88 Микросхема КР1810ВГ88 представляет со- бой контроллер системной шины, предназна- ченный для работы в составе микроЭВМ на базе микропроцессора КМ1810ВМ86. С помощью контроллера шины КР1810ВГ88 (в зависимости от состояния микропроцессора) организуется обмен данными между местной шиной процессора и системной шиной, а также между местной шиной и шиной ввода вывода. Контроллер синхронизируется тактовым гене- ратором микропроцессора КР1810ГФ84 и уп- равляет шинными формирователями, адресны- ми регистрами, устройствами ввода/вывода и памятью. В процессе обмена данных могут выпол- няться следующие виды операций: считывание данных из памяти, считывание данных из устройств ввода/вывода, запись данных в па- мять, запись данных в устройства ввода вы- вода, подтверждение прерывания. Условное графическое обозначение микро- схемы приведено на рис. 16.26, назначение вы- водов— в табл. 16.24, структурная схема по- казана на рис. 16.27. Микросхема состоит из следующих функ- циональных узлов: дешифратора состояния; устройства управления; генератора командных сигналов управления передачей данных; генератора контрольных сигналов управле- ния шинными формирователями и адресными регистрами. __Сигналы состояния микропроцессора SO, SI, S2 поступают на вход дешифратора со- стояния контроллера. Дешифратор проводит декодирование состояния микропроцессора в соответствии с табл. 16.25, а устройство управ- ления вырабатывает необходимые сигналы для управления генераторами командных и контрольных сигналов. Устройство управления вырабатывает два варианта сигналов в зависимости от режима работы контроллера. Режим работы контрол- лера устанавливается сигналом иа входе IOB Рис. 16.26. Услов- ное графическое обозначение КР1810ВГ88 19 3 18 св 1ОВ 2 6 ВТ/Й ВЕН ALE НСЕ'ЁЙ» 9 В 13 П TZ 19- 16 5 17 20 10 15 СЕН Осс GHB В режиме работы с шиной ввода/вывода на вход 10В подается напряжение высокого уровня. При этом все выходы формирования командных сигналов обращения к устройствам ввода/вывода и контроллеру прерывания не зависят от сигнала на входе AEN, т. е. от на- личия или отсутствия доступа у микропроцес- сора к управлению системной шиной. Когда микропроцессор переходит в состоя- ние обращения к устройствам ввода вывода или контроллеру прерывания, контроллер шины немедленно выдает командные сигналы 1ORC, I0WU_AI0WC, INTA, а также сигналы PDEN и DT/R для управления шинными формирова- телями, подключенными к шине ввода/вывода. В этом случае командные сигналы ввода выво- да не могут быть использованы для контроля над устройствами системной шины, так как нет арбитража между ведущими устройствами и у микропроцессора отсутствует доступ к управ- лению системной шиной. Применение этого режима работы позволяет исключить ожида- ние, когда микропроцессору необходим доступ к шиие ввода/вывода. Этот режим дает наи- больший выигрыш, когда устройства ввода/вы- вода работают только с одним микропроцессо- ром в многопроцессорной системе. Работа в этом режиме позволяет одному контроллеру шины управлять двумя независи- мыми шинами: шиной ввода'вывода и систем- ной шиной. Рис. 16.27. Структурная схема КР1810ВГ88 285
Таблица 16.24 Вывод Обозначение Тип вывода Функциональное назначение выводов 20 Ucc Напряжение питания 10 GND — Общий 19, 3, 18 ~S0—S2 Входы Сигналы состояния микропроцессора 2 CLK Вход Тактовый сигнал 6 AEN Вход Сигнал управления состоянием «выключено» командных выходов 1 IOB Вход Сигнал выбора режима работы с шиной ввода/вывода 15 CEN Вход Сигнал управления состоянием командных выходов и контрольных выходов DEN и PDEN' 5 ALE Выход Стробирующий сигнал записи адреса 4 DT/R Выход Сигнал управления работой шинных формирователей 16 DEN Выход Сигнал управления состоянием «выключено» шинных формирователей, включенных между местной и систем- ной или резидентной шнной !7 MCE PDEN Выход Сигнал управления считыванием каскадного адреса с шины данных, выставляемого ведущим устройством,'сиг- нал управления состоянием «выключено» шинных фор- мирователей, включенных между местной шиной и ши- ной ввода'вывода 12 A IOWC Выход Опережающий командный сигнал записи в устройство ввода/вывода И iowc Выход Командный сигнал записи в устройство ввода/вывода 13 IORC Выход Командный сигнал считывания из устройства ввода вы- вода 8 AMWC Выход Опережающий командный сигнал записи в память 9 MWTC Выход Командный сигнал записи в память 7 MRDC Выход Командный сигнал считывания из памяти 14 INTA* К.: ход Сигнал подтверждения прерывания В режим работы с системной шиной кон- троллер шины переходит при наличии на входе ЮВ напряжения низкого уровня. В этом ре- жиме микропроцессор может получить доступ к управлению шиной посредством контролле- ра шины после арбитража, проводимого ар- битром шины. В случае предоставления досту- па микропроцессора к шине контроллер шины формирует командные сигналы по истечении не менее 115 и не более 200 нс после поступ- ления сигнала AEN. Этот режим применяется когда устройства ввода/вывода н память рабо- тают с несколькими микропроцессорами в многопроцессорной системе. Сигналы, формируемые генератором ко- мандных сигналов, используются для управле- ния внешними устройствами, подключенными к системной шине нлн к шине ввода/вывода. Назначение командных выходов следую- щее: MRDC— считывание данных из памяти; MWTC— запись данных в память, IORC— считывание данных нз устройства; IOWC — за- пись данных в устройства; AMWC — опере- жающая запись данных в память; AIOWC — опережающая запись данных в устройство; INTA — подтверждение прерывания. Команды опережающей записи применяют- ся для включения процесса записи в начале машинного цикла. Эти команды могут быть использованы для исключения необоснованно- го процесса ожидания процессора. Сигнал INTA формируется во время цикла прерывания. Он предназначен для информиро- вания устройства прерывания о том, что имеет место запрос на прерывание и что вектор пре- рывания выдан на шину данных. С помощью входного сигнала CEN можно управлять состоянием командных сигналов. Если иа входе CEN — напряжение высокого уровня, то командные сигналы формируются в соответствии с табл. 16.25. Если на входе CEN — напряжение низкого уровня, то ко- мандные выходы переходят в пассивное со- стояние (высокий уровень). Эта особенность может быть использована в режиме работы с системной и резидентной шинами для внедре- 286
Таблица 16.25 ния секционирования памяти и исключения адресного конфликта между устройствами си- стемной и резидентной шин. Сигналы, формируемые генератором кон- трольных сигналов, используются для управле- ния шинными формирователями и адресными регистрами. Сигнал высокого уровня на выходе DEN указывает, что может быть произведен обмен данными между системной шиной и местной шиной микропроцессора. Этот сигнал подается через инвертор иа вход разрешения передачи. Направление передачи данных (обмена) между местной и системной шинами опреде- ляется сигналом О7//?._При сигнале высокого уровня на выходе DT/R происходит передача данных на системную шину, а при низком — на местную шину микропроцессора. Сигнал на выходе MCEJPDEN имеет два назначения, в зависимости от режима работы контроллера. В режиме работы с шиной вво- да/вывода (7ОВ=1) сигнал PDEN аналогичен сигналу иа выходе DEN, но управляет шин- ными формирователями, подключенными к ши- не ввода/вывода. В режиме работы с системной шиной (7ОВ=0) сигнал MCE используется во время I/ Сигналы на входах Команда Состояние микропроцессора 52 5/ so 0 0 0 INTA Подтверждение прерыва- ния 0 0 1 IORC Считывание из устройст- ва ввода/вывода 0 1 0 IOWC, AIOWC Запись в устройства вво- да/вывода 0 1 1 — Ожидание 1 0 0 MRDC Код вызова, код обраще- ния 1 0 1 MRDC Считывание из памяти 1 1 0 MWTC, AMWC Запись в память 1 1 1 — Нет операций ТЧ Г2 ТЗ Т1 CLK tr/CUM-S.HlJ fsy(CLUH-S^ ) tvlCLK,Hl-S^l) tsU(CLK,Hl-S,Ul) t typist! АВ0-/Ш15 (mteioiMSS) ALC мт.ттг, AlHW mm,iowc уМновка адреса Установка записи данных 'pPHl(CU<,LH-iu) tpHL(CUt,HL-pOHM) t t tjui(CLK,HL-CMMj t л—, Рис. 16.28. Временные диа- граммы работы контроллера КР1810ВГ88. Фронты сигналов ALE и MCE определяются срезом сигнала CLK или пере- ходом в активный (низкий) уровень последнего из сигна- лов состояния SO, SI, S2. От- счет параметров tv (tsu) про- изводится по первому (послед- нему) фронту или срезу сигна- лов состояния SO, SI, S2. Уро- вень отсчета всех параметров + 1,5 В ВЫ (при считы- вании и прерыдании) РВЫ (при сайты- дании и прерыдании) ВЫ (при записи) РВЫ (при записи) tnHicLK-lM £ t fPHLfCLK-lln) t £ tgLH (CLK-PBCH) t tpLHlCLK-PCN) tPHlIClK-DCH) TepltCLH-PlBH) t t BT/S (при сайты- —~ дании и прерыдании)------ MCI tnK(ciK,Ln-jT/if. t t tnn(CLK,HL-HCe^ £ tpHL(CUt,HL-MCl) t 287
Таблица 16.26 Параметр Обозкачение Значения параметров [макс. (мнн.)] Режим -измерения Выходное напряжение низкого уров- Uql 0,5 (7сс = 4,75 В, ня, В C/l = 0,8 В, (Ли = 2,0 В, для выводов CONTR* Iol— 16 мА, для выводов СОММ** Sol —32 мА Выходное напряжение высокого уров- Vqh (2,4) и с с = 4,75 В, ня, В (Ль = 0,8 В, (Ли = 2,0 В, для выводов CONTR 1 о н ~— 1 мА, для выводов СОММ 1он =—5 мА Входной ток низкого уровня, мА 1 IL —0,7 (Лс = 5,25 В, (7/t = 0,45 В Входной ток высокого уровня, мА 1IH 0,05 (Лс = 5,25 В, (7/и = 5,25 В Выходной ток низкого уровня в со- !ozl —0,1 Ссс = 5,25 В, стоянии «выключено», мА f/ob = 0,4b В Выходной ток высокого уровня в со- !OZH 0,1 (Лс = 5,25 В, стоянии «выключено», мА О он = 0,20 b Ток потребления, мА lcc 230 (Лс = 5,25 В, Ujl=<J В Время задержки распространения сигналов при включении относитель- но сигнала CLK, нс: Ucc— 3,0 В DTR tpHL (CLK, LH—DT/R) 50 Cl =80 пФ ALE tpHL (CLK, LH —ALE) 15 Сь = 80 пФ MCE tpHL (CLK,HL—MCE) 45 Cl = 80 пФ PDEN t PIIIACLK-PDEN) 45 Cl = 80 пФ DEN 'pHL (CLK—DEN) 45 Cl = 80 пФ COMM lPHL(CLK, HL—COMM) 35 Cl = 300 пФ Время задержки распространения сигналов при выключении относитель- но сигнала CLK, нс: (Усс = 5,0 В DT/R tpLH (CLK, IH —DT/R) 30 Cl = 80 пФ ALE lPLH(CLK, HL-ALE) 20 Сь = 80 пФ MCE t PLH(CLK,HL-MCE) 45 Cl = 80 пФ PDEN I PLH(CLK-PDEN) 45 Cl = 80 пФ DEN t PLH(CLK-DEN) 45 Cl =80 пФ COMM ipLH(CLK, HL —COMM) 35 Cl = 300 пФ • Знаком CONTR обозначены контрольные выходы DT/R, ALE, DEN, MCE/PDEN. •* Знаком СОММ обозначены командные выходы AIOWC, AMWC, IOWC, MWTC, MRDC, IORC, INTA. 288
Таблица J 6.27 Параметр Обозначение Значения парамет- ров мин . Период следования импульсов тактового сигнала CLK, нс TCLK 125 Длительность сигнала низкого уровня на входе CLK., нс ^L. CLK 66 Длительность сигнала высокого уровня иа входе CLK, нс hvH . CLK 40 Время установления сигналов SO, Si, S2 при переходе их из состояния высокого уровня в состояние низкого уровня относительно сигнала CLK при переходе его из состояния низкого уровня в состояние высокого уровня, нс ‘sHCLK. LH -S, HL) 35 Время сохранения сигналов SO, SI, S2 при переходе их из состояния высокого уровня в состояние низкого уровня относительно сигнала CLK при переходе его из состояния низкого уровня в состояние высокого уровня, нс (V(CLK, LH-S, HL) 10 Время установления сигналов SO, SI, S2 при переходе их из состояния низкого уровня в состояние высокого уровня относительно сигнала CLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс ^SU(CLK, HL-S, LH) 35 Время сохранения сигналов SO, SI, S2 при переходе их из состояния низкого уровня в состояние высокого уровня относительно сигнала CLK при переходе его из состояния высокого уровня в состояние низкого уровня, нс tyiCLK, HL-S, LH) 10 цикла подтверждения прерывания (INTA) В процессе любого прерывания имеют место два цикла подтверждения прерывания, кото- рые следуют друг за другом. Во время первого цикла прерывания нет передачи адреса или данных. При этом обеспе- чивается маскирование сигнала MCE. Перед началом следующего цикла сигнал MCE пере- дает каскадный адрес ведущего устройства прерывания на местную шину микропроцессо- ра, где по сигналу ALE он записывается в ад- ресный регистр. По окончании второго цикла прерывания адресуемый контроллер прерывания выставля- ет вектор прерывания на системную шину, от- куда он считывается микропроцессором. Если система имеет только один контроллер преры- вания, то сигнал MCE не используется. В этом случае второй сигнал подтверждения прерыва- ния выставляет вектор прерывания на мест- ную шину. Сигнал ва выходе ALE формируется в каж- iom машинном цикле и служит для стробиро- вания (записи) адреса в адресный регистр. Схемы включения контроллера в составе микро-ЭВМ на базе микропроцессора КМ1810ВМ86 приведены на рис. 16.23—16.25. На рнс. 16.23 показан пример работы кон- троллера в режиме с системной шиной (IOB — = 0), на рис. 16.24 — в режиме работы с ши- ной ввода/вывода (1ОВ=\), а на рис. 16.25 — в режиме работы с системной и резидентной шинами. 10 Дак 915 Временные диаграммы работы контроллера для различных состояний микропроцессора приведены на рис. 16.28. В период Т1 произ- водится запись адреса в адресные регистры и изменение направления передачи данных. В периоды Т2 и ТЗ формируются команд- ные сигналы на считывание или запись инфор- мации, а также контрольные сигналы управ- ления состоянием «выключено» шинных фор- мирователей. Основные электрические параметры микро- схемы приведены в табл. 16.26, предельно до- пустимые и предельные значения режимов экс- плуатации— в табл. 16.22, временные пара- метры, рекомендуемые для эксплуатации, — в табл. 16.27. 16.5. Микросхема КР1810ГФ84 Микросхема КР1810ГФ84 представляет со- бой тактовый генератор и задающее устройст- во для микропроцессора КМ1810ВМ86. Ее назначение — формирование тактовых сигна- лов для микропроцессора и периферийных устройств, а также сигналов «Установка» и «Готовность». Условное графическое обозначение микро- схемы приведено на рис. 16.29, назначение вы- водов— в табл. 16.28, функциональная схема показана на рис. 16.30. Микросхема состоит из следующих функ- циональных узлов: задающего мультивибра- тора, делителей на 2 и на 3, формирователя 289
Таблица 16.28 п 16 1 is : :Х/ СХ2 {TANK 6 OSC CLK t 12 a /3 ~7Р 1 EFI CSYNC PCLK 2 11 RESEI 10 4 5 KLTY1 )A6N1 К6Ш 5 L ia 7 KDY2. >A6N2 UCC'. бШГ. Рис. 16.29. Условное гра- фическое обозначение КР1810ГФ84 Вывод Обозкачение Thh вывода Функциональное на значение выводов 1 CSYNC Вход Синхронизация 2 PCLK Выход Периферийный тактовый сигнал ТТЛ 3 AEN1 Вход Адрес готовности шины 1 4 RDY1 Вход Сигнал готовности шины 1 5 READY Выход Готовность 6 RDY 2 Вход Сигнал готовности шины 2 7 AEN2 Вход Адрес готовности шины 2 8 CLK Выход Тактовый сигнал МОП 9 GND — Общий 10 RESET Выход Установка 11 ~RES Вход Сигнал установки 12 OSC Выход Мультивибратор 13 F/C Вход Выбор источника частоты 14 EFI Вход Внешняя частота 15 TANK — Вывод подключения LC-контура 16, 17 X2, Xl — Выводы подключения резонатора 18 Ucc — Напряжение питания тактового сигнала, схем синхронизации и вы- бора задающей частоты и схем формирования сигналов «Установка» и «Готовность». В качестве источника частоты в микросхе- ме используется кварцевый резонатор, подклю- чаемый к выводам XI и Х2 мультивибратора (при этом на входе F/С должен быть сигнал низкого уровня), либо внешний ТТЛ-сигнал, поступающий на вход EFI (на входе F/C— сигнал высокого уровня). Выбранный источник должен генерировать на трехкратной частоте тактового сигнала CLK, требуемой для микро- процессора. При использовании кварцевого резонатора возможна работа на его гармониках. В этом случае к выводу TANK подключается резо- нансный ГС-контур. Однако стабильность так- товой частоты обеспечивается только при ра- боте на основной частоте резонатора. Резона- тор следует располагать в непосредственной близости от выводов микросхемы XI и Х2 с обеспечением минимального сопротивления соединяющих проводников. Рекомендуемые ти- пы резонаторов: РГ-05, РК8, РК45ММ и дру- гие, имеющие металлический корпус н малые габаритные размеры. Последовательно с резо- натором подключается конденсатор неболь- шой емкости (5—25 пФ), подбираемый при точной настройке иа требуемую частоту муль- тивибратора. При использовании внешнего генератора скважность импульса сигнала EF1 должна быть близка к 2, частота- не более 25 МГц. В микросхеме КР1810ГФ84 имеется три ча- стотных выхода: OSC — мультивибратор, CLK - тактовый сигнал МОП н PCLK - пе- риферийный тактовый сигнал ТТЛ. Выход OSC может подключаться к другим генераторам КР1810ГФ84 в качестве источни- ка внешней частоты. Сигнал OSC использует- ся также для тактирования схемы управления динамическим ОЗУ. Сигнал OSC инвертирован по отношению к сигналу мультивибратора, уп- равляющему тактовым сигналом CLK. Скваж- ность импульса OSC равна 2. Тактовын сигнал CLK образуется путем де- ления на три частоты мультивибратора или EFI Скважность импульса CLK равна 3, амплитуда около 4,5 В (при Ucc = 5,0 В), дли- тельность фронта (среза) менее 10 нс. Пере- численные параметры тактового сигнала необ- ходимы для эффективного управления микро- процессором, другими МОП- н ТТЛ-устройст- 290
Таблица 16.29 Параметр Обозначение Значения параметров Режим измерения мнн макс. Статические параметры Выходное напряжение низ- Uql 0,45 Ucc = 4,75 В, кого уровня, В Выходное напряжение вы- сокого уровня, В: на выходе CLK UОН, CLK • 4,0 £/zz. = 0,6 Б, Um=2,0 В (2,6 В на входе RES), Io l = 5 мА Ucc = 4,75 В, £/zz.=0,8 В, на остальных выходах ион 2,4 — £/zh = 2,0 В, 1он ——1 мА Входной ток низкого уров- 1IL — -0,5 UCc = 5,25 В, ня, мА Входной ток высокого уров- 1IH — 50 £/zz.=0,45 В £/сс = 5,25 В, ня, мкА Ток потребления, мА !сс — 140 Um = 5,25 В £/00 = 5,25 В Разность пороговых напря- UTH, ~RES — UTL, RES 0,25 — UCc = 5,0 В жений высокого и низкого уровней на входе RES, В Динамические параметры * Длительность сигнала высо- *№Н, CLK 1 Угсдк + 2’0 2 — Т„, „ — 15.0 кого уровня на выходе СЕА, нс Длительность сигнала низ- lWL, CLK — кого уровня на выходе СЕА, нс Длительность фронта (сре- за) выходного импульса, нс; на выходе CLK. {TLH, CLK^THL, CLK) 3 ‘ CLK ’ 10(10) Между уровня- иа остальных выходах ‘tlh^thl) — 20(12) мн 1,0 и 3,5 В (3,5 н 1,0 В) Между уровня- Длительность сигнала высо- t^H, PCLK ^CLK~ 20 — ми 0,8 и 2,0 В (2,0 и 0,8 В) кого уровня на выходе PCLK, нс Длительность сигнала ннз- lWL, PCLK Тг, к—20 — кого уровня на выходе PCLK, нс Время установления сигна- tsU(CLK, HL—READY, HL) —8** — ла READY при переходе его из состояния высокого уровня в состояние низкого уровня относительно сигна- ла CLK прн переходе его из состояния высокого уров- ня в состояние низкого уровня, нс / * При С/сс-5,0 В; /?z,=820 Ом; Cl, clk—100 пФ (для выхода CLK)-,Cl=30 пФ (для остальных вы- ходов). Уровень отсчета динамических параметров (где не указано особо) составляет + 1,5 В. ** Только для такта Т2 машинного цикла (не более 8 нс от начала ТЗ). 10* 291
Продолжение табл. 16.29 Параметр Обозначение Значения парамет мнн. ров макс. Режим измерения Время установления сигна- ла READY прн переходе его из состояния низкого уров- ня в состояние высокого уровня относительно сигна- ла CLK при переходе его из состояния низкого уров- ня в состояние высокого уровня, нс Время задержки распро- странения сигнала RESET относительно сигнала CLK, нс Время задержки распро- странения сигнала PCLK при переходе его из состоя- ния низкого уровня в со- стояние высокого уровня относительно сигнала CLK, нс Время задержки распро- странения сигнала PCLK прн переходе его из состоя- ния высокого уровня в со- стояние низкого уровия от- носительно сигнала CLK, нс Время задержки распро- странения сигнала CLK прн переходе его из состоя- ния низкого уровня в со- стояние высокого уровня относительно сигнала OSC, нс Время задержки распро- странения сигнала CLK при переходе его из состоя- ния высокого уровня в со- стояние низкого уровня от- носительно сигнала OSC, нс ! SU(CLK, LH—READY, LH) 'p)CLK. -RESET) lp(CLK—PCLK, LH) lP(CLK-PC, LK, HL) tp(OSC—CLK. LH) ‘pIVSC-CLK.. HI.) 2 — Tclk — 15*** —5 2 40 22 22 12 20 — Примечание. Знак «минус» перед значением тока характеризует его направление (нз микросхемы). Знак «минус» перед шаченнем временных параметров означает обратную последовательность сигналов. *** Только для тактов 13 и 7’W машинною цикла вами, непосредственно соединенными с мест- ной процессорной шиной. Периферийный тактовый сигнал PCLK об- разуется путем деления на 2 частоты сигнала CLK. Он предназначен для тактирования раз- личных периферийных устройств, работающих на уровнях сигнала ТТЛ. Скважность им- пульса PCLK равна 2. Схема синхронизации предназначена для совместной работы нескольких 1енераторон КР1810ГФ84 либо для синхронизации генера- тора с внешним источником частоты. Если на входе CSYNC — сигнал высокого уровня, то делители на 2 и на 3 выключены и иа выходах 292 CLK и PCLK — сигнал высокого уровня. Если на входе CSYNC— сигнал низкого уровня, то на выходах CLK и PCLK происходит генери- рование с частотами, соответственно в 3 и 6 раз меньшими частоты OSC или EFI. Схема формирования сигнала «Установка» состоит нз триггера Шмидта и £> триггера, тактируемого отрицательным перепадом им- пульса CLK. Сигнал «Установка» на выходе RESET инвертируется по отношению к вход- ному сигналу RES. Повышенное пороговое на- пряжение высокого уровня иа входе RES обеспечивает необходимое время установки процессора.
Таблица 16.30 Параметр Обозначение Значения параметров мин . макс. Напряжение питания, В ^сс 4 ,75 5,25 Входное напряжение низкого уровня, В U.L — 0,8 Входное напряжение высокого уровня, В: для входа RES U1Н, RES 2,6 5,25 для остальных входов UIH 2,0 5,25 Выходной ток низкого уровня, мА ^OZ- — 5,0 Выходной ток высокого уровня, мА 1ОН — —1 ,0 Емкость нагрузки, пФ: выхода CLК CL, CLK — 100 остальных выходов CL — 30 Длительность фронта (среза) входного импуль- са, нс: для входа EFI t lh Uhl) — 5(5) для входа RES для остальных входов Длительность сигнала низкого (высокого) уровня 'WL, EF/Uwh. EFl) He огр 18(18)** эничена 20(12)* иа входе EFI, нс Частота генерирования иа выходе OSC, МГц fosc — 25 Частота следования импульсов на входе EFI, МГц Iefi 1 25 или 7 EFI > > 40 нс * Между уровнями 0,8 н 2,0 В (2,0 н 0,8 В). ** Уровень отсчета 1,5 В. Таблица 16.31 Параметр Обозначение Значения параметров [мнн. (макс .)] Период следования импульсов тактового сигнала CLK, нс ^CI.K 125(500) Время установления сигналов RDYl, RDY2 относительно tsUtCLK-RDY) 35 сигнала CLK., нс Время сохранения сигналов RDYl, RDY2 относительно сигнала CLK, нс 0 lV(CLK- RDY) Время установления сигналов AENi, AEN2 относительно сигналов RDYl, RDY2 соответственно, нс lSU(RDY -AES) 15 Время сохранения сигналов AENI, AEN2 относительно сиг- нала CLK, нс lV(CLK— AEN) 0 Время установления сигнала CSYNC относительно сигнала EFI, нс 18ЩЕР1 —CSYNC) 20 Время сохранения сигнала CSYNC относительно сигнала EFI, нс *У(ЕР1 -CSYNC) 20 Длительность сигнала высокого уровня на входе CSYNC, нс '\VH. CSYS'C 2Tef! Время установления сигнала RES относительно сигнала CLK, нс 1 SO (CLK RES) 65* Время сохранения сигнала RES относительно сигнала CLK, lV (CLK-RES) 20* нс Прнмеча нне. Уровень отсчета всех параметров 1.5 В. * Только для формирования сигнала RESET на следующем такте. 293
Схема формирования сигнала «Готовность» содержит логическую схему и D-триггер, так- же тактируемый отрицательным перепадом импульса CLK. Сигналы RDY, поступающие от двух системных шнн, являются сообщением о наличии информации и подтверждаются со- ответствующими сигналами АЕМ. Готовность системы осуществляется синхронно с такто- вым сигналом. Временная диаграмма работы микросхемы КР1810ГФ84 приведена на рис. 16.31, основ- ные параметры даны в табл. 16.29, предельно допустимые электрические режимы эксплуата- ции — в табл. 16.30, предельные значения ре- жимов эксплуатации — в табл. 16.22. В табл. 16.31 указаны временные параметры, рекомендуемые для эксплуатации н обеспечи- вающие устойчивую работу системы в целом. Примеры использования КР1810ГФ84 приведены на которых показаны формирования сигнала боте с одной и двумя Сигнал подтверждения , ХАСК является для микросхемы КР1810ГФ84 сигналом готовности шины RDY, а сигнал раз- решения доступа к системной шине, поступаю- щий от арбитра КР1810ВБ89,— адресом готов- ности АЕМ. Установка системы в исходное состояние может осуществляться при включении питания OSC- CLK PCLK RBY1,------- ROYZ tfJKC-ClK, п) S {suIclk-ш), triH.ClK r| tp(ClK*CUw8 A iJh(CLK-Kil) ________TCLKV tPlCLK-PCLK.HL^ tWL.PCLK~ Ш, —- — ______ zn А микросхемы на рнс. 16.23—16.25, различные варианты «Готовность» при ра- системными шинами, передачи данных КЕШ t (Efl-CSYNC) CSYNC -f RES RESET ^WH, CSYNC, tsU(CLK,Ut-HAtY.W tfcU ICfl-CSYNC) •* tsu(UK-na) tp(CLK-HUtT) 4____ Рис. 16.31. Временная диаграмма работы гене- ратора КР1810ГФ84 Рис. 16.32. Схема установки микро- процессора и микропроцессорной си- стемы в исходное состояние с по- мощью микросхемы КР1810ГФ84 с помощью простой /?С-цепи, подключаемой к входу RES, либо при нажатии кнопки в дис- танционной схеме управления, изображенной на рнс. 16.32. 16.6. Рекомендации по применению Микропроцессорную систему на основе мик- росхем серии КМ18Ю реализуют по модульно- му принципу. В зависимости от требований, предъявляе- мых пользователем к системе, микропроцессор КМ1810ВМ86 включается в одном из двух ре- жимов: минимальном или максимальном, что и определяет состав микропроцессорной систе- мы. 294 В небольших однопроцессорных высокопро- изводительных системах, состоящих из не- скольких устройств, используют минимальный режим. В сложных одно- или многопроцессор- ных высокопроизводительных 8- или 16-раз- рядных системах и при объединении несколь- ких вычислительных устройств в одну мощную вычислительную систему с общими ресурса- ми ЗУ и УВВ используют максимальный ре- жим. В общем случае микропроцессорная систе- ма состоит нз центрального процессора КМ1810ВМ86 с необходимым для него комп- лектом микросхем серий КМ1810 и КР580, микросхем памяти (ПЗУ, ППЗУ, ОЗУ) н УВВ. Состав микропроцессорной системы, число уровней буферных схем (один, два илн более),
Таблица 16.32 разрядность обмена информацией (8 или 16 разрядов), число и тип микросхем ввода/вы- вода, объем памяти ПЗУ, ППЗУ, ОЗУ опреде- ляет пользователь. Варианты построения типо- вых микропроцессорных систем с микропроцес- сором в минимальном и максимальном режи- мах приведены на рнс. 16.4 и 16.5. В системе с микропроцессором КМ1810ВМ86 различают три канала: локаль- ный (мультиплексный канал адреса/данных — МП), к которому подключают ограниченное число ЗУ и УВВ; резидентный, состоящий из буферизованных каналов адреса, данных и управления, распределенных на одной или двух платах с одним МП, с подключенными ЗУ и УВВ, доступными только одному процес- сору; системный, объединяющий одну или не- сколько процессорных систем в многопроцес- сорную с общими системными ресурсами. Ар- битраж доступа к системным ресурсам осуще- ствляют микросхемы КР1810ВБ89, устанавли- ваемые в каждом процессорном модуле. Чис- ло буферных схем адреса и данных для сопря- жения с системным каналом определяется раз- рядностью адреса и данных процессорного мо- дуля. Системный канал позволяет наращивать и модернизировать систему, подключая новые процессорные модули. Построение системы с тремя каналами обес- печивает работоспособность каждого процес- сорного модуля по резидентному каналу с соб- ственными ЗУ и УВВ и прн необходимости — обращения к системным ресурсам. В любой си- стеме буферная схема адреса организована на трех микросхемах КР580ИР82 или КР580ИР83, которые фиксируют 20-разрядный адрес с мультиплексного канала микропроцессора. Канал данных, подобно каналу данных в системе на базе КР580ВМ80А, позволяет осу- ществлять три типа обмена информацией: про- граммный обмен, обмен в режиме прямого до- ступа к памяти и обмен в режиме прерывания программы. Параметр Обозначение Значения параметров мнн . макс . Напряжение питания на выводе Ucc отно- сительно вывода GND В ^сс —0,5 7,0 Напряжение на вхо- дах относительно вы- вода GND, В -0,5 7,0 Выходной ток, мА 1он — 1 <ь,он ZOL — 1.5/ol Емкость нагрузки, пФ cL — 500 Примечания: 1. Значения тока 1он, Iol приведены в таблицах Параметров на каждую микро- схему. 2. Время действия приведенных значений не бо- лее 5 мс. Микросхемы ЗУ н УВВ подключают к кана- лам адреса, данных и управления аналогично микропроцессорной системе на базе КР580ВМ80А. Тип микросхем УВВ выбирают нз комплекта микросхем серии КР580 (кроме КР580ВН59). Узлы системы, не содержащие микросхемы серий КМ1810 и КР580, рекомендуется строить на микросхемах серий К531, К155, К555 и дру- гих, обеспечивающих стыковку по электриче- ским и временным параметрам. Для увеличения помехозащищенности и быстродействия системы необходимо прини- мать меры, описанные в § 3.15. Предельные электрические режимы эксплу- атации микросхем серии КМ1810 приведены в табл. 16.32. Глава 17 Микропроцессорный комплект серии К1811 Микропроцессорный комплект микросхем серии К1811, выполненный по л-МДП-техно- логин, предназначен для построения высоко- производительных 16-разрядных мнкро-ЭВМ, программно совместимых с серией ЭВМ типа «Электрон и ка -60». В состав комплекта входят микросхемы, приведенные в табл. 17.1. Высокие технические характеристики и функциональные возможности МПК микросхем являются факторами, обеспечивающими его эффективное использование для построения высокопроизводительных микро-ЭВМ, имею- щих самое широкое применение в различных областях народного хозяйства: управление производством и технологическими процесса- ми, сбор и обработка данных, решение научно- технических и экономико-статистических задач, проведение инженерно-конструкторских расче- тов, моделирование и управление объектами в реальном масштабе времени и др. Общие для всех микросхем комплекта ста- тические параметры приведены в табл. 17.2, динамические — в табл. 17.3. 295
Таблица 171 Т нп микро схемы Функциональное назначение Тнп корпуса КН1811ВМ1 Обработка данных Н13 40-1 КН1811ВУ1 Управление работой центрального процес- Н13 40-1 КМ1811ВТ1 Диспетчер памяти 2123 40 6 КН1811ВУ2 Реализация операций с плавающей запятой Н13 40-1 КН1811ВУЗ Микропрограммное управление микро ЭВМ с расширенным набором команд Н13 40 1 Общие характеристики Разрядность обрабатыва емых данных Разрядность АЛУ, регист ров общего назначения и 8, 16, 32, 64 бит шины данных 16 бит Разрядность шины адреса 22 бит Шина адреса и данных Совмещенная Объем адресуемой памяти 4 Мбайт Способ управления Микропрограмм ный Число микрокоманд Базовый набор типов 83 команд Число команд с плавающей 89 запятой 46 Число типов команд 135 Число способов адресации Число регистров общего на 12 значения Число программно доступ ных регистров общего на 18 значения 10 Система прерываний Приоритетная многоуровневая векторная Число уровней прерывания Время выполнения команды сложения «Регистр — ре 4 гистр» Время выполнения коман ды сложения «Регистр — 1 72 мкс память» Время выполнения команды 2 84 мкс умножения Возможность изменения и наращивания системы 25 85 мкс команд Имеется Программная совместимость С микро ЭВМ «Электроника 60» Электрические параметры Номинальные значения на пряжений источников пита ния +5 В, 4-12 В Допустимые отклонения питающих напряжений от номинальных значений ±5% Максимальная потребля емая мощность для каждой микросхемы комплекта в отдельности 0,8 Вт Время цикла 290 нс Совместимость по входным и выходным уровням С ТТЛ схемами Таблица 172 Параметр Обозна чение Значения параметров мин макс Напряжение источни- ^СС1 4 75 5 25 ка питания, В ^СС2 11,4 12,6 Емкость входа такто вого сигнала, пФ Сс — 60* Емкость входов, пФ с1 — 15* Емкость входов/вы ходов, пФ С ю — 25* Входное напряжение низкого уровня так товых сигналов, В 1CL 0 6 Входное напряжение высокого уровня так товых сигналов, В У 1сн 10,8 Входное напряжение низкого уровня по ШАД В U1L D 0,8 Входное напряжение высокого уровня по ШАД. В U1Н D 2,4 Входное напряжение низкого сровня по ШМК В U IL М 0,8 Входное напряжение высокого уровня по ШМК В U1Н М 3,4 * Прн Т = -t- 25°С Таблица 173 Пара метр Обозна ченне Макси мальные значения параметров Длительность тактового сигнала высокого уров ня, нс базовый цикл (режим) цикл ввода/вывода и преобразования адреса тс// 145 215 296
П родолжение Параметр Обозна- чение Макси- мальные значения параметров Длительность тактового сигнала низкого уровня, нс: TCL базовый цикл (режим) и цикл ввода/вывода 145 режим преобразования адреса 290 Время перехода тактово- го сигнала из состояния низкого уровня в состоя- ние высокого уровня, НС ZC, LH 20 Время перехода тактово- го сигнала из состояния высокого уровня в со- стояние низкого уровня, НС fC. HL 20 17.1. Микросхема КН1811ВМ1 Микросхема КН1811ВМ1 представляет со- бой центральный процессорный элемент, вы- полняющий арифметические и логические опе- рации над 16-разрядными операндами. Условное графическое обозначение микро- схемы КН1811ВМ1 приведено на рис. 17.1, назначение выводов — в табл. 17.4, структур- ная схема показана на рис. 17.2, временная диаграмма входных и выходных сигналов — на рис. 17.3. В состав микросхемы входят: 16-разрядное арифметико-логическое устройство (АЛУ); блок регистров общего назначения (РОН); мультиплексор регистровой записи; мульти- плексор н дешифратор адреса РОН; регистр системных команд (РСК); регистр микро- команд (РМК); регистр нанокоманд (РНК); схема анализа ветвления; дешифратор микро- команд; схема управления; схема выбора банка. Микросхема имеет два 16-разрядных дву- направленных информационных канала: шину адреса и данных (ШАД) D15—DO и шину микроканала (ШМК) MI5—МО. По ШМК при низком уровне тактового сиг- нала тсь поступает микрокоманда MNS, кото- рая принимается микросхемой. Входы/выходы ШАД в это время находятся в высокоомном (3-м) состоянии. При высоком уровне тактового сигнала ten в ШМК осуществляется вывод нанокомаиды NNS, которая удерживается в ШМК до при- хода очередной микрокоманды. По ШАД в это время может происходить ввод или вывод ин- формации. Информация, поступающая по ШАД, зано- сится в блок РОН, который предназначен для временного хранения информации и ее опера- тивной передачи в АЛУ и внешним схемам. Регистровый блок состоит из 18 16-разрядных регистров. Десять регистров программно-до- Таблица 17.4 Вывод Обозна- чение Тнп вывода Функциональное назначение выводов 1 GU В^1ход Напряжение внутреннего гене- 2 С Вход ратора смещения подложки Тактовые импульсы 3—18 D0—D15 Вход/выход Совмещенная шнна адреса и 19 BS Вход'выход данных Сигнал «Выбор ВУ». Входной 20 GND сигнал поступает в случае ад- ресования к старшим 8К байт адресного пространства. Вы- ходной сигнал разрешает об- ращение к диспетчеру памяти Общий (шина «земля») 21 ^СС2 - Напряжение питания +12 В 23 ~Ег Вход Установка выходов в высоко- 24—39 М0—М15 Вход'выход омное состояние Шина микрокоманд 40 ^cci Напряжение питания +5 В Рис. 17.1. Условное графическое обозначение КН1811ВМ1 Л6$ CPU F* 3 ПО МО 24 4 О! Mf 25 5 02 м2 26 6 05 м3 27 7 04 мч 28 а 05 т 23 ОБ МБ 30 10 07 М7 31 н Ов Мв 32 12 ОЗ ИЗ 33 13 ОЮ ИЮ 34 /4 ЯН ffii 35 15 012 М12 36 16 D13 Mf3 37 п О1Ч т 38 18 015 М13^ 33 19 23 >£Z 2 С ЦК Чт 21. Ч«2 ;ои 20 у 'ОНО 297
Рис. 17.2. Структурная схема КН1811ВМ1. Вывод 22 не задействован ступны. К ним относятся регистры общего наз- начения, регистр—указатель стека системного режима, регистр—указатель стека режима пользователя и программный счетчик. Семь регистров используются в качестве временной оперативной памяти для хранения информа- ции прн выполнении сложных микропрограмм- ных операций. Один регистр используется только для хранения слова состояния процес- сора. Регистровый блок имеет двухканальную (двухпортовую) структуру. Порт В предназна- чен только для чтения, а порт А может ис- пользоваться как для записи, так и для чте- ния информации. Такая структура регистрово- го блока позволяет иметь минимум регистров, необходимых для эмуляции системных команд, и сократить время выполнения команд. ПортД регистрового блока связан с магистральной ШАД. Через эту шнну осуществляется переда- ча данных, хранящихся в регистровом блоке, Рис. 17.3. Временные диаграммы входных и выходных сигналов микросхемы КН1811ВМ1: а — базовый цикл; б — цикл ввода/вывода 298
внешним схемам, АЛУ, а также загрузка про- граммно-доступных регистров и регистра си- стемных команд. Вывод информации из реги- стрового блока происходит прн высоком уров- не напряжения тактового сигнала, запись ин- формации— при низком уровне. На входы АЛУ информация поступает из блока РОН и регистра микрокоманд. Блок АЛУ выполняет арифметические опе- рации над двоичными и двоично-десятичными числами, логические операции, операции цик- лического и арифметического сдвигов вправо/ влево, пересылки байтов и слов, проверки и установки бит; АЛУ имеет 16-разрядную структуру. Каждый разряд АЛУ состоит из универ- сального программируемого логического моду- ля, полусумматора^ логики поразрядного сдви- га, логики переноса в старший и младший разряды, выходных формирователей. Кроме того, в состав АЛУ входит логический блок преобразования данных и аппаратного нара- щивания адреса. Информация, подлежащая обработке, поступает в АЛУ по шинам порта А и порта В регистрового блока. Результат операции записывается в регистр по адресу порта А. В течение одного тактового цикла (290 нс) АЛУ производит операцию и помеща- ет результат в регистровый блок. В качестве входной информации для АЛУ может быть содержимое двух регистров при регистровой микрокоманде, одного регистра и литерала (вид данных, содержащийся в микрокоманде) для литеральных микрокоманд. Литерал по- ступает на входы АЛУ по шинам порта В младшего байта блока РОН. АЛУ всегда опе- рирует 16-разрядными словами. В случае бай- товых операций содержимое одного из байтов восстанавливается. В процессе выполнения любой микрооперации входная информация АЛУ, поступающая по шинам порта А, выда- ется в ШАД. Выходная информация АЛУ пе- редается в блок РОН с помощью мультиплек- сора регистровой записи, который управляет обменом информацией между АЛУ, блоком РОН и ШАД. Обращение к регистрам общего назначения может осуществляться микропрограммным и программным способами. Организацию обра- щения к регистровому блоку осуществляют блоки: дешифратор адреса РОН, мультиплек- сор адреса РОН, регистр состояния, регистр системных команд. В случае обращения к регистровому блоку микропрограммным способом адрес регистров по порту А и порту В выбирается из адресно- го поля текущей микрокоманды. Поле разря- дов 0—3 (поле А) служит адресом регистра порта А, поле разрядов 4—7 (поле В) служит адресом регистра порта В для двухадресных микрокоманд. При использовании одноадрес- ной микрокоманды обращение осуществляется только по полю А (разряды 0—3) микроко- манды; при этом по полю В включается за- щита по обращению. При обращении к регистровому блоку про- граммным путем в поле адреса порта А н пор- та В в микрокоманде устанавливается признак Таблица 17.5 Параметр Обозначение Значения параметров Режим измерения мни. макс . Ток потребления от источника Ucci, мА Icci __ 90 £/cci = 5,25 В, Ucc2~ 12,6 В Ток потребления от нсточинка Ucci, мА 1сС2 — 41 (/cci = 5,25 В, £/сс2=12,6 В Выходное напряжение низкого уровня по вы- водам ШАД, В U OL, D — 0,5 /оь = 1,5 мА Выходное напряжение высокого уровня по выводам ШАД, В UoH, D 2,4 — 1 он = 0,2 мА Выходное напряжение низкого уровня по вы- водам шмк, В U OL, М — 0,5 /от, — 2,6 мА Выгодное напряжение высокого уровня по вы- водам шмк, в Uoh, м 3,5 — 1 он~0,2 мА Выходное напряжение низкого уровня по вы- воду BS, В UOL, BS — 0,5 7оь = 1,5 мА Выходное напряжение высокого уровня по вы- ходу BS, В UОН, BS 3,4 — /он = и,2 мА Входное напряжение низкого уровня по выво- ду BS, В UIL, ВВ 0,8 — Входное напряжение высокого уровня по вы- воду BS, В UlH. BS 2,4 299
Таблица 176 Параметр Обозначение Значении параметров мнн макс Время сохранения сигнала микрокоманды, ис tfUMNS — C, LH} 20 50 Время установления сигнала микрокоманды, нс 18и(М\5-С, HL} 50 НО Время задержки сигнала нанокоманды, нс 1О(\Н8—С, LH} — 95 Время задержки сигнала выходных данных, нс htDO-C, LH} — 110* 185** Время установления сигнала входных данных, нс tsLHDl — C, LH} — 75 * Базовый цикл ** Цикл ввода/вывода программного обращения Адрес регистра в атом случае выбирается из регистра системных команд (адресное поле системной команды) При обращении к регистрам-указателям стека, имеющим один н тот же микропрограм мный и программный адрес, используется до- полнительный адрес регистра состояния Подключение входов дешифратора адреса к соответствующим шинам регистра систем- ных команд или регистра микрокоманд осуще- ствляется посредством мультиплексора адреса РОН Управление производится полем адреса микрокоманды В процессе выполнения арифметических, логических операций, операций сдвига, пере сылки, сравнения и проверки бит результат операций анализируется логикой и при полу- чении переполнения, нулевого результата, пе- реноса, отрицательного результата выдается информация для записи в соответствующий разряд регистра состояния Регистр состояний (на структурной схеме рис 17 2 условно выделен из блока РОН) вы- полняет функции хранения и оперативной вы- дачи информации слова состояния процессора логике внутреннего управления работой мик- росхемы КН1811ВМ1, внешним схемам и дру- гим микросхемам комплекта Кроме перечис- ленных данных регистр состояний хранит так же информацию о режиме работы системы (текущем и предыдущем) Анализ слова состояния и текущей коман- ды осуществляет схема анализа ветвления, вырабатывающая соответствующие сигналы для дешифратора микрокоманд и управляю- щей логики Регистры системных команд, микрокоманд н нанокоманд обеспечивают хранение и опе- ративную выдачу соответствующей информа- ции Под нанокомандой понимается 16-раз- рядное слово, выводимое в ШМК для управ- ления другими микросхемами МПК, а также внешними устройствами Схема выбора внеш 300 него устройства обеспечивает формирование сигнала, характеризующего адресный диапа- зон работы микросхемы Выходной сигнал вы- рабатывается при использовании старших 8К байт из 64К байт памяти и управляет работой микросхемы КМ1811ВТ1 На временной диаграмме входных и вы- ходных сигналов (рис 17 3) показаны два ре- жима работы базовый цикл — режим выпол- нения всех микроопераций, кроме операций ввода/вывода информации и режима преобра зования адреса, цикл ввода вывода — режим ввода'вывода информации В режиме преобразования адреса прием сигнала по входу «Выбор ВУ» осуществляется при низком уровне тактового сигнала Статические параметры микросхемы КН1811ВМ1 приведены в табл 17 5, динамиче скне — в табл 17 6 17.2. Микросхемы КН1811ВУ1, КН1811ВУ2, КН1811ВУЗ Все три микросхемы предназначены для управления микросхемой КН1811ВМ1 Ус- ловное графическое обозначение микросхем приведено на рис 17 4, назначение выводов— в табл 17 7, структурная схема показана на рис 17 5 временная диаграмма входных и выходных сигналов — на рис 17 6 В состав микросхем входят 16-разрядный регистр данных ПЛМ (РД); 16-разрядный регистр микрокоманд (РМ), 9-разрядный ре- гистр адреса микрокоманд (РАМ); дешифра- тор нанокоманд (ДН), логика модификации ад реса микрокоманды (МА), логика ветвления микропрограммы (ВМ); логика приоритетов прерываний (ПП), логика выбора кристалла (ВК); программируется логическая матрица (ПЛМ) Микросхемы имеют две 16-разрядные ин- формационные шины шину адреса и данных
Таблица 17.7 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 GU Выход Напряжение внутреннего генератора смещения под- ложки 2 С Вход Тактовые импульсы 3—18 D0—D15 Вход Шина адреса и данных 19 Вход Установка выходов в высо- коомное состояние 20 GND Общий (шина «земля») 21 UcC2 — Напряжение питания +12 В 22 CS Выход Сигнал «Выбор кристалла». Вырабатывается при работе данной микросхемы 23 А Вход Сигнал «Сброс». Начальная установка адреса 24—39 М0—М15 Вход'выход Шина микрокоманд 40 U CCI Напряжение питания +5 В Рис. 17.4. Условное графическое обозначение КН1811ВУ1, КН1811ВУ2. КН1811ВУЗ не СМИ М J по МО < 24 4 D1 Mt < 25 5 DZ MZ< 6 лз мз< 27 7 Л4 М4< g D5 М5< }2Я я D6 М5( 30 to т М7> .31 и ля мы , 32 12 09 мя< , 33 13 то Mtot , 74 14 011 мГн )35. 15 D1Z 36 16 013 М13< > 37 /7 М 36 16 OtS М(5( 30 22 19, ifZ CS &.< 23 2 W. 2t 1 20 •Г" /? С c&ccl uaz :61Г [6/07 Рис. 17.5. Структурная схема КН1811ВУ1, КН1811ВУ2, КН1811ВУЗ 301
Рис. 17.6. Временная диаграмма входных и выходных сигналов КН1811ВУ1, КН1811ВУ2, КН1811ВУЗ . ДО—Д15 (ШАД) и шину микрокоманд M0 — MI5 (ШМК). При низком уровне тактового сигнала тег. с выходов микросхемы КН1811ВУ1 в ШМК поступает 16-разрядная микрокоманда MNS. По шине ШАД в это время в РД мо- гут поступать сигналы запросов прерываний INTRQ. При высоком уровне тактового сигнала тся по ШМК передается управляющая наио- команда NNS. Шестиразрядное поле NNS поступает иа ДН, а одноразрядное поле AWS — на логику ВМ. По ШАД в это время в РД может поступать команда NS или ин- формация о приоритетах прерываний из ре- гистра состояния КН1811ВМ1. Управляющая микропрограмма размещает- ся в ПЛМ объемом 15 800 бит. ПЛМ пред- ставляет собой сочетание собственно програм- мируемой логической матрицы объемом 133X32 разрядных слов и ПЗУ объемом 444X26 разрядных слов. Выборка микроко- манд из ПЛМ осуществляется под управле- нием команд (или данных о запросах преры- ваний), хранящихся в РД, н адресных полей микрокоманд, хранящихся в РАМ. Управляю- щее 16-разрядиое поле микрокоманды через мультиплексор и выходной формирователь выводится в IIIMK и поступает в РМ. Адрес- ное 9-разрядное поле микрокоманды через РАМ и мультиплексоры поступает по внут- ренней шине адреса на входной мильтиплек- сор ПЛМ и иа логику МА. Для управления 302 ветвлением микропрограммы адресное поле микрокоманды может быть изменено следую- щими четырьмя способами: разряды 0 — 3 определенных адресов из- меняются логикой МА под управлением дан- ных из РД; разряды 0 — 7 любого адреса заменяют данными из разрядов 0 — 7 РМ под управле- нием логики ВМ (условное ветвление); разряды 0 — 8 любого адреса генерируют- ся формирователем адреса МК в соответст- вии с данными в РД; разряды 0 — 8 адреса формируются в процессе выборки микрокоманды из програм- мируемой логической матрицы с помощью ло- гического сложения. Управление вводом данных в РД и ре- гистр ПП осуществляет дешифратор ианоко- манд. Ввод информации о запросах прерыва- ний в РД осуществляется автоматически в конце выполнения очередной команды прог- раммы. Прием сигналов 1NTRQ по входам D8-—D12 «маскируется» данными, находящи- мися в регистре ПП. К ШМК (в архитектурном максимуме МПК) может быть подключено до 16 микро- схем управления. В каждом конкретном так- товом цикле «активной», т. е. участвующей в работе МПК, является только одна из них; выходы остальных находятся в высокоомном состоянии. Передача управления от «актив- ной» микросхемы управления любой другой («пассивной») микросхеме управления осу- ществляется микрокомандами передачи уп- равления. Микрокоманды передачи управле- ния от «активной» микросхемы при низком уровне тактового сигнала принимаются всеми «пассивными» микросхемами управления в РМ. Логика ВК микросхемы, которой пере- дается управление, обеспечивает выбор старто- вого адреса микропрограммы, записанной в ее ПЛМ, и формирует сигнал С5 на выходе С5. Логика ВК микросхемы, которая передает уп- равление, переводит ее выходы МО — М15 в высокоомное состояние и формирует соответ- ствующий уровень сигнала С5 дайной мик- росхемы. Общее число реализуемых микрокоманд 83. Набор микрокоманд делится на специфи- ческие группы микрокоманд: арифметические, обеспечивающие выполне- ние операций над двоичными и двоичио-коди- роваиными десятичными числами — условное и безусловное сложение слов, байтов, условное и безусловное вычитание слов, байтов,сложе- ние и вычитание с переносом, без переноса и др.; логические — И, ИЛИ, ИЛИ — НЕ, исклю- чающие ИЛИ слов, байтов, очистка, расшире- ние или изменение знака, инвертирование слов, байтов и др.; регистровые — пересылка слов, байтов, признаков, перестановка слов, байтов и др.; литеральные — операции, где одним из опе- рандов является литеральная часть микро- команды;
Таблица 17.8 Параметр Обозна- чение Значения парамет- ров [макс, (мни.)] Режим измерения Ток потребления от источника Ucci, мА !СС1 50 (7cci = 5,25 В, (7сс2=12,6 В Ток потребления от источника Uccz, мА Выходное напряжение низкого уровня по выводам ШМК, В Выходное напряжение высокого уровня по выводам ШМК, В JCC2 UOL, М ион. М 50 0,5 (3,5) t7cci = 5,25 В, /оь=2,5 мА /он = 0,1 мА (7сс2=12,6 В Выходное напряжение низкого уровня по выводу «Выбор кристалла», В Входное напряжение низкого уровня по входу R, В Входное напряжение высокого уровня по входу R, В U()L uIL U1H 0,5 0,8 (2,4) На выводе «Выбор кристалла» напряжение высокого уровня формируется извне сдвига — арифметический и циклический сдвиг влево, вправо слов, байтов, условный и безус- ловный сдвиг; перехода — условный переход, безусловный переход; инкремента, декремента — сложение с конс- тантой с наращиванием на 1, уменьшением на 1 и др.; проверки и установки условий — проверка слов, байтов, разрядов, литералов и др.; передачи упрац^ения; ввода, вывода — ввод слов, байтов, литера- лов, вывод данных, вывод адресов слов, бай- тов, вывод слова состояния и др. Используются следующие форматы микро- команд: 1. При микрокомандах арифметических, ло- гических, регистровых, иикремента/декремента, сдвига, проверки и установки условий код опе- рации содержится в разрядах 15—8 микро- команды, разряды 7—4 микрокоманды содер- жат адрес регистра одного нз операндов (порт Таблица 17.9 Параметр Обозначение Значения параметров мин. макс. Время установления сигналов нанокоманды, нс (SU(NNS — С, LH) 50 по Время сохранения сигналов нанокоманды, нс ^(NNS-C, HL) 20 50 Время задержки сигнала микрокоманды, нс 1О(М\’5 — С, HL) — НО Время установления сигналов команды, нс 'SHNS -C, LH) 20 100 Время установления сигналов запросов прерываний, нс hudNTRQ — C, HL) 20 100 Время сохранения сигналов команд, нс ^(NS-C, HL) 20 50 Время сохранения сигналов запросов прерывания, нс lH(/NTRQ--C, LH) 20 50 Время установления сигнала «Сброс», нс (SL(RST.LH~CLH) 20 100 Время сохранения сигнала «Сброс», нс 'iHRST -C, LH) 20 50 Время задержки сигнала «Выбор кристалла», нс lD(CS. HL-C, LH)< lD(CS. LH-C, LH) ПО 303
В блока РОН), а разряды 3 — 0 — адрес ре- гистра другого операнда (порт А блока РОН). 2. При литеральных микрокомандах код операции содержится в разрядах 15 — 12 мик- рокоманды, адрес регистра операнда заключен в разрядах 3 — 0 (порт А блока РОН), а код литерала—в разрядах 11—4 микрокоманды. 3. При микрокомандах ввода, вывода код операции содержится в разрядах 15—8 микро- команды, разряды 3-0 содержат адрес ре- гистра по порту А блока РОН, а в разрядах 7 — 4 микрокоманды заключена служебная ин- формация, используемая для формирования со- ответствующих нанокоманд. 4. При микрокомандах перехода и передачи управления код операции содержится в разря- дах 15 — 8 микрокоманды, а разряды 7 — 0 определяют адрес перехода. Электрические статические параметры мик- росхем КН1811ВУ1, КН1811ВУ2, КН1811ВУЗ приведены в табл. 17.8, динамические -в табл 17.9. 17.3. Микросхема КМ1811ВТ1 Микросхема КМ1811ВТ1 — диспетчер па- мяти, обеспечивает стыковку микросхемы КН1811ВМ1 с оперативной памятью емкостью до 4М байт. Условное графическое обозначение микро- схемы приведено иа рис. 17.7, назначение выво- дов— в табл. 17.10, структурная схема показа- на на рис. 17.8, временные диаграммы вход- ных и выходных сигналов — на рис. 17.9, а (режим преобразования адреса) и 17.9,6 (ре- жим обмена данными). В состав микросхемы КМ1811ВТ1 входят: 16 16-разрядных регистров адресов страниц (РАС) памяти системы; 10 16-разрядных ре- гистров описания страниц (РОС) памяти сис- темы; 16 16-разрядных РАС памяти пользо- вателя; 12 16-разрядных РОС памяти пользо- вателя; 4 16-разрядных регистра состояний (РСО—РС4); регистры системных команд и микрокоманд; регистры плавающей запятой; логика управления. Микросхема осуществляет преобразование 16-разрядных логических адресов в 18- либо 22-разрядные физические адреса. Это обеспечи- вает расширение объема адресуемой памяти с 64 до 256К байт либо до 4М байт соответст- венно. Для системного режима и режима поль- зователя перемещение адресов осуществляется г-—- г в* М5 Mb Ml М8 М9 мп С Мес! ,lJccz .GND \GU MMU DO D1 D2 D3 D^ D5 Db DI D8 D9 Dm Dff DfZ D!3 DI9 D15 DTtb DZH D218 DZ19 DZ20 DZ21 ЯЙ ( 2т? ( 3 Таблица 17.10 5 “ Вывод 0 Обозначение Тип вывода Функциональное назначение выводов —L e s 1 2 -JL 3—18 fZ j3 19 15 ib n 13 ^2 20 21 22—27 28—33 LL 34 27 35 36 37 37 за $8 39 GU C D0—D15 BS GND UcC2 DZ16—DZ21 M4—M9 МЁГ EZ~ M12 ~RA~ DE~ M15 Ucc Выход Вход Вход/'выход Вход,'выход Выход Вход Выход Вход Вход Выход Выход Выход Напряжение внутреннего генера- тора смещения подложки Тактовые импульсы Совмещенная шииа адреса (раз- ряды 15—0) и данных Сигнал «Выбор ВУ». Входной сиг- нал разрешает обращение к внут- ренним регистрам. Выходной сиг- нал вырабатывается в случае фор- мирования физического адреса старших 8К байт адресного про- странства Общий Напряжение питания +12 В Шина адреса, разряды 21 — 16 Шина микрокоманд, разряды 4—9 Преобразование адреса Установка выходов в высокоомное состояние Шина микрокоманд, разряд 12 Сигнал «Готово». Означает готов- ность к приему информации Сигнал «Запрет». Сигнал о непра- вильном обращении к памяти Шина микрокоманд, разряд 15. Означает, что происходит выдача физического адреса Напряжение питания +5 В Рис. 17.7. Условное графическое обозна- ™ чение КМ1811ВТ1 304
Рис. 17.8. Структурная схема КМ1811ВТ1 постранично с длиной страницы от 64 байт до 8К байт, с шагом 64 байта. Кроме того, микросхема осуществляет функции защиты памяти и обеспечивает: разде- ление областей адресного пространства, исполь- зуемого в системном режиме и режиме поль- зователя; различные виды доступа к каждой перемещаемой странице — страница без до- ступа, страница только для считывания, стра- ница для записи и считывания. В качестве исходной информации при пре- образовании адресов и защите памяти в дис- петчере используется содержимое РАС и РОС памяти системы и пользователя; РАС и РОС Рис. 17.9. Временные диаграммы входных и выходных сигналов: и режим преобразования адреса; б -режим обме на данными Jn5
Таблица 17.11 Параметр Обозначение Значения парамет- ров [макс./ (мни.)] Режим измерения Ток потребления от источника Ссс2, мА Ток потребления от источника ССсъ мА Выходное напряжение низкого уровня по выводам /сщ 1ссг Col, d 75 45 0,5 1/СС1 = 5,25 В, 17сс2=12,6В £/cci = 5,25 В, 1/СС2=12,6В /оь = 1,5 мА ШАД, В Выходное напряжение высокого уровня по выводам Сон, D (2,4) Ion = 0,2 мА ШАД, В Выходное напряжение низкого уровня по выводам Col 0,5 Iol — 2,o мА BS, ME, РА, DE, М15, В Выходное напряжение высокого уровня по выводам Сон (2,4) Iо н = 0,2 мА BS, ME, РА, DE, М15, В Входное напряжение низкого уровня по выводу BS, С IL, BS 0,8 — В Входное напряжение высокого уровня по выводу BS, В Ст,BS (2,4) — Таблица 17.12 Параметр Обозначение Значения парамет- ров [макс, (мин )j Время установле- ния нанокоманды, lSU(NNS-С. LH) 110 ПС Время сохранения нанокоманды, нс Время установле- ния входных дан- ных, нс Время сохранения tfUNKS - С, HL) fSU(D-С. LH) (20) 110 *Н(О — С, HL) (20) входных данных, нс Время задержки входных данных, нс *0(0 —С, LH) 180 Время задержки сигнала «Готово», ^(RA — C, LH) 180 НС Время сохранения ^H(MNS — C, LH) (20) микрокоманды, нс Время задержки 260 *П(О — С, HL) выходных данных, нс Время задержки сигнала «Запрет», (D(DE-C, HL) 250 нс разделены на группы. Одна группа использу- ется при работе микросхемы в системном режи- ме, другая — в режиме пользователя. Каждая группа регистров содержит 16-разрядный ре- гистр адреса страницы и регистр описания стра- ницы. Кроме того, имеются четыре регистра состояния (РСО — РСЗ), которые используют- ся в механизме управления памятью. Информа- ция, заключенная в РСЗ, указывает разряд- ность формируемого физического адреса: 18 или 22. Старшие три разряда логического адреса, принимаемого по ШАД, определяют, какая именно нз восьми пар регистров РАС/РОС для каждого режима будет использоваться. Содер- жимое выбранного регистра РАС или РОС пос- тупает иа вход сумматора, иа другой вход поступают разряды 12 — 6 логического адре- са. Сумматор формирует разряды 21 — 6 физи- ческого адреса. Разряды 5 — 0 физического ад- реса соответствуют разрядам 5 — 0 логическо- го адреса. По сигналу «Выбор ВУ» формируется нап- ряжение высокого уровня, если физический ад- рес попадает в старшие 8К адресного прост- ранства. При преобразовании адреса на выходе М15 устанавливается напряжение низкого уровня; это означает, что в ШАД в следующий полу- такт будет выдан физический адрес. Схема сравнения совместно с РОС и ло- гикой запрета непосредственно участвует в ре ализации функций защиты памяти. При нару 306
шении правил доступа формируется сигнал «Запрет» (ДЕ), а в регистрах состояния запо- минается информация, вызвавшая запрет. Сигнал «Готово» (ЕЛ) является ответом микросхемы при обращении к ее регистрам РАС, РОС и PC. Дополнительной функцией микросхемы яв- ляется участие в выполнении операций с пла- вающей запятой для обеспечения временного хранения и оперативной выдачи соответствую- щей информации. Для этого используется 42 16-разрядных регистров, адрес каждого из ко- торых выбирается определенными комбинация- ми системных микрокоманд. Электрические статические параметры КМ1811ВТ1 приведены в табл. 17.11, динами- ческие— в табл. 17.12. 17.4. Соединение микросхем серии КН1811 Схема соединения микросхем серии J(H1811 приведена на рис, 17.10. Выводы EZ микро- схем не задействованы. В качестве конденса- торов С1—С5 используются конденсаторы ти- па К10-17-1В-Н50-0.01 мкФ. Взаимодействие микросхем комплекта осу- ществляется по двум шинам: 16-разрядной шине микрокоманд и 22-разрядной шине адре- сов и данных. Обе шины являются двунаправ- ленными, с использованием мультиплексного режима работы. Синхронизация работы мик- росхем осуществляется одним тактовым сиг- налом С. Обмен информацией по ШАД и ШМК происходит в течение конкретных полутактов: либо во время положительной фазы тактового сигнала тсн, либо во время отрицательной фа- зы tcl. Сумма указанных фаз xch+xcl пред- ставляет собой время цикла выполнения мик- рокоманды. Временная диаграмма работы МПК приведена на рис. 17.11. Микрокоманда от активной в данный мо- мент микросхемы управления (КН1811ВУ1, КН1811ВУ2, КН1811ВУЗ) по ШМК во время tcl поступает иа исполнение в микросхему КН1811ВМ1 и, кроме того, адресует регист- ры плавающей запятой, размещенные в КМ1811ВТ1. Во время положительной фазы тактового сигнала хсн по ШМК КН1811ВМ1 вырабаты- вает нанокоманду, поступающую для управле- ния иа все микросхемы МПК и иа логику платы центрального процессора микро-ЭВМ. Нанокомаида используется для управления вводом информации и последовательностью выборки микропрограммы в микросхемах КН1811ВУ1, КН1811ВУ2, КН18111ВУЗ, управ- ления вводом/выводом данных и адресации ре- гистров в КМ18ПВТ1, формирования сигна- лов управления каналом микро-ЭВМ. По ШАД во время хсн осуществляются: обмен информацией между всеми микросхема- ми МПК, ввод данных, вывод данных, ввод t : ""V Ьрием \/ВыВодмик‘Лу прием \J . р\нанокоманды р^рокаманды \нанокоманды \ В 1рием микЧ Прием юкаманды р\нанокомонды Вывод \J Вывод адреса \ данных ч SjПрием команд, \f § р\одресод, данных Д t t (Прием мик-SJ Вывод \рокоманд }\нанокаманд й !^зием канона. \Г {данных, Вывод I , хааресао, данных Гх t команд, с, Вывод 1. ванных t Рис. I7.ll. Временная диаграмма работы комплекта микросхем серии КН18Н логических адресов в микросхему КМ18ПВТ1, прием команд от внешних устройств или внеш- ней памяти. Во время tcl по ШАД происходят: пере- дача микросхемой КМ18ПВТ1 физического адреса, прием запросов прерываний от внеш- них устройств. Система команд аналогична системе ко- манд микро-ЭВМ «Электроника-60». Расширенный набор команд (135 вместо 72 типов у микро-ЭВМ «Электроника-60») и ад- ресация памяти объемом 256К байт с возмож- ностью расширения от 4М байт обеспечивают микро-ЭВМ, построенной на базе МПК, как программную совместимость с микро-ЭВМ «Электроника-60», так и существенно более расширенную сферу применения. Возможность наращивания объема памяти микропрограмм позволяет расширять сущест- вующий набор команд и создает предпосылки для создания на базе МПК различных управ- ляющих и обрабатывающих устройств. Архитектурное построение МПК с реализа- цией принципов микропрограммного управле- ния и конвейерной обработки информации обу- словливает высокие технические характерис- тики и функциональные возможности МПК микросхем серии КН18П. Это обеспечивает эффективное использование МПК для построе- ния высокопроизводительных микро-ЭВМ, имеющих самое широкое применение в различ- ных областях народного хозяйства. Примером микро-ЭВМ, созданной на осно- ве МПК микросхем серии К.Н1811 может слу- жить микро-ЭВМ «Электроника МС-1211». 307
Глава 18 Микропроцессор серии КМ1813ВЕ1 Микросхема КМ1813ВЕ1 представляет со- бой однокристальную программируемую мик- ро-ЭВМ с аналоговыми устройствами ввода/ вывода, предназначенную для цифровой обра- ботки сигналов в реальном масштабе време- ни. Она может быть использована для заме- ны аналоговых функциональных узлов в сис- темах, работающих в реальном масштабе вре- мени. Набор команд микро-ЭВМ в сочетании с высокой точностью (25 двоичных разрядов) работы АЛУ позволяет строить на ее основе сложные системы цифровой обработки сигна- лов (ЦОС) и цифрового динамического управ- ления, 308 На микро-ЭВМ КМ1813ВЕ1 реализуются типовые функциональные узлы: фильтры ниж- них и верхних частот, содержащие до 20 ком- плексных пар полюсов и (или) нулей (фильтр 40-го порядка); детекторы; выпрямители; ог. раничители; умножители и делители 25-раз- рядных двоичных чисел; генераторы колебаний и функции — аппроксимация нелинейных функций, например квадратичных, логарифми- ческих, логические операции; мультипликация входных и выходных сигналов и др. Исполь- зуя возможности непосредственного цифрово- го ввода/вывода, несколько КМ1813ВЕ1 могут
3 4 i 7 8 3 10 11 12 13 JL 15 10 17 18 ___КН1811ВУЗ KG DO Dl D2 D3 DC D5 DO Dl DB DS DIO Dll D12 D13 D1C D15 MO- MI ЛЯ W MC M5 MO M7_ M8 М3 MIO MH M12 M13 Mie M15 JL 25 2£_ 27 28 23 30 31 32 33 JL 35 35 37 38 33 dio-do § W-JM ^*4 ----> 5* М15-М0 21 13 2 su 21 Uccz CO 20 22 1 Ucci GND CSC} DE CSSL 5» at _£___ esz MESl £ ——$ KA__ &Ccr ^CCZ | I GND <g микросхем серии KH1811 быть объединены в сложные мультипроцессор- ные системы. Основные области применения: низкочас- тотная связь, промышленная автоматика, гид- роакустика, геофизика, биомедицина, синтез, анализ и распознавание речи и др. Микросхема КМ1813ВЕ1, выполненная по п-МДП-технологии, конструктивно реализова- на в металлокерамическом корпусе типа 2121.28-6(7) с прозрачной для ультрафиолето- вого излучения крышкой. Напряжение пита- ния I7cci = +5 В±5°/о и Ucci = — 5 В + 5%. Диапазон рабочих температур от —10 до +70 °C. Микросхема имеет два режима функ- ционирования: «Программирование» и «Рабо- та». Условное графическое обозначение микро- схемы и назначение выводов в указанных ре- жимах приведены иа рис. 18.1, табл. 18.1 и рис. 18.2, табл. 18.2 соответственно. Общие характеристики Способ управления . . . Способ снихронизации . . Разрядность АЛУ .... Разрядность данных . . . Объем ППЗУ............... Объем ОЗУ................ Возможности масштабиро- вания ................... Разрядность команд . . . Объем памяти коэффициен- тов ..................... Устройства ввода/вывода Число разрядов АЦП и ЦАП...................... Число каналов ввода . . . Число каналов вывода . . КМ1813ВЕ1 Микропрограмм- ный От внешнего или внутреннего генератора 27 бит 25 бит 192X24 бит 40X25 бит 22__2~13 24 бит 16X4 бит Аналоговые и цифровые 9 (8 + знак) 4 8 зоч
Таблица 18.1 Вывод Обозначение Тип вывода Функциональное назначение выводов 1—3 OUT3—OUT5 Выходы Выходные сигналы в аналоговой н (или) цифро- вой форме 4 GNDA .— Аналоговый общий 5, 6 OUT6, OUT7 Выходы Аналоговые сигналы в аналоговой и (или) циф- ровой форме 7 Cl — Для подключения внешнего конденсатора выбор- ки н хранения входного сигнала 8 U REF — Источник опорного напряжения 9 С2 — Для подключения внешнего конденсатора выбор- ки и хранения входного сигнала 10 IN0/DI Вход Входной аналоговый сигнал или цифровой после- довательный сигнал (ТТЛ уровень) 11 IN3/D0 Вход/выход Входной аналоговый сигнал или выходной цифро- вой последовательный сигнал (открытый сток) /2 Ucc? — Напряжение питания —5 В 13 IN 2/ RD Вход/выход Ввод аналогового сигнала или вывод синхроим- пульса «Чтение» (открытый сток) 14 INl/WR Вход Ввод аналогового сигнала или вывод синхроим- пульса «Запись» (открытый сток) 15, 16 Ф1, Ф2СЬК Входы Тактирование схемы 17, 20, 23 GNDD — Цифровой общий 18 U cci — Напряжение питания 4-5 В 19 CCLK Выход Внутренний сигнал синхронизации, частота следо- вания импульсов равна 1/16 частоте следования входных тактовых импульсов (открытый сток) 21 RST/EOP Вход/выход Напряжение низкого уровня; возвращает счетчик команд в начальное состояние. Как выходной сиг- нал, оно указывает на присутствие команды ЕОР (открытый сток) 22 OF Выход Напряжение низкого уровня; указывает на пере- полнение АЛУ во время предыдущей команды 24, 25 Ml, М2 — (открытый сток) 26—28 OUTO—OUT2 Выходы Определяет режим работы входов/выходов Сигналы в аналоговой и (или) цифровой форме Таблица 18.2 Вывод Обозначение Тип вывода Функциональное назначение выводов / D3 Вход/выход Запись и чтение информации из ППЗУ (открытый сток) 4, 8, 12, 20 GNDD — Цифровой общий 17, 18, 25 Ucci — Напряжение питания 4-5 В 21 RST Вход Установка счетчика адреса слов ППЗУ в начальное состояние 22 JNCR Вход Подача импульсов, изменяющих состояние счетчика адреса слов ППЗУ 23 VSP Вход Для подключения программирующего напряжения 4-25 В при записи и 4-5 В при чтении 24 PROG VER Вход Управление двунаправленной шиной данных ППЗУ (при чтении ТТЛ низкий уровень) 26—28 D0—D2 Вход'выход Запись и чтение информации из ППЗУ (открытый сток) 310
ю п 13 11 im/ni IN1/WR lH2[Ri —— гнз/по 15_ t6 1 , э . 8 , ч ; 18 , 12 , 17,20, 23 ,w Ф21ик ,С! ;С2 UKF СНП Л </££7 Uccz 1 Ml мг 26 21 2в 2 3 5 6 25 24 22 оито OUT! оитг оитз otm 0UT5 оитб оип Рис. 18.1. Условное графическое обозначение КМ1813ВЕ1 в режиме «Работа»: +5 В—вы- вод /8; —5 В — вывод 12\ ОВ — выводы /7, 20, 23 Рис. 18.2. Условное обозначение функций вы- водов КМ1813ВЕ1 в режиме «Программирова- ние»: + 5 В — выводы 17, 18, 25\ ОВ — выво- ды 4, 8, 12, 20 Структурная схема КМ1813ВЕ1 — одно- кристальной перепрограммируемой микро- ЭВМ с аналоговыми устройствами ввода/выво- да — показана на рис. 18.3. Программа, записанная в ППЗУ, управля- ет работой всех функциональных узлов мик- росхемы. По заданной в ППЗУ программе микросхема выполняет отсчет значения анало- гового или цифрового сигнала по одному из четырех входных каналов, производит выбор- ку и запоминание на внутреннем устройстве выборки и хранения, реализует аналого-циф- ровое преобразование выборки сигнала и пе- редачу ее цифрового представления на цифро- вой процессор для обработки в соответствии с заданной программой. Результат обработки передается на цифро-аналоговый преобразова- тель. Аналоговое представление результата об- работки через выходной демультиплексор и выходную схему выборки и хранения подает- ся на любой из восьми выходных каналов в соответствии с управляющей программой. Спе- циальный режим позволяет производить непо- средственную обработку цифровых сигналов, т. е. без использования каналов аиалого-циф. рового и цифро-аналогового преобразований. Функционально КМ1813ВЕ1 построена по конвейерному принципу таким образом, что обеспечивает одновременную (параллельную) работу аналоговой и цифровой частей микро- схемы. Тем самым обеспечивается высокая производительность выполнения операций (око- ло 2 млн. операций/с) и достигается возмож- ность обработки сигналов в реальном масшта- бе времени. Цифровая часть микросхемы содержит: пе- репрограммируемое постоянное запоминающее устройство (ППЗУ), оперативное запоминаю- щее устройство (ОЗУ), двоичное масштабиру- ющее устройство (МУ) и арифметико-логиче- ское устройство (АЛУ). Аналоговая часть схе- мы содержит: четыре аналоговых входа, вход- 8ST/EOP Перепрограммируемое постоянное запоминающее устройство GNHA UrEF Рис. 18.3. Функциональная схема КМ1813ВЕ1 ОU ТО OUT! 0UT2 OUTJ 0UT6 0UT5 OUT6 0UT7 311
Рис. 18.4. Структура командного слова микросхемы КМ1813ВЕ1 ной мультиплексор, схему выборки и хранения (одну на все четыре канала), аналого-цифро- вой (АЦП) н цифро-аналоговый преобразова- тели (ЦАП), выходной мультиплексор, восемь выходных каналов со схемами выборки и хра- нения. Связь между цифровой и аналоговой частями микросхемы осуществляется через специальный регистр (ЦАР — цифро-аналого- вый регистр). Программная память микросхемы КМ1813ВЕ1 представляет собой ППЗУ с уль- трафиолетовым стиранием; ППЗУ содержит 192 командных слова. Командное слово дли- ной в 24 разряда разбито на пять полей (рис. 18.41, из которых одно управляет рабо- той аналоговой части микросхемы, остальные четыре — цифровой (ОЗУ. МУ, АЛУ). В режиме «Программирование» 24-разряд- ное командное слово разбивается на шесть 4-разрядных (D3, D2, Dl, DO) слов. Следова- тельно, в режиме «Программирование» ППЗУ имеет организацию 1152X4. Распределение 4-разрядных слов в пределах поля команды показано на рис. 18.4. В режиме «Работа» производится последо- вательное считывание содержимого ППЗУ по четыре команды с записью в 96-разрядном бу- ферном регистре. Считывание одной команды буферного регистра производится за четыре такта работы тактового генератора Счетчик Таблица 18.3 Мнемоника кон- станты Значение константы Мнемоника кон- станты Значение константы в: у Ф Ф о tt х ! । двоичное десятич- ное двоичное КРО 0 0,000 КМ1 -0,125 1,111 КР1 +0,125 0,001 КМ2 —0,150 1,110 КР2 +0,250 0,010 КМЗ —0,375 1,101 крз +0,375 0,011 КМ4 —0,500 1,100 KP4 +0,500 0,100 КМ5 —0,625 1,1011 КР5 +0,625 0,101 КМ6 —0,750 1,010 КР6 +0,750 0,110 КМ7 —0,875 1,001 КР7 +0,875 0,111 КМ8 —1,000 1,000 программ возвращается в исходное состояние после выполнения последней, 191-й команды, а также после поступления команды «Конец программы» или «Возврат по условию». Частота выборок снгиала определяется чис- лом команд в программе и временем команд, ного цикла (четыре такта тактового генера- тора). Оперативное запоминающее устройство вы- полняет функции памяти данных и памяти констант. Оно представляет собой двухадрес- ное ОЗУ статического типа с произвольной выборкой и записью, оргаинзованной как 40 словХ25 разрядов. Расширенное поле адресов ОЗУ (64 слова вместо 40 необходимых) используется для об- разования констант и организации регистра сопряжения (ЦАР) между аналоговой и циф- ровой частями микросхемы. ОЗУ имеет два порта: порт А и порт В. По порту А осущест- вляется только считывание информации, кото- рая передается через МУ на один из входов АЛУ в качестве операнда А. Через порт В ин- формация поступает на второй вход АЛУ в качестве операнда В. Результаты вычислений АЛУ записываются в ОЗУ только по порту В. Обращение к константам осуществляется через порт Л по 16 старшим адресам поля опе- ранда А (адреса А Цхххх, где Л5 = Л4=1). Константы соответствуют четырем младшим разрядам кода адреса и как 4-разрядное сло- во передаются через МУ иа вход операнда А АЛУ. Набор значений программируемых кон- стант и соответствующие мнемокоды приведе- ны в табл. 18.3. Номенклатура значений коэф- фициентов может быть существенно расшире- на за счет использования масштабирующего устройства. Цифро-аналоговый регистр имеет длину в девять разрядов и допускает несколько спосо- бов обращений. Как ячейка памяти, ЦАР за- нимает 9 старших разрядов 25-разрядного сло- ва и допускает обращение по порту А и (или) порту В, подобно остальным 40 ячейкам памя- ти ОЗУ. Выход ЦАР подключен непосредствен- но ко входам ЦАП. Кроме того, ЦАР (9 раз- рядов) используется как регистр последова- тельного приближения при аналого-цифровом преобразовании под управлением поля анало- говой команды. При передаче результата ана- лого-цифрового преобразования в процессор 312
Таблица 18.4 Оставшиеся 16 младших разрядов (25-разряд- ного слова) устанавливаются в 1, что соответ- ствует переводу результата преобразования в дополнительный код. Могут быть также про- изведены выборка и контроль любого разряда ЦАР для организации выполнения условных команд АЛУ. Масштабирующее устройство представляет собой арифметический двоичный сдвигатель, расположенный между выходом порта А ОЗУ и входом операнда А АЛУ. Это позволяет мас- штабировать операнд А на любое значение от 22 до 2~13 (сдвиг влево на 2 разряда, сдвиг вправо иа 13 разрядов!. Прн сдвиге влево ос- вободившиеся правые разряды заполняются нулями, а при сдвиге вправо освободившиеся левые разряды заменяются значениями знако- вого разряда (дополнительный ход). Подоб- ный сдвиг эквивалентен умножению операн- да А на 2* (k принимает значения от —13 до +2). Мнемокоды сдвига МУ и соответствую- щие значения эквивалентного умножителя опе- ранда А как множимого приведены в табл. 18.4. В АЛУ производятся вычисления в допол- нительном коде с 25-разрядной точностью над масштабированным операндом А и операндом В, поступающим из ОЗУ. Результат вычисле- ний записывается в ОЗУ по адресу операнда В. Старший разряд переменной является зна- ковым, а обычный диапазон переменной х на- ходится в пределах—1,0^х< + 1,0. Мини- мальное приращение любой переменной при этом составляет 5 = 2~ 24 = 5,96-10~8. АЛУ име- ет логику для работы при выполнении МУ сдвига влево, а именно в случае переполнения эта логика обеспечивает сохранение знакового разряда. Переполнение возникает в тех случа- ях, когда результат вычисления выходит за пределы разрядной сетки ОЗУ (25 разрядов). Сдвиг влево является частным случаем пере- полнения. Для обработки режима переполне- ния в АЛУ предусмотрено расширение разряд- ной сетки до 27. При работе без переполнений четыре старшие разряды этой разрядной сет- ки соответствуют значению знакового разряда. В случае переполнения в 26-й и 27-й разряды записывается результат сдвига влево. Для оп- ределения переполнения, т. е. того, что конеч- ный результат вышел за пределы границ — 1,0^х<1,0, в АЛУ анализируются знаковые разряды операндов А и В, 26 и 27 разряды АЛУ, а также перенос в знаковый разряд АЛУ. Для АЛУ могут быть заданы два режима работы с переполнениями: с коррекцией ре- зультата и без коррекции результата. В ре- жиме с коррекцией результат заменяется блн жайшим максимально допустимым значением переменной с учетом знака (—1,0, если пере- полнение вызвала отрицательная переменная; + 1,0, если переполнение вызвала положитель- ная переменная), и на вывод «Переполнение» выдается сигнал низкого уровня. В режиме без коррекции результата про- исходит расширение двоичного значения пере- менной до 27 разрядов. После выполнения Мнемокод сдвига Код операции Эквивалентный множитель L01 1110 2' = 2,0 L02 1101 22=4,0 R00 1111 2°= 1,0 R01 0000 2-‘=0,5 R02 0001 2~2=0,25 R03 0010 2-’=0,125 R04 ООП 2~4=0,625 R05 0100 2-5 = 0,03125 R06 0101 2"«=0,015625 R07 оно 2-7= 0,0078125 R08 0111 2-8=0,00390625 R09 1000 2-9=0,001953125 R10 1001 2-10 = 0,0009765625 RH 1010 2" =0,00048828125 R12 1011 2-12=0,000244140625 R13 1100 2-13=0,0001220703125 АЛУ соответствующей операции 25 младших разрядов результата вычисления записываются в ОЗУ по адресу операнда В и на выводе «Пе- реполнение» всегда высокий уровень. Входные каналы построены на четырех ана- логовых ключах выборки с использованием общего внешнего конденсатора хранения. Для обеспечения точности преобразования меньше 1/2 единицы младшего разряда емкость внеш- него конденсатора должна быть около 750 пФ. Время выборки должно быть равно примерно 6-кратному значению постоянной времени RC- цепочки схемы выборки и хранения. В микро- схеме КМ1813ВЕ1 эта постоянная времени при 750 пФ внешней емкости 750—1000 нс. Необходимое время выборки обеспечивается программным путем. При командном цикле 600 нс микросхемы КМ1813ВЕ1 для этого тре- буется последовательность из восьми команд ввода. Для систем с большим временем ко- мандного цикла необходимо использовать внешний конденсатор с большой емкостью. Аналого-цифровое преобразование храни- мого схемой выборки и хранения отсчета ана- логового сигнала реализуется программным путем в соответствии с алгоритмом последова- тельного приближения с точностью, соответст- вующей девяти двоичным разрядам, один из которых используется в качестве знакового. Для программирования используются команды преобразования знакового разряда CVTS и преобразования остальных восьми (k = 1—0) разрядов ЦАР CVT (/г). Для пол- ного 9-разрядного преобразования при дли- тельности цикла команд 600 нс требуется 34 команды (табл. 18.5). Перед преобразова- нием необходимо установить нули во всех раз- рядах ЦАР. Наличие команд типа «Нет опе- рации» NOP объясняется необходимостью обеспечения паузы в 1,2 мкс на время установ- 313
Таблица 18.5 Номер команды Поле аналого- вых команд Номер команды Поле аналого- вых команд 1 Номер команды 1 Л 5 * E Я 5 я к S ® X 3 о С я я м 0 lN(k) 11 NOP 22 NOP 1 IN(k) 12 CVT7 23 NOP 2 IN(k) 13 NOP 24 CVT3 3 IN(k) 14 NOP 25 NOP 4 IN(k) 15 CVT 6 26 N0P 5 IN(k) 16 NOP 27 CVT2 6 IN(k) 17 NOP 28 NOP 7 IN(k) 18 CVT5 29 NOP 8 NOP 19 NOP 30 CVT1 9 CVTS 20 NOP 31 NOP 10 NOP 21 CVT4 32 NOP 33 CVT0 Примечание. В поле цифровых команд мо- жет быть записана любая цифровая команда. ления ЦАП после преобразования каждого разряда. Цифро-аналоговое преобразование основано на методе поразрядного взвешивания цифро- вого представления числа, записанного в ЦАР после обработки на процессоре в соответствии с заданной программой. Результат цифро-ана- логового преобразования передается на одни нз восьми выходных каналов. Каждый из восьми аналоговых выходных каналов содержит свои схемы выборки и за- поминания, демультиплексируемые на буфери- зированный выход ЦАП. Для обеспечения вы- вода необходимо соблюдать два правила: на время записи информации в ЦАР выход дол- жен быть отключен; на время установления Таблица 18.6 Управляющие напряжения Режим ввода Режим вывода Ml М2 +5 В +5 В IN0—IN3 — аналоговые OUT0—OUT7 — аналоговые +5 В -5 В D1 — циф- ровой после- довательный D0 — цифровой последовательный; OUTO — OUT3 — аналоговые; OUT4 — OUT7 — цифровые —5 В +5 В IN0—IN3 — аналоговые оито — OUT3 - цифровые; OUT4 — OUT7 — аналоговые —5 В -5 В IM0—IN3 - аналоговые OUTO—OUT7 — цифровые Цифровая команда (Jразряда) Адрес В '<дрес А 16разрядов)'(вразрядов) код А на Рогова» сдвига команда (Ь разряда) (вразрядов) Рис. 18.5. Формат командного слова микро- схемы КМ1813ВЕ1 ЦАП должны быть использованы три коман- ды NOP, а иа время установления выходной схемы выборки и хранения — еще несколько команд «Вывод» OUT (k) общей длительно- стью 4,2—4,8 мкс. Входные и выходные каналы микросхемы могут быть использованы для передачи по ним аналоговых и цифровых сигналов. Уста- новка режимов для ввода и вывода произво- дится по управляющим выводам Ml и М2, иа которые подаются напряжения —5 и +5 В в различных сочетаниях. Выводы Ml и М2 не могут быть использованы для динамического переключения режимов ввода и вывода. Воз- можные режимы ввода и вывода при различ- ных сочетаниях Ml и М2 приведены в табл. 18.6. В режиме ЛЦ = 4-5 В, М2=—5 В проис- ходит блокировка входных аналоговых уст- ройств микросхемы и обеспечивается непос- редственный последовательный ввод н вывод цифровой информации через регистр ЦАР. Для организации ввода/вывода в этом режи- ме используются четыре входных канала. Последовательный ввод осуществляется по командам CVTS и СVT (Л) в такой последо- вательности: знак, старший разряд ЦАР, млад- шие разряды ЦАР (s, k = 7—0). При этом по каналу D1 инверсно вводится цифровая ин- формация за исключением знакового разряда. Одновременно в течение выполнения команды CVT (Л) иа канал RD подается синхроим- пульс низкого уровня. Последовательный выход осуществляется по команде OUT (k) от старшего к младше- му разряду регистра ЦАР. При этом из k-ro разряда ЦАР по каналу D0 инверсно вы- водится цифрован информация. Одновременно в течение выполнения команды OUT (k) на канал WR подается синхроимпульс низкого уровня. Уровни сигналов по входу и выходу микро- схемы определяются значением внешнего опор- ного напряжения, которое может находиться в пределах +14- +2 В. При этом уровни входно- го и выходного напряжений будет находиться в пределах ±Uref, а единица младшего раз- ряда Ubkf/256 В. Источник опорного напря- жения должен быть тщательно стабилизиро- ван, поскольку любая нестабильность Urbf является шумом для ЦАП. Для обеспечения иа выходе OUTO—OUT7 уровня ТТЛ опорное напряжение должно быть более 1,75 В. В микросхеме КМ1813ВЕ1 для ассемблера используется формат команд, изображенный на рис. 18.5. Систему команд КМ1813ВЕ1 мож- но разделить иа цифровые и аналоговые 314
Таблица 18.7 команды. В свою очередь, группа цифровых команд может быть разделена на безусловные, условные и команды условного перехода. Группа безусловных команд приведена в табл. 18.7. Операция сложения ADD. Команда форми- рует сумму чисел операндов В и А после сдви- га последнего на k разрядов. Результат запи- сывается по адресу операнда В, т. е. ADD ВВВ ААА R01 NOP. Эквивалентная операция на языке ФОРТРАН: В = В+А*0,5. Операция вычитания SUB. Команда» реали- зует сложение числа по адресу ААА, допол- ненного до 1, с числом по адресу ВВВ, т. е. SUB ВВВ КРЗ R00 NOP. Эта операция означает вычитание постоян- ной величины 0,375 из переменной величины по адресу ВВВ. Операция пересылки LDA. Команда произ- водит засылку числа по адресу ААА, сдвину- того на k разрядов, в ячейку по адресу ВВВ. т. е. LDA ВВВ ААА R01. Операция исключающее ИЛИ XOR. Коман- да реализует сложение по модулю 2, т. е. XOR ВВВ ААА R00. Операция логического умножения AND. Команда реализует поразрядное логическое умножение одной переменной величины на другую в соответствии с правилом 1-1 = 1, 1-0=0, 0-1=0, 0-0=0, т. е. AND ВВВ ААА R00. Операция взятия абсолютной величины ABS. Команда реализует сдвиг числа по адре- су ААА на заданное число разрядов, опреде- ление абсолютного значения и запись резуль- тата по адресу ВВВ, т. е. ABS ВВВ ААА R00 NOP. Операция ограничения LIM. Команда реа- лизует присвоение максимально допустимого значения числу по адресу В в зависимости от знака числа по адресу А, т. е. если число А положительное, то числу по адресу В присва- ивается значение +1 (0,111...1), если же число А отрицательное, то по адресу В получим чис- ло—1 (1.000...0). Операция сложения с абсолютным значени- ем АВА. Команда АВА складывает абсолют- ное значение операнда ААА с операндом ВВВ. результат записывается по адресу ВВВ. Группы условных команд и команд услов- ного перехода микросхемы КМ1813ВЕ1 приве- дены в табл. 18.8 и 18.9 соответственно. Для организации условных цифровых ко- манд используется команда CND, которая за- писывается в аналоговом поле формата коман- ды (см. рис. 18.5). Команда CND может при- Команда 1 M | Код one- o 1 рации Число циклов Алгоритм Описание команды ADD 110 1 (Ax2N)+B-+B Сложение 2 SUB 101 1 В— (AX2JV)->B Вычитание LDA 111 1 (АХ2Л)->В Пересылка XOR 000 1 (A X2JV)® B-*B Исключаю- щее ИЛИ AND 001 1 (AX2W)-B->B Логическое ABS Oil 1 I (А х2л ) j—»- В И Абсолютная величина ABA 100 1 |(AX2W)|+B->B Сложение с абсолютной величиной LIM 010 1 -1- 1-*B, если A>0, — 1—*-B, если A<0 Ограниче- ние 3 1 Записываются в поле цифровых команд (см рис. 18.5). 2 N — значение, определяемое мнемокодом мае штабирующего устройства: — 13<jVC+2. 3 Наибольшая положительная величина, которая . записывается в ячейку ОЗУ по адресу операнда В прн выполнении операции LIM. равна (1—2~2<). нимать вид CND (s), что означает проверку знакового разряда в регистре ЦАР, или вид CND (k), что означает проверку разрядов чис- ла, записанного в регистре ЦАР. Сказанное относится к командам LDA CND и ADD CND. В случае SUB CND имеют место проверка предыдущего значения бита переноса в зна- ковый разряд АЛУ СУр и запись текущего значения переноса СУ в й-й разряд регистра ЦАР. В случае SUB CNDS инверсное значе- ние текущего значения разряда переноса СУ записывается в знаковый разряд ЦАР. При выполнении безусловных операций LDA, XOR, AND, ABS разряд переноса обнуляется. Команда АВА CND используется для вы- ключения механизма коррекции результата при переполнении АЛУ, а команда XOR CND — для включения механизма коррекции. Команды RNZ и JNZ обеспечивают услов- ный переход иа фиксированное место в прог- рамме: RNZ — на начало программы, JNZ — на 32 команды вперед. Особенности построе- ния памяти программы определяют необходи- мость расположения RNZ в командном слове, адрес которого делится на 4, т. е. 4, 8, .... 188. При этом возврат на начало программы по условию происходит после выполнения осталь- ных трех команд четверки. В свою очередь, ]NZ необходимо записывать в командном сло- ве, занимающем третий адрес в четверке, а пе- реход по условию иа 32 команды вперед про- исходит после выполнения последующих пяти команд. 315
Таблица 18.8 Команда Код цифровой операции’ Число циклов Алгоритм Описание команды цифровая условная1 ADD CND но 1 (Ах2')+В-+В В-+В Если тестируемый разряд ЦАР равен 1 Если тестируемый разряд ЦАР равен 0 SUB CND 101 1 В~ (А-2у)—>-В ВА-(А-2у}^В Если предыдущий перенос СУР=1* Если предыдущий перенос СУр=0 LDA CND 111 1 (4X2W)-*S в—в Если тестируемый разряд ЦАР равен 1 Если тестируемый разряд ЦАР равен 0 АВА CND 100 1 |(4+2w)|+B->fl Установка АЛУ в режим без коррекции результата NOR CND 000 1 (4X2-V) © В—В Установка АЛУ в режим с коррекцией результата 1 Записывается в поле аналоговых команд (см. рис. 18.5). 1 Коды условных операций приведены в табл. 18.10. * Текущее значение переноса записывается в указанный командой CND разряд ЦАР. Для случая C.MD(s) инверсное значение переноса CY записывается в знаковый разряд ЦАР. Группы аналоговых команд микросхемы КМ1813ВЕ1 приведены в табл. 18.10. Команда IN (k) производит выборку одно- го из четырех входных каналов. Для обеспе- чения заряда внешнего конденсатора схемы выборки и хранения с требуемой точностью необходимо использовать подряд несколько команд IN (k). Команды CVTS и CVT (k) предназначены для аналого-цифрового преобразования зна- кового CVTS и k-го CVT (k) разрядов. Команда OUT (k) обеспечивает цифро-ана- логовое преобразование результата вычисле- Таблица 18.9 Команда Описание команды цифровая условная1 RNZ CND Возврат на начало про- граммы, если тестируе- мый разряд ЦАР равен 1; продолжение програм- мы, если тестируемый разряд ЦАР равен 0 JNZ CND Переход программы иа 32 команды вперед, если тестируемый разряд ЦАР равен 1; продолжение программы, если тести- руемый разряд ЦАР ра- вен 0 1 Записывается в поле аналоговых команд (см. рис. 18.5). ний, хранимого в ЦАР, и выдачу этого резуль- тата по одному из восьми выходных каналов. Для учета времени установки ЦАП и выход- Таблица 18.10 Команда Код операции Описание команды Функции Число /г 10 210 IN(k) 00 000 он Выбор одного из четырех входных каналов OUT(k) 01 000,..., 111 Выбор одного из восьми выходных каналов CVTS 00 по Преобразование знакового разряда ЦАР CVT(k) 10 000 111 Аналого-цифро- вое преобразова- ние k-ro разряда ЦАР EOP 00 101 Установка счетчи- ка команд в нуль NOP 00 100 Нет операции CNDS 00 111 Выбор (тестиро- вание) знакового разряда ЦАР для условных команд CND(k) 11 000 111 Выбор (тестиро- вание) й-го разря- да ЦАР для ус- ловных команд 316
Рис. 18.6. Схема включения КМ1813ВЕ1 (а) и Рис. 18.7. Схема включения КМ1813ВЕ1 (а) и временные диаграммы в режиме «Программи- временные диаграммы в режиме «Работа» рование» (б): +5 В — выводы /7, 18, 25; (б): +5 В — вывод 18; —5 В — вывод 12; ОВ — выводы 4, 8, 12, 20 ОВ — выводы 17, 20, 23 ной схемы выборки и хранения необходимо манд АЛУ путем определения состояния зна- использовать подряд несколько команд OUT кового или значащих разрядов регистра ЦАР, (k). Команда ЕОР фиксирует конец программы Команды CNDS и CND (k) используются и размещается в командном слове, адрес кото- при организации выполнения условных ко- рого делится на 4, т. е. 4, 8, ..., 188, после че- Таблица 18.11 Значения параметров Параметр Обозна- чение мнн. макс. Режим измерения Статически —1 Входное сопротивление, кОм: в режиме хранения в режиме выборки Диапазон входного сигнала, В Напряжение опорного источника, В Нелинейность преобразования, МР: аналого-цифрового цифро-аналогового е парамет О-? 70 °C Л/ V IN U REF &LAD &LDA ры в диап (режим 100 REF + 1 — 1 — 1 азоне тем «Работа») 2,0 rU REF +2 + 1 1 ператур Uref= + 1 В Uref— + 1 Bf = 100 кОм 317
Продолжение табл. 18.11 Параметр Обозна- чение Значения параметров Режим измерения мин. макс . Дифференциальная нелинейность преобразования, МР: аналого-цифрового &I.DAD —1 +1 (+ег= + 1 В цифро-аналогового &LDDA — 1/2 +1/2 Uref~ + 1 В, 7?l=100 кОм Коэффициент цифро-аналогового преобразования, В/В 6 DA 0,85 1 — Входной ток, мкА: высокого уровня 1 IH — 15 На выводах RST, DI при Uih >2 В низкого уровня 1 IL — 15 На выводах RST, D1 при (AlsS0,8 В Выходное напряжение низкого T'oL —1- 0,4 На выводах OF, ЕОР, CCLK, уровня, В WR, RD, DO и OUT (в цифро- вом режиме) при /ог.^1,6 мА Выходной ток высокого уровня, lOH — 15 На выводах OF, ЕОР, CCLK, мкА WR, RD, DO и OUT (в цифро- Ток потребления, мкА: 100 250 вом режиме) при Uoh^'2,4 В от источника опорного напря- жения I REF Uref~ + 1 В от источника питания Ucci, ICCI — 50 Ucc\— 4"5,25 В мА от источника питания Uca, мА lCC2 — 150 Uce2=— 5,25 В Динамические параметры в диапазоне температур —10-? — 70 °C (режим «Работа») Время цикла, нс Tc 600 8000 Частота тактовых импульсов fc=6,67 МГц при —5 ^Uol/clk —4,5 В, — 1 В^{7он/сВ Длительность сигнала ЕОР, нс XEL тс-150 Тс — Длительность сигнала OF, нс rFL Гс—200 Тс — Время задержки сигнала ЕОР от- носительно сигнала CCLK, нс lCE 80 200 - Время задержки сигнала OF от- носительно сигнала CCLK, нс ’(IF — Тс — Статические параметры в режиме «Программирование» при +25±10“С Входной ток низкого уровня, мкА ! н.р — 15 На выводах RST, PROG/VER, D0—D3 при Uils^O.8 В INCR, Входной ток высокого уровня, мкА 1 !Н1> 15 На выводах RST, PROGIVER, D0—D3 при (Л у >+2,0 В INCR, Выходное напряжение низкого уровня, В UoLR 0,8 На выводах D0—D3 при «С 2 мА; 4,75 В<с(+,. ^5,0 В V.SPSg Выходной ток высокого уровня, мкА 15 На выводах RST, PROG, VER, D0—D3 при (Л «>+2,0 В INCR, Входной ток высокого уровня про- граммирующий, мА I IH. VSP — 20 24 B^Kzh,vsp^+25 В Ток потребления от источника пи- тания Ucc\, мА IcctP — 150 t/cci “ +5,2Ь В 318
Продолжение табл. 18.11 Параметр Обозна- чение Значения мин. ираметров макс. Режим измерения Динамические параметры Длительность сигнала RST, мкс Длительность сигнала INCR, мкс Длительность сигнала программи- рования VSP, мс Длительность сигнала PROGiVER, мкс Время задержки сигнала VSP от- носительно сигнала INCR, мкс Время задержки сигнала INCR относительно сигнала RST, мкс Время задержки сигнала PROG/ VER относительно сигнала VSP, мкс Длительность фронта сигнала VSP, мкс Примечание Отче1 времени в режии TR£. Т/Л ТЛСС {1Р 1RI fPV TVI ых параме ле «Прог; 2 2 45 20 7 1 9 100 трое микро эаммирова 55 1000 схемы ирон иие» при +25±10°С зводнгся на уровне Hl = 4-0,8 В. го выполняются Оставшиеся три команды чет- верки. Команда NOP означает отсутствие аналого- вой операции. Таблица 18.12 11.1раметр Обозначе- ние Значения параметров мин. макс. Напряжение питания, В U<:ci 4,75 5,25 Напряжение опорного ^СС2 U REF -5,25 1 —4,75 2 источника, В Входное напряжение вы- U HVSP 23,5 25 сокого уровня сигнала VSP, В Входное напряжение U I.VSP 5 5,25 нйзкого уровня сигнала VSP, В Входное напряжение UIL 0,8 низкого уровня, В Входное напряжение вы- U1Н 2,0 — сокого уровня, В Выходное напряжение ^01 — 0,4 низкого уровня, В Тактовая частота. МГц fc 0,5 6,67 Потребляемая мощ- ность, Вт Рсс — 1,0 Электрические параметры микросхемы при- ведены в табл. 18.11, предельные допустимые значения электрических режимов — в табл. 18.12. Схемы включения микросхемы КМ1813ВЕ1 и соответствующие им временные диаграммы для обеспечения режимов «Программирова- ние» и «Работа» приведены на рис. 18.6 и 18.7 соответственно. Рекомендации по применению Основное назначение КМ1813ВЕ1 — циф- ровая обработка аналоговых (непрерывных) сигналов. Цифровая обработка сигналов (ЦОС) базируется на теореме отсчетов, сог- ласно которой для обеспечения достоверной обработки непрерывного сигнала частота взя- тия отсчетов должна быть вдвое больше мак- симальной частоты обрабатываемого сигнала. Теорема отсчетов в комплексе с параметра- ми КМ1813ВЕ1 устанавливает основные огра ннчения по применению данной микросхемы. Частота отсчетов определяется длиной про- граммы (число командных слов), записанной в программной памяти, и временем цикла вы- полнения одной команды: fs-- 1 mts, где f, — частота взятия отсчетов; т — число командных слов в программе; ts — время цикла. 319
Рис. 18.8. Реализация действительного полюса цифрового фильтра Рис. 18.9. Реализация комплексно-сопряжен- ного полюса цифрового фильтра Функциональная сложность узлов, реализу- емых на КМ1813ВЕ1, определяется емкостью программной н оперативной памяти микросхе- мы. Так, для реализации одного полюса эле- ментарного цифрового фильтра требуется одно слово оперативной памяти, т. е. емкость ОЗУ допускает реализацию 40 полюсов. Если учесть, что в самом элементарном случае для реали- зации цифрового фильтра второго порядка тре- буется 10 команд КМ1813ВЕ1, то предельная эквивалентная функциональная сложность микросхемы характеризуется 19 звеньями циф- рового фильтра второго порядка. Превышение этого показателя может быть достигнуто за счет использования команды RNZ при наличии звеньев фильтра с одинаковыми передаточны- ми функциями. Реализация любого звена цифрового филь- тра обеспечивается выполнением трех опера- ций: единичной задержки, сложения и умно- жения. Для реализации единичной задержки, т. е. задержки на один интервал выборки, ис- пользуется промежуточное хранение соответ- ствующих данных в ячейке ОЗУ в сочетании с командой LDA. Умножение реализуется как сочетание операций сложения и вычитания. Для реализации действительного полюса цифрового фильтра (рис. 18.8), который опи- сывается передаточной функцией Рис. 18.10. Способ реализации генератора на микросхеме КМ1813ВЕ1 Максимально допустимая частота обраба- тываемого непрерывного сигнала fmax<fs/2. Важным требованием является сохранение постоянной длины программы прн взятии каж- дого последующего отсчета. Это требование необходимо соблюдать также при использова- нии команд RNZ и JNZ. где Т — период дискретизации; о — действи- тельное значение полюса, и имеет В = е~аТ = = 0,9922, а усиление по постоянному току G=(l—В)-< = 0,0078125, программа, написан- ная в системе команд КМ1813ВЕ1, будет иметь следующий вид: LDA Yl Y0 R00 NOP Пересылка LDA YO Yl R00 NOP Y0 1,0*У/ SUB YO Yl R07 NOP YO B*Y1 ADD YO X R07 NOP YO - B*Y1A~G*X. Случаю комплексно-сопряженного полюса (рис. 18.9) В] 2е оГ cos о>7'; В,—е ~аТ, где w — мнимая часть полюса при Si = = 1,7656 = 2'—2~2+2-6; Я2=0,99414=2°—2~’+ 4-2-9; G = 0,00293 = 2 8—2-10, соответствует следующая программа: LDA Y2 Yl R00 N0P Пересылка LDA Y2 YO R00 NOP Пересылка LDA Y0 Yl L01 NOP SUB Y0 Yl R02 NOP ADD Y0 Yl R06 NOP Y0 = BDYl SUB Y0 Y2 R00 NOP 320
A Рис. 18.11. Структурная схема анализатора спектра, реализованного на одной микро- схеме КМ1813ВЕ1 ADD YO Y2 R07 NOP SUB YO Y2 R09 NOP YO B1*Y1 -J- B2*Y2 ADD YO X R08 NOP SUB YO X RIO NOP YO-B1*Y1+ B2*Y2 + 4 G*X. Исходя из тех же соображений, на КМ1813ВЕ1 могут быть реализованы действи- тельные нули и комплексно-сопряженные нули. Генератор пилообразного напряжения мо- жет быть реализован с помощью операции вы- читания положительной константы К1 из зна- чения ячейки памяти, повторяемой один раз за каждый период выборки (время прохождения программы). Если результат становится отри- цательным, то к значению ячейки памяти при- бавляется положительная константа К2>К1. Такой генератор формирует пилообразное нап- ряжение с отрицательным наклоном и часто- той (Kl/K2)-fs, где — частота выборки (дискретизации). При переменном К1 получа- ем генератор, управляемый напряжением. Ку- сочно-линейная аппроксимация синусоидаль- ною сигнала может быть получена из генера- тора пилообразной формы при К2 = 1,0 (рис. 18.10). Программа реализации генерато- ра имеет вид: LDA Y OSG R00 N0P Пилообразный от+1 до 0 В SUB Y КР4 R00 NOP Пилообразный от + 0,5 до — 0,5 В ABS Y Y L01 NOP Треуюльный от 0 до 1,0 В SUB Y КР4 R00 NOP Треугольный or 0.5 до 0,5 В ADD Y Y L01 NOP Срезанный треуголь- ный , где OSG — ячейка для хранения значений пи- лообразного напряжения от 4-1 до 0 В. На одной микросхеме КМ1813ВЕ1 могут быть реализованы такие сложные в функцио- нальном отношении устройства, как анализа- торы спектра, корреляторы, синтезаторы речи и др. В качестве примера на рис. 18.11 пока- зана структурная схема анализатора спектра, построенного на одной микросхеме КМ1813ВЕ1 Глава 19 Микропроцессоры серии К1В14 Интегральные микросхемы серии K18I4, выполненные по р-МДП-технологии, представ- ляют собой однокристальные 4-разрядные мик- ро-ЭВМ, предназначены для построения мик- ропроцессорных систем управления. В серию входят: 11 Зак. 915 321
Таблица 19.1 Рис. 19.1. Условное гра- фическое обозначение однокристальной микро- ЭВМ Пара метр Обозначе- ние Значения параметров мин. макс. Напряжение питания, В исс —9,9 —8,1 Входное напряжение низкого уровня, В uIL — —4 Входное напряжение высокого уровня, В UIH —0,75 — Потребляемая мощность, мВт ?сс — 70 Тактовая частота, кГц — 100 350 Рабочий диапазон температур, °C т — 10 +70 Таблица 19.2 Вывод Обозначение Тип вывода Функциональное назначение выводов 1-5, 29—31, R8—R12, Выход Порт R 36—40 R0—R2, R3—R7 7—10 DI. D2, D4, D5 Вход Порт D 12, 16—19, 23—25 Q7—Q0 Выход Порт Q 11 Г Вход Сброс, тест 27 G Выход Тактовый сигнал 26 С Вход Тактовый сигнал 6, 15 Ь СС — Напряжение питания 20, 28 GND — Общий Примечай задействованы и е Выводы 13- 5 2/, 22, 32-35 микросхемы не Таблица 19.3 Рис. 19.2. Условное графиче- ское обозначение универсаль- ной микро-ЭВМ Вывод Обозначение I Тип вывод-з Функциональное назначение выводов Л 2 РС5, РС6 Выход Порт счетчика команд 3—10 К1-К8 Вход Порт шины команд 11—14 DI, D2, D4, D8 Вход Порт данных 15 Ucc Напряжение питания 16 Т Вход Сброс 17—20 PAI, РА2, Выход Порт адреса страницы РАЗ, РА4 21 Ф1 Вход Фазовый сигнал 22 и — Технологический вывод 23, 24, QSL, Q8, Q4, Выход Порт Q 26—28 Q2, Q1 25, 48 С, ND Общий 29 G Выход Тактовый сигнал 30 С Вход Тактовый сигнал 31—43 R0—R12 Выход Порт R 44—47 РС1—РС4 Выход Порт счетчика команд 322
aj QO Q7 Рис 19.3 Архитектура однокристальной микро-ЭВМ (а) и отличительные особенности универсальной мнкро-ЭВМ (б) однокристальная микро-ЭВМ (ОМ ЭВМ), которая содержит 4-разрядный процессор, ПЗУ программы емкостью 1К 8-разрядных ко- манд, ОЗУ данных емкостью 64 4-разрядных слова, схемы ввода/вывода и встроенный так- товый генератор (КР1814ВЕ4); универсальная микро-ЭВМ (УМ ЭВМ), идентичная по архитектуре однокристальной и отличающаяся тем, что адресует внешние ЗУ программ и управляет внешней выходной ПЛМ (KPI814BE3). Однокристальные микро-ЭВМ выполняют функции специализированных микроконтрол- леров в соответствии с программой, хранящей- ся в масочно-программируемом ПЗУ, и могут быть применены в периферийных устройствах вычислительной техники, различной контроль- но-измерительной, медицинской и бытовой ап- паратуре. Универсальная микро-ЭВМ предна- значена для отладки программ в составе отла- дочных устройств и для самостоятельного при- менения в изделиях, производимых в малом количестве, когда разработка однокристально- го варианта экономически не целесообразна. Условные графические обозначения микро- схем ОМ ЭВА4 и УМ ЭВМ приведены на рис. 19.1 и рис. 19.2 соответственно, функцио- нальное назначение выводов микросхем ОМ ЭВМ показано в табл. 19 2, УМ ЭВМ — в табл. 19.3. Электрические параметры и режимы экс- плуатации микросхем серии К1814 приведены в табл. 19.1. Структурная схема ОМ ЭВМ и отличитель- ные особенности УМ ЭВМ приведены на 11* рис. 19.3, а, б. Микро-ЭВМ построена с раз- делением шин команд и данных, что позволя- ет использовать данные и команды независи- мой разрядности, а также реализовать конвей- ерный принцип выполнения операции. Четы- рехразрядная организация достаточна для вы- полнения большинства контроллерных функций и особенно удобна при использовании двоично- десятичной арифметики. Разделение шин команд и данных обуслов- ливает аппаратное и программное разделение адресации памяти и ОЗУ данных. Адресное поле 1К. разбито на 16 страниц по 64 байта. Адрес страницы задается 4-раз- рядным регистром адреса страницы (РА), а 6-разрядный счетчик команд (PC) адресует 64 команды внутри каждой страницы. PC не является последовательным счетчиком. Счет осуществляется по псевдослучайному закону, приведенному в табл. 19.4. Изменение порядка выборки команд программы осуществляется командами условного перехода BR, возврата из подпрограммы RETN По командам BR и CALL осуществляется занесение PC 6-раз- рядного адреса перехода из адресного поля W этих команд. Одновременно в РА загружается 4-разрядный адрес страницы перехода из бу- ферного регистра адреса страницы РВ. Для реализации длинных ветвлений в РВ перед командами BR или CALL загружают страницы перехода из поля команды LDP Ес- ли содержимое РА и РВ одинаково, то про- исходит короткое ветвление программы (пере- ход на той же странице), если в РА из РВ за- гружается содержимое новой страницы, то 32.3
Таблица 19.4 Номер 1 команды | 1 Восьмеричное значение PC Номер команды | 1 Восьмеричное, значение PC 1 Номер команды , Восьмеричное значение PC Номер команды Восьмеричное значение PC 0 00 16 47 32 34 48 42 1 01 17 16 33 70 49 04 2 03 18 35 34 61 50 11 3 07 19 72 35 43 51 23 4 17 20 65 36 06 52 46 5 37 21 53 37 15 53 14 6 77 22 26 38 33 54 31 7 76 23 54 39 66 55 62 8 75 24 30 40 55 56 45 9 73 25 60 41 32 57 12 10 67 26 41 42 64 58 25 11 57 27 02 43 51 59 52 12 36 28 05 44 22 60 24 13 74 29 13 45 44 61 50 14 71 30 27 46 10 62 20 15 63 31 56 47 21 63 40 происходит длинное ветвление программы с пе- реходом на другую страницу памяти. В слу- чае команды CALL в регистре возврата из подпрограммы SR запоминается адрес возвра- та в основную программу, а в РВ запомина- ется адрес страницы основной программы. По команде RETN происходит возврат в исходную программу; при этом содержимое РВ пересылается в РА, а содержимое SR — в PC. Команды BR и CALL условны по состо- янию триггера состояния S и выполняются, если 5=1. В противном случае ветвления не происходит и в следующем цикле PC адресу- ет следующую команду программы. Такая структура адресации памяти программ проста в программировании, ио не позволяет осуще- ствлять вложение подпрограмм и длинные ветвления в подпрограмме. Структура адресации ОЗУ данных также страничного типа. ОЗУ емкостью 64X4 бит разбито на четыре страницы по 16 4-разряд, ных слова. Адрес страницы задается 2-разряд- ным регистром X, адрес слова внутри каждой страницы задается регистром Y. В отличие от регистра X регистр У участвует в операциях АЛУ и является регистром общего назначения (РОН). Изменение содержимого регистра X осуществляется по командам «Загрузить ре- гистр X» (LDX) и «Образовать дополнение со- держимого регистра X» (СОМХ) Четырехразрядное АЛУ выполняет опера- ции сложения, вычитания, арифметического и логического сравнения над операциями, посту- пающими с шины данных через входные муль- типлексоры. Результат арифметической опера- ции через выходной селектор в соответствии с микрокомандами отсылается в один из РОН, аккумулятор или регистр У. Одновременно с арифметическими операциями АЛУ производит сравнение входных величин и выработку приз- нака равенства или неравенства операндов. Результат сравнения (NE) или содержимое разряда переноса (CR) соответствующими микрокомандами могут пересылаться в триг- гер состояния. Входными операндами АЛУ могут быть вы- ходные коды регистра У, ОЗУ, мультиплексора данных СДВ, прямой или инверсный код акку- мулятора, константа 15. Мультиплексор данных СДВ представляет собой логическую схему, предназначенную для выбора и передачи на шину данных входной информации из порта D, константы С с шины команд или битовой маски для маскирования разрядов при выполнении операций над бита- ми. Пересылки данных в РОН осуществляются через АЛУ путем сложения их с нулями. Базовая система команд (табл. 19.5) со- держит 43 команды. Выполняемые операции: пересылка, арифметические, арифметическое и логическое сравнение, поразрядная обработка слов памяти, загрузка констант, ввода/выво- да, адресации. Все команды однобайтовые и имеют один из четырех форматов согласно табл. 19.6. Декодирование кодов команд и формирование сигналов управления осущест- вляются дешифратором команд. На выходе де- шифратора формируется горизонтальный мик- рокод, разряды которого инициируют выполне- ние микрооперации. Часть дешифратора вы- полнена на базе ПЛМ мощностью в 30 про- изведений, причем матрица «И» ПЛМ осуще- ствляет непосредственное декодирование кода команды, а матрица «ИЛИ» выполняет функ- ции памяти микропрограмм. Управляющие сиг- налы, возбуждаемые иа выходе ПЛМ, иници- ируют выполнение комбинаций из 16 програм- мируемых микроопераций. Для обеспечения возможности формирования сложных команд типа «Чтение—операция—запись» выбрана ор- ганизация выполнения микроопераций, обыч- ная для горизонтального микропрограммиро- вания. Для некоторых операций, связанных с ад- ресацией, вводом и модификацией разрядов, достаточно произвести одну микрооперацию, причем эти микрооперации не используются в совокупности с другими микрооперациями в одном командном цикле. Поэтому с целью эко- номии микропрограммной ПЛ^А формирование сигналов управления для данных операций осуществляется непосредственно на выходе де- шифратора (матрица «И» ПЛМ). Слово состояния программы определяется содержанием триггера признака подпрограм- мы CL и триггера состояния S. Триггер приз- нака подпрограммы устанавливается командой CALL и определяет занесение адреса возвра та в регистр возврата и буфер страницы и бло- кировку длинных переходов в режиме вызова. Таким образом, длина подпрограммы не может превышать 64 команды. Сброс триггера про- изводится при возвращении в основную про- грамму по команде RETN. 324
Таблица 19.5 Тнп команд Мнемоника команд Код опе- рации (двоичный) Состояние PC Описание команды Пересылки TAY 00100100 1 Переслать содержимое аккумулятора в ре- гистр У ТУА 00100011 1 Переслать содержимое регистра Y в акку- мулятор ТАМ 00000011 1 Переслать содержимое аккумулятора в па- мять TAMIY 00100000 1 Переслать содержимое аккумулятора, ин- кремент регистра У TAMZA 00000100 1 Переслать содержимое аккумулятора в па- мять, очистить аккумулятор TMY 00100010 1 Переслать содержимое памяти в регистр У ТМА 00100001 1 Переслать содержимое памяти в аккумуля- ХМА 00101110 1 Поменять содержимое памяти и аккумуля- тора CLA 00101111 1 Очистить аккумулятор Засылки констант TCY 0010(C) 1 Загрузить константу в регистр У Арифметические операции TCM/Y AM МАС SAMAN 0110(C) 00100101 00100111 00101000 00101010 00001110 1 CR Загрузить константу в память, увеличить регистр У Сложить содержимое аккумулятора и па- мяти, результат переслать в аккумулятор Вычесть содержимое аккумулятора из па- CR IMAC CR мяти, результат переслать в аккумулятор Инкремент содержимого памяти и загру- DM AN CR зить в аккумулятор Декремент содержимого памяти и загру- зить в аккумулятор /А 1 CR Инкремент содержимого аккумулятора Сравнения DAN /УС DYN А6ААС А8ААС А10ААС CPA/Z ALEM 00000111 00101100 00101100 00000110 00000001 00000101 00101101 00101001 0111(C) Декремент содержимого аккумулятора Инкремент содержимого регистра У Декремент содержимого регистра У Увеличить содержимое аккумулятора иа 6 Увеличить содержимое аккумулятора на 8 Увеличить содержимое аккумулятора на 10 Образовать дополнение аккумулятора до 2, установить триггер состояния Если аккумулятор меньше или равен па- мяти, то установить триггер состояния Если аккумулятор меньше или равен кон- станте, то установить триггер состояния Если содержимое памяти не равно 0, то установить триггер состояния CR CR CR CR CR CR CR CR ALEC MNEZ С0100110 , NE YNEA 00000010 NE Если содержимое регистра У ие равно ак- кумулятору, то установить триггер состоя- ния, бит состояния переслать в фиксатор со- YNEC стояния Побитовой обра- ботки памяти Адресации страниц ОЗУ 0101(C) 001100В 001101В 001110В 001111В 00000000 NE 1 1 NE Если содержимое регистра У не равно кон- станте, то установить триггер состояния Установить бит памяти Сбросить бит памяти Проверить бит памяти, и если 1, то уста- новить триггер состояния SB/T \RB/T TBITI LDX СОМХ 1 1 Загрузить константу в регистр X Инвертировать содержимое регистра X LDP BR 0001(С) 10(W) 1 Загрузить константу в буфер адреса стра- ницы 1 Переход, условно по состоянию call 11(W) 1 Обращение к подпрограмме, условно по со- СТОЯНИЮ 325
Окончание табл. 19.5 Тнп команд Мнемоника команд Код опе- рации (двоичный) Состояние PC Описание команды RETN 00001111 1 Возврат из подпрограммы DNEZ 00001001 NE Если входные данные не равны 0, то уста- Ввод/вывод TDA 00001000 1 новить триггер состояния Переслать входные данные в аккумулятор SETR 00001101 1 Установить разряд регистра R TIQ 00001010 1 Переслать содержание аккумулятора и CLQ 00001011 1 фиксатора состояния в регистр Q Очистить регистр Q PSTR 00001100 1 Сбросить разряды регистра R Примечание. CR — перенос в результате арифметической операции, перенос-заем; NE — результат компарацнн (NE=\, если операнды ие равны); (С) — код константы; (W) — 6-разрядное поле адреса пере- хода. Триггер состояния определяет разрешение передач управления. Содержимое триггера оп- ределяется командой, выполненной в предыду- щем цикле. В зависимости от команды (см. табл. 19.5) триггер состояния принимает безус- ловное значение 1, значение разряда переноса сумматора, либо результата сравнения операн- дов компаратором. Содержимое триггера сос- тояния через выходной фиксатор состояния SL может быть выведено в выходной регистр Q. Фиксатор состояния может быть модифициро- ван только командой YNEA. Микро-ЭВМ имеет раздельные схемы ввода и вывода, позволяющие параллельно вводить входные данные и выводить содержимое двух выходных регистров Q и R на раздельные пор- ты вывода. Входные данные вводятся в схему через 4-разрядный входной порт D и далее через мультиплексор данных поступают на шину данных. Входная информация из порта D мо- жет пересылаться в аккумулятор по команде TDA или в АЛУ для проверки иа нуль по Таблица 19.6 II КОП I.SB (С) MSB Ill КОП LSB (В) MSB IV | КОП Примечание. Kti—Kl — разряды кода команды, (W) — 6-разрядное поле адреса перехода: (С)—4-разрядиое поле константы нлн адреса страни- цы программной памяти; (В) — 2-разрядиое поле би- та ячейки ОЗУ. команде DNEZ. Выходной регистр Q исполь- зуется для параллельного вывода данных. За- пись в регистр Q производится по команде вы- вода TIQ; при этом содержимое аккумулято- ра и фиксатора состояния SL пересылается в регистр Q. Для удобства представления выходной ин- формации порта Q, например на 7-сегмеитном индикаторе или другом устройстве отображе- ния информации, в схеме имеется выходной шифратор информации, выполненный на базе ПЛМ мощностью 20 произведений. Матрица «ИЛИ» ПЛМ имеет восемь выходных линий в соответствии с разрядностью порта Q. Про- граммирование выходной ПЛМ производится маской иа стадии изготовления в соответствии с требованиями пользователя. В универсаль- ной микро-ЭВМ выходная ПЛМ кодируется как повторитель. Выходной регистр R имеет 13 индивидуаль- но программируемых ячеек памяти. В одном командном цикле может быть устаиовлеиа (командой SETR) или сброшена (командой RSTR) только одна ячейка регистра R, адре- суемая текущим содержимым регистра У. Все ячейки регистра R имеют параллель- ный выход на выводы порта R. Индивидуаль- ное программирование выходных линий пор- та R позволяет эффективно использовать их для непосредственного управления исполни- тельными устройствами, стробирования вход- ных и выходных данных, сканирования клави- атуры, дисплея и других применений. Разделение шин команд и данных позволя- ет организовать двухуровневый конвейер опе- раций, совместив цикл выборки и исполнитель- ный цикл соседних команд. При такой органи- зации время выполнения операций определяет- ся длительностью машинного цикла микро- ЭВМ, а не полного цикла команды. Длитель- ность машинного цикла составляет 6 тактов генератора или 20 мкс на частоте 300 кГц и одинакова для всех команд, что очень удобно при программировании задач реального време. ни. Синхронизация схемы в пределах цикла 326
Выход фазы Ф! ]_ Фаза Ф2 _____ (внутренней) [Чтение I IwwhAt | ввод данный порта 27 I Цикл Выборки I команды Исполнительный цикл „Гз™| Порт К { у V?SM| Порт Q : I— rrs I— Порт PA: , в К/'CALL 1— ---------1 ----------1 flapm PC: Рис. 19.4. Временные диаграммы работы мик- ро-ЭВМ серии К1814 осуществляется пятью фазами, часть которых приведена на рис. 19.4. Здесь же указаны вре- менные интервалы выполнения разлитых мик- роопераций, для команд вывода приведены временные диаграммы. При включении питания специальной схе мой производится начальная установка мик- росхемы в исходное состояние: РА = РВ=15, PC —О, Q = 0, R = 0, CL=0. Поддержка внут- ренней схемы инициализации при работе с ис- точниками питания, не обеспечивающими кру- той фронт нарастания напряжения в микросхе- ме, обеспечивается по выводу Т путем созда- ния задержки установки уровня нуля иа дан- ном выводе внешней емкостью. Разряд емко- сти при отключении питания производится внешним диодом. Сброс микро-ЭВМ в началь- ное состояние осуществляется путем установ- ки иа выводе Т напряжения высокого уровня иа время ие менее шести машинных циклов при нулевой информации на входах порта D. Состояние схемы после сброса аналогично со- стоянию после начальной установки. Микро-ЭВМ может работать с внешней и виутреиией синхронизацией. В первом случае тактовые импульсы подаются на вход С с внешнего генератора, во втором при подклю- чении /?С-цепи к замкнутым выводам С и G внутренний релаксационный генератор форми- рует тактовые импульсы с частотой, определя- емой параметрами /?С-цепи. Типовое значение частоты составляет 300 кГц. На рис. 19.5 приведена схема включения однокристальной микро-ЭВМ для реализации простого секундомера. При нажатии на кноп- ку 1 начинается отсчет времени с отображени- ем на 4-разрядиом индикаторе десятых долей секунды, секунд, десятков секунд и минут. При нажатии иа кнопки 2 или 3 происходит оста- новка счета времени или сброс секундомера соответственно. Для отсчета времени иа вход D8 микро-ЭВМ подаются импульсы частотой 50 кГц. Разряды порта R R0—R3 используются для сканирования клавиатуры и индикатора, при- чем сигнал высокого уровня иа выходах R со- ответствует разрешению свечения соответству- ющего разряда индикатора. Предполагается, что выходная ПЛМ закодирована для преоб- разования содержимого регистра Q в коды се- мисегментиого индикатора так, что нулевому значению регистра Q соответствует 0 на инди- Рис. 19.5. Схема включения микро-ЭВМ при реализации секундомера: 7 кнопка «Пуск»; 2— кнопка «Стоп»; .? -- кнопка «Сброс» к ВИТ 0 Флаг<Пуск> Счетчик импульсов Десятые доли секунды Секунды Десятки, секунд Минуты 1 2 3 Г» 4 Y-15 Рис. 19.6. Распределение памяти данных при реализации секундомера 327
Таблица 19.7 Адрес стра- ницы ЗУ про- граммы Адрес команды Код команды Метка пере- хода Мнемоника команды Операнд Примечание 15 0 00101111 ST А CLA Старт программы 0->Л4 15 1 00111100 LDX 0 (Х=0, У=о—5) 15 3 01001010 TCY 5 15 7 00000011 CL TAM 15 15 00101100 DYN 15 31 10000111 BR CL 15 63 01000010 D1S1 TCY 4 Программа сканирования 15 62 00101100 DIS2 DYN клавиатуры и дисплея 15 61 00100001 TMA 15 59 00101011 IYC 15 55 00001100 RSTR 15 47 00101100 DYN 15 30 ооооюю TIQ 15 60 00001101 SETR 15 57 00001001 DNEZ 15 51 10111010 BR IMP 15 39 01011111 DIS3 YNRC •15 15 14 10111110 BR DIS 2 15 29 10111111 BR DI SI 15 58 00001000 IMP TDA Проверка нажатия кио- 15 53 01111000 ALEC 1 пок 15 43 10001010 BR F К определению кнопки 15 22 00100011 TYA Проверка флага (пуск) 15 44 01001010 TCY 5 15 24 00111000 TBIT I 0 15 48 10000101 BR CLC Пуск—> на счет вре- мени 15 33 00100103 TAY 15 2 10100111 BR DIS3 <Пуск> ие установлен 15 5 00100100 CLC TAY Счет времени 15 11 00001100 RSTR 15 23 01000010 TCY 4 15 46 00101000 IMAC 15 28 00000011 TAM 15 36 01110010 ALEC 4 15 49 10011001 BR WAIT 15 35 00101111 INC CLA 15 6 00000011 TAM 15 13 00101100 DYN 15 27 00101000 IMAC 15 54 00000011 TAM 15 45 00001111 RETN 15 26 01111001 ALEC 9 15 52 10011001 BR WAIT 15 41 11100011 CALL INC 15 18 01111001 ALEC 9 15 36 10011001 BR WAIT 15 8 11100011 CALL INC 15 17 01111010 ALEC 5 15 34 10011001 BR WAIT 15 4 11100011 CALL INC 15 9 01111001 ALEC 9 15 19 10011001 BR WAIT 15 38 00101111 CLA 15 12 00000011 TAM 15 25 00001001 WAIT DNEZ Задержка до конца ИМП—СА 15 50 10011001 BR WAIT 15 37 10111111 BR DIS I 328
Окончание табл. 19.7 Адрес стра- ницы 3Y про- гра м м ы Адрес команды Код команды Метка пере- хода Мнемоника команды Операнд Примечание 15 10 00010000 F LDP 0 15 21 юоооооо BR Fl LT 0 0 11000000 MEMORY 00 000 0 0 00100011 F1LT TYA Определение кнопки 0 1 01110000 ALEC 0 0 3 10111110 BR SETFL 0 7 01111000 ALEC 1 0 15 10101111 BJR RSTFL 0 31 00011111 LDP 15 0 63 юоооооо BR ST A 0 62 01001010 SETFL TCY 5 Установка флага <пуск> 0 61 00110000 SBJT 0 0 59 00100100 TAY 0 55 10111001 BR DIS 0 47 01001'010 RSTFL TCY 5 Сброс <флага> 0 30 00110100 RBIT 0 < пуск> 0 60 00100100 TAY 0 57 00011111 D1S LDP 15 0 51 10100111 BR D1S3 0 0 00000000 END каторе, единице соответствует 1 на индикато- ре, и так до девяти. Программа микро-ЭВМ, реализующая секундомер, приведена ниже (табл. 19.7), В программе используются ячей- ки У=0—5 нулевой страницы ОЗУ данных. Назначение ячеек ОЗУ приведено на рис. 19.6. Глава 20 Однокристальные микро-ЭВМ серии КР1816 Микропроцессорный комплект серии КР1816 состоит из микросхем КР1816ВЕ35, КР1816ВЕ39, КМ1816ВЕ48 и КР1816ВЕ49, вы- полненных по п-МДП-технологии. Каждая микросхема представляет собой однокристаль- ную 8-разрядиую микро-ЭВМ, содержащую функциональные узлы (центральный процессор, ОЗУ данных, многоканальный интерфейс вво- да/вывода, 8-разрядный таймер/счетчик, век- торную систему прерываний с приоритетом, тактовый генератор, устройство синхрониза- ции, программную память), обеспечивающие универсальность, автономность и гибкость при- менений в устройствах самого различного на- значения. Микросхемы серии К.1816 имеют идентичную структуру, но отличаются друг от друга только наличием (КМ1816ВЕ48, КР1816ВЕ49) или отсутствием (КР1816ВЕ35, КР1816ВЕ39) внутренней программой памяти, объемом внутреннего ОЗУ, типом и объемом ПЗУ, максимальным быстродействием. Так, микросхема КМ1816ВЕ48 содержит электриче- ски перепрограммируемое ПЗУ (ППЗУ>, сти- рание информации в котором осуществляется ультрафиолетовым облучением. Таким обра- зом, пользователь микросхем КМ1816ВЕ48 имеет возможность самостоятельно произво- дить запись информации в программную па- мять, в отличие от КР1816ВЕ49, где эта опе- рация осуществляется с помощью отдельного шаблона в процессе изготовления микросхемы. Отличительные особенности микросхем ука- заны в табл. 20.1. Таблица 20.1 К -о S Тип мнкро схемы Иг с г к с г г и о . J s 2 к £ х « г со О 2 V ь. £ 42 л 2 2 X л X л н Q Ь О _ х л я Н О. С 'С л Оо КР1816ВЕ35 — — 64 6,0 КР1816ВЕ39 — — 128 11,0 КМ1816ВЕ48 ППЗУ 1К 64 6,0 КР1816ВЕ49 ПЗУ 1К 128 11,0 329
4 ваг 7 33 25 12 13 14_ п 18 20 26 88 081 082 ЛВЗ 0В4 овз ЛВ6 087 ено вл 8МЕ WR ALE 82(0) 82(1) 82(2) 82(3) 82(4) 82(5) 82(6) 82(7) 81(0) 81(1) 81(2) 81(3) 81(4) 81(5) 81(6) 81(7) в 10 и 21 22 23 24 35 Л 37 38 ’ 27 28 23 2 31 33 34 Рис. 20.1. Условное графическое изображение КР1816ВЕ35, КР1816ВЕ39, КМ1816ВЕ48, КР1816ВЕ49 В каждой микро-ЭВМ предусмотрена воз- можность расширения памяти программ до 4К байт, памяти данных до 384 байт и уве- личения числа линий ввода/вывода за счет подключения внешних кристаллов ППЗУ (ПЗУ), ОЗУ и интерфейсов ввода/вывода се- рии КР580. Условное графическое обозначение микро- схемы приведено на рис. 20.1, назначение вы- водов — в табл. 20.2, структурная схема пока- зана на рис. 20.2 Арифметико-логическое устройство (АЛУ) представляет собой параллельное 8-разрядное устройство, позволяющее выполнять арифме- тические, логические операции и операции сдвига над данными, представленными в дво- ичном коде, а также обрабатывать данные, представленные в двоично-десятичном коде. Блок программной памяти (БПП) предназ- начен для записи, хранения и считывания команд, которые поступают в процессор и уп- равляют процессором обработки информации. Блок состоит из ПЗУ (ППЗУ), счетчика команд, дешифратора адреса и дешифратора команд. В микросхеме КМ1816ВЕ48 используется ППЗУ емкостью 1024 8-разрядных слова, пред- ставляющее собой электрически программиру- емое устройство со стиранием информации УФ-излучеиием. Таким образом, содержимое ППЗУ определяется пользователем микросхе- мы. Микросхема КР1816ВЕ49 содержит ПЗУ емкостью 2048 8-разрядных слова, содержимое которого задается в процессе изготовления микросхемы. Память, расположенная на кристалле, за- нимает адреса с 0000 по 03FFH (КМ1816ВЕ48) или 07FFH (КР1816ВЕ49). В микросхеме пре- дусмотрено расширение программной памяти при прямой адресации до 4096 8-разрядных слов. Внешняя память занимает адреса с 0400Н (КМ1816ВЕ48) или 0800Н (КР1816ВЕ49) по 0FFFH. Все поле адресов с 0000 по 0FFFH разбито иа два банка: банк памяти 0 с адресами 0000 по 07FFH и банк памяти 1 с адресами 0800Н по 0FFFH. Пере- Рис. 20.2. Структурная схема КР1816ВЕ35, КР1816ВЕ39, КМ1816ВЕ48, КР1816ВЕ49 330
Таблица 20.2 Вывод Обозначение Тип вывода Функциональное назначение выводов 1 то Вход/выход Используется как вход при командах условного пе- 2, 3 BQ1, BQ2 Входы рехода JTO, INTO и как выход тактовых сигналов после выполнения команды ENTO CLK Используются для подключения кварца, ЕС-цепи или 4 ~SR Вход внешнего тактового генератора Сигнал инициализации микро-ЭВМ 5 SS Вход Сигнал, используемый совместно с сигналом ALE 6 Tnt Вход для организации пошагового выполнения программы Сигнал прерывания, если прерывание разрешено. 7 EMA Вход Используется при команде условного перехода JNI Сигнал переключения в режим работы с внешней па- 8 RD Выход мятью. Используется также в режиме программиро- вания и проверки ППЗУ Стробирующий сигнал при чтении из внешней памя- 9 ~РМЁ Выход ти данных и при считывании информации в порт РО из внешних устройств Стробирующий сигнал при чтении из внешней памя- 10 ~WR Выход ти программ. Используется только при работе с внешней памятью Стробирующий сигнал при записи во внешнюю па- 11 ALE Выход мять данных и при записи информации из порта РО во внешние устройства Стробирующий сигнал адреса. Выдается один раз в 12—19 Порт PO, Вход/выход каждом машинном цикле. Используется для строби- рования адреса внешней памяти программ и данных Восьмиразрядный трехстабильный двунаправленный 20 DB0—DB7 GND - порт, информация в который может быть записана или считана синхронно с сигналами WR, RD. Порт может быть статически зафиксирован. Он выдает во- семь младших разрядов адреса при работе с внешней памятью программ и принимает код команды из внешней памяти программ по сигналу РМЕ. Порт выдает адрес и данные при выполнении команд об- ращения к внешней памяти данных по сигналам ALE, RD, WR, принимает адрес и данные при про- граммировании внутреннего ППЗУ Общий 21—24, P2(0)—P2(7) Вход/выход Восьмиразрядный квазидвунаправленный порт Р2. оЬ—оо 25 PR Вход'выход Включает в себя четыре старших разряда адреса при обращении к внешней памяти [Р2(3]—Р2(0) — вы- ход], а также служит в качестве 4-разрядной шины для расширения каналов ввода/вывода совместно с сигналом PR Используется как выход для расширения каналов 26 27—34 39 Udd P1(O)~P1(7) Вход/выход ввода/вывода и как вход только в КМ1816ВЕ48 в режиме программирования ППЗУ Напряжение питания при программировании ( + 25 В для КМ1816ВЕ48) и при работе +5 В Восьмиразрядный квазидвунаправленный порт Р1 T1 Вход Используется при командах условного перехода 40 U cc — JT1, JNT1, а также как вход счетчика внешних со- бытий после выполнения команды STRT CNT Напряжение питания +5 В 331
«35 банк 1(МВ1) ' 20« ,,2tV7 5аикО(МВО) OFFF 0000 07FF Адрес Внешнем ПЗУ > команд микросхемы . КР1В16ВЕУЗ Адрес ПЗУ команд 'Внутри микросхемы . Адрес Внешнем ПЗУ пьпп \ команд микросхемы „—X КМШООЕМ 03FF 3 Адрес РОЗУ команд ’ Внутри микросхемы 0007 Адрес перехода по Вектору прерывание по таймеру 0003 Адрес перехода по Векто- ру Внешнее о прерывание 0000 Адрес начальной установка Рис. 20.3. Поле распределения адреса памяти программ ключение банков осуществляется програм- мным путем. Поле распределения памяти про- грамм показано иа рис. 20.3. Счетчик команд (СК) предназначен для формирования текущего адреса местонахожде- ния команды в программной памяти. Счетчик команд содержит 12 разрядов. Содержимое СК увеличивается после выбора каждого бай- та команды и может изменяться скачкообраз- но при выполнении команд условных, безус- ловных переходов при выполнении команд CALL и при выполнении прерываний. Старший разряд СК изменяется только программно 63 (127) 32 31 25 2Ь 23 ОЗУ данных 32x8 банк Р0Н1 881 8*8 ПО а Восьмиуроднедый стек 8*76 или ОЗУ данных 8 7 2 1 О банк РОНО ПВО _8±8_______ ~_Л!______ R0 3F (80) 20 1F 18 17 07 07 00 Прямая адресация (если дыоран банк РОН1) Прямая адресация (если дыдран банк РОНо) tl Рис. 20.4. Поле распределения памяти данных. В скобках указаны данные для микросхемы КР1816ВЕ4Э (команды EEL МВО, SEL МВ1). Счетчик ко- манд разбит на две части: счетчик младших разрядов (биты 0—7) и счетчик старших раз- рядов (биты 8—11). При использовании внеш- ней программной памяти биты 0—7 СК посту- пают через порт РО (выводы DB0—DB7), а биты 8—И —через порт Р2 [выводы Р2(0) — Р2(3)]. Дешифратор и регистр команд предназна- чены для записи, хранения и декодирования команд, поступающих из программной памяти. С выхода дешифратора снимаются управляю- щие сигналы, осуществляющие выполнение ко- манд. Оперативное запоминающее устройство предназначено для хранения данных, получае- мых при обработке информации. Ячейки ОЗУ (64—КМ1816ВЕ48 и 128—КР1816ВЕ49) раз- биты иа два банка регистров общего назна- чения (РОН) с адресами ООН—07Н (банк 0) и 18Н—1FH (банк 1). Переключение банков осуществляется программным путем с помо- щью команд SEL RBO, SEL RB1. Восьми- уровневый 16-разрядный стек с адресами 8— 17, ячейки ОЗУ 20—3F используются только как ОЗУ данных. Поле распределения памяти данных показано на рис. 20.4. Для записи и выборки данных нз ОЗУ ис- пользуются два вида адресации: прямая и кос- венная (регистровая). При прямой адресации в коде команды три младших бита определя- ют адрес РОН (регистры R0—R7 прн выборе банка регистров R0, регистры R0'—R7' при вы- боре банка регистров R1). При косвенной ад- ресации место расположения адреса указыва- ется в команде. Адрес ячейки ОЗУ может хра- ниться либо в аккумуляторе, либо в регист- рах R0, R1 или регистрах R0', Rl', в зависи- мости от выбранного банка регистров. С по- мощью косвенной адресации можно адресо- ваться к любой ячейке ОЗУ. Программист по своему усмотрению может использовать для хранения данных любые неиспользованные ячейки — регистры банков РОН, стек, а так- же имеет доступ к любой из ячеек ОЗУ по- средством косвенной адресации. В микро-ЭВМ предусмотрена возможность расширения памяти данных путем подключе- ния микросхем ОЗУ емкостью до 256 байт. Об- щая емкость ОЗУ в этом случае будет равна 324 байт. Обращение к внешней памяти дан- ных осуществляется с помощью команд MOV X @, R, A, MOV ХА, © R. Стробирует- ся информация внешнего ОЗУ сигналами WR, RD. Устройство ввода/вывода служит для орга- низации обмена информации микро-ЭВМ с внешними устройствами. В микро-ЭВМ имеет- ся 27 линий ввода/вывода, 24 из которых объ- единены в три 8-разрядиых порта (Р0, Pl, Р2). Порт Р0 (DB0—DB7) — двунаправленный, порты Pl, Р2 — квазидвунаправленные. Порты Р1 н Р2 имеют идентичные характе- ристики. Данные, записанные на этих портах, статически фиксируются и не изменяются до перезаписи. Как входы эти линии не фнксиру- 332
Рис. 20.5. Временная диаграм- ма работы с дополнительным портом m/it) 14(114, HZ/LZ-ALZ,LH) P2@-P2fi) Vn Р2(о)-Р2® PR ts(Pi,nL-vK,ZK/zi) , illZi.LH-lK.ZH/ZL) tUm.HziLz-m.itL) t dlHrZ,HZlLZ-?ft,LH} Hl/LH-PR, LU) tfj.WL ist7(sn,LH/HL-^,HL) t t ются, t. e. входные данные должны присутст- вовать до считывания по команде приема дан- ных. Для использования портов Pl, Р2 в каче- стве входов микро-ЭВМ должна выдать сна- чала 1 по соответствующим линиям Pl, Р2 по команде выдачи данных. Порты Р1 и Р2 уста- навливаются в состояние 1 также после пода- чи сигнала SR. Порт РО — 8-разрядный двунаправленный порт с тремя состояниями; он может использо- ваться и в качестве статически фиксированно- го выходного порта или нефиксированного входного порта. Информация, выдаваемая портом РО с помощью команд OUTL BUS, А; MOVX @ R, А, сопровождается стробимпуль- сом WR. При записи информации в порт РО с помощью команд INSA, BUS и МОЕТ А, @R вырабатывается стробимпульс RD. Кроме операций ввода/вывода информации предус- мотрена возможность выполнения логических операций И, ИЛИ непосредственно на портах РО, Р1 и Р2 с помощью команд ANLP, # DATA; ORLP, #DATA: ANL BUS, # DATA; ORL BUS, # DATA. ___ Три линии ввода'вывода (TO, Tl, INT) ис- пользуются как входы, проверяемые команда- ми условного перехода. Линия ТО может ис- пользоваться для выдачи тактовых сигналов частотой FbqIS по команде ENTO CLK. Ли- ния Т1 может быть использована как вход счетчика внешних событий для счетчика/тай- мера. Линия 1NT может быть использована для внешнего аппаратного прерывания. Кроме того. мнкро-ЭВМ позволяет увели- чить число линий ввода/вывода, если исполь- зовать команды MOVD А, Р; MQVD Р, А; ANLD Р, A; ORLD Р, А и соответствующую схему. При этом обмен информацией осуще- твляется через порт Р2 [Р2(0)—Р2(3)]. Вре- менная диаграмма работы с дополнительным юртом показана на рис. 20.5. Каждая пере- ылка состоит из двух 4-разрядиых полубай- те. Первый содержит код операции и адрес юрта, а второй — четыре бита данных А. 'инхронизация осуществляется сигналом PR. Переход сигнала PR с высокого уровня на низкий указывает, что на выходах Р2(0)~ Р2(3) находятся код операции и адрес порта, а переход сигнала с низкого уровня на высо- кйй указывает на то, что на выводах Р2(0)— Р2(3) находятся данные — содержимое четы- рех младших разрядов аккумулятора. Устройство управления и синхронизации предназначено для выработки сигналов, обес- печивающих выполнение команд; оно состоит из следующих узлов: генератора, формирова- теля внутренних тактовых сигналов, формиро- вателей сигналов состояний и режимов работы. Встроенный генератор — это последователь- ная резонансная схема, работающая в диапа- зонах 14-6 МГц (для КР1816ВЕ35, KM1816BE48I и 14-11 МГц (для КР1816ВЕ39, КР1816ВЕ49). Выводы BQ1, BQ2 используют- ся для подключения внешнего кварца и ЕС-це- пн, причем вывод BQ1 является входом, вы- вод BQ2 — выходом. Кварц или Z-C-цепь обес- печивают частотно-зависимую обратную связь и фазовый сдвиг для генератора. На выходы BQ1 и BQ2 можно подавать также сигналы от внешнего источника тактовых сигналов Схемы подключения резонатора, ЕС-цепи и внешнего источника тактовых сигналов показа- ны на рнс. 20.6, а—г Формирователь внутренних тактовых сигна- лов осуществляет деление частоты встроенно- го генератора на 3 и выработку внутренних тактирующих сигналов, которые могут быть выданы на вывод ТО по команде ENTO CLK (рис. 20.7, а). Прекратить выдачу тактовых сигналов на вывод ТО можно только сигналом SR. С выхода делителя тактирующие сигналы поступают на вход делителя на 5, выходные сигналы которого определяют пять внутренних состояний микро-ЭВМ (S1—S5). Сигнал с од- ного из выходов делителя поступает на вывод ALE. Период следования сигнала ALE соот- ветствует машинному циклу микро-ЭВМ. Та- ким образом, машинный цикл микро-ЭВМ со- ставляет 15 TBq (Tbq — период следования сигнала BQ1) Сигналы с выходов делителей на 3 и на 5 формируют всю временную после- 333
Рис. 20.6. Схемы подключения к микросхеме резонатора (а), /.С-цепи (б), внешнего источ- ника тактовых сигналов (в), резонатора в ре- жиме работы с внутренней памятью команд и автоматическим сбросом (г). В скобках при- ведены данные для микросхем КР1816ВЕ39, КР1816ВЕ49 а) Рис. 20.7. Схемы подключения вывода ТО к делителю частоты по команде ENTO CLC (а) и временные диаграммы временных сигналов (б) довательность работы микро-ЭВМ. Временная диаграмма выходных сигналов показана на рис. 20.7, б. Формирователи сигналов состояний и режи- мов работы определяют режимы работы мик- ро-ЭВМ. В качестве входных сигналов фор- мирователи используют сигналы EMA, PR, ТО, SS, SR. Комбинации этих сигналов реализу- ют основные режимы работы микро-ЭВМ. Схема условных переходов предназначена для формирования сигналов управления для ветвления программы при выполнении команд условных переходов. Переход осуществляется по следующим условиям: по содержимому аккумулятора (0 или не 0) ; по состоянию одного из разрядов аккуму- лятора; по состоянию триггера флага таймера/счет- чика ТТ; по состоянию входа ТО; по состоянию входа ТГ, по состоянию входа INT; по состоянию триггера флага F0; по состоянию триггера флага Ft; по состоянию триггера переноса С. Условия перехода определяются соответст- вующими командами. Значения признаков С, F0, Fl, ТТ устанавливаются программно, приз- наков ТО, Tl, INT — аппаратно. Таймер/счетчик предназначен для подсчета внешних событий (используется внешний сиг- нал Т1) и генерирования временных интерва- лов. В состав таймера/счетчика входят: дели- тель на 32, счетчик, триггер флага таймера/ счетчика. Делитель предназначен для формирования импульсов, которые используются при работе таймера/счетчика в режиме таймера. На вход делителя на 32 поступают импульсы с выхода делителя на 5 устройств управления и синхро- низации. Таким образом, на вход счетчика с выхода делителя на 32 поступают импульсы с частотой Ев<г/480. Счетчик предназначен для подсчета посту- пающих на него импульсов. Он представляет собой 8-разрядный регистр со схемой инкре- мента. На вход счетчика поступают импульсы с делителя на 32 (в режиме таймера) и с вхо- да Т1 (в режиме счетчика внешних событий). Содержимое счетчика устанавливается по команде MOV Т, А, а текущее значение его проверяется по команде MOV А, Т. Запуск счетчика в режиме таймера осуществляется с помощью команды STRT Т, в режиме счет- чика событий — STRT CNT. Остановка счет- чика производится командой STOPT CNT. При переходе счетчика из состояния FF в состоя- ние 00 производится установка флага тайме- ра/счетчика. Триггер флага таймера/счетчика представ- ляет собой триггер, который устанавливается в 1 при переходе счетчика из состояния FF в состояние 00. Триггер используется в коман- дах условного перехода, а также для органи- зации прерывания по таймеру/счетчику. 334
Схема прерывания предназначена для ор- ганизации прерывания естественного хода про- граммы и выполнения подпрограммы обслужи- вания прерывания. В микро-ЭВМ предусмотрено два вектора прерывания. Одни вектор — аппаратный по входу INT (адрес 03), второй используется как внутренний и как внешний (вход Т1) по фла- гу счетчика/таймера (адрес 07). Каждый из векторов прерываний может быть разрешен или запрещен программно командами ENI, DISI, EN_TCNT1, DIS TCNT1. Кроме того, по сигналу S/? прерывания запрещаются до тех пор, пока они не будут разрешены соответст- вующими командами (ENI, ENTCNTI). При поступлении на вход сигнала INT (О — активный), если прерывание по входу INT разрешено, происходит обращение к про- грамме обслуживания с адресом 03. При этом (как и при любом обращении к подпрограмме) содержимое счетчика команд и слово с инфор- мацией о состоянии программы (PSW) запи- сываются в стек. То же происходит и при вы- работке флага таймера/счетчика. Однако в этом случае обращение производится в про- грамме обслуживания по адресу 07. Программа обслуживания должна заканчи- ваться командой RETR (восстановление состо- яния и возврат к прерванной программе), так как Tto выполнения команды RETR последую- щие запросы на прерывание не обслуживаются (прерывание запрещено). Система прерывания в микро-ЭВМ — фик- сированная по приоритету. Прерывание по вхо- ду INT имеет старший приоритет, т. е. при одновременном поступлении запросов на пре- рывание по входу INT и по флагу таймера/ счетчика обслуживаться будет прерывание по входу INT- Регистр состояния программы (PSW) пред- назначен для хранения данных о состоянии микро-ЭВМ. Назначение разрядов PSW сле- дующее: разряды 0— 2 — разряды указателя стека (S0—S2); разряд 3 не используется (при чтении всег- да 1); разряд 4 указывает используемый банк ра- бочих регистров общего назначения; разряд 5 - флаг пользователя (F0), ис- пользуется по команде условного перехода, разряд 6 — разряд дополнительного пере- носа (АС), используется для десятичной кор- рекции; разряд 7 — перенос, указывающий на пере- полнение аккумулятора после предыдущей опе- рации (CY). Регистр PSW может программно проверять- ся, модифицироваться весь и поразрядно. При прерываниях по входу INT и по флагу тайме- ра/счетчика содержимое четырех разрядов (D4—D7) заносится в стек, а при возврате из программы прерывания по команде RETR со- держимое этих разрядов восстанавливается. Микро-ЭВМ имеет набор режимов, с помо- щью которых осуществляются управление ра- ботой микро-ЭВМ, контроль и отладка прог- рамм. Микро-ЭВМ может работать в режимах’ проверки программной памяти, работы с внут- ренней памятью, работы с внешней памятью, пошагового выполнения команд, программиро- вания внутреннего ППЗУ (для КМ1816ВЕ48). Режим работы устанавливается комбина- цией входных и выходных сигналов. Инициализация (сброс) микросхемы осуще- ствляется сигналом S/? (активный — низкий уровень напряжения). Вывод SR — это вход с триггером Шмидта, который в сочетании с внешним конденсатором, подключенным меж- ду входом SR и корпусом, обеспечивает внут- ренний импульс сброса достаточной длитель- ности для гарантированного сброса всей мик- росхемы. Если импульс S/? генерируется внеш- ним источником совместно с включением пи- тания, то длительность его должна быть не менее 50 мс. Если импульс SR выдается в про- цессе работы, то длительность импульса дол- жна быть не менее 12,5 мкс. Импульс SR выполняет следующие функ- ции: устанавливает счетчик команд в 0; устанавливает указатель стека (в PSW) в 0; выбирает банк регистров (РОН) 0 (RB0); выбирает банк внутренней программной па- мяти 0 (МВО); устанавливает порт PO (BUS) в высокоом- ное состояние (при ЁЛ = 0); подготавливает порт Р1 и порт Р2 для при- ема информации; ____ блокирует прерывание по входу INT и по таймеру/счетчику; останавливает таймер/счетчик; устанавливает флаги F0 и F1 в 0; запрещает выдачу импульсов по выводу ТО. В режиме проверки программной памяти производится контроль правильности храня- щейся информации, занесенной в память в процессе ее программирования, а также конт- роль «чистоты» памяти после стирания для микросхемы КМ1816ВЕ48 или в процессе из- готовления с помощью фотошаблонов для микросхемы КР1816ВЕ49. Временная диаграмма работы микросхем в режиме проверки памяти показана на рис. 20.8. Подаваемые на одноименные выводы мик- росхемы сигналы выполняют следующие функ- ции: ЕМА при подаче напряжения высокого уровня активизирует режим обращения к вну- тренней памяти для программирования, ТО обеспечивает получение режима прог- раммирования (напряжение низкого уровня) и режима контроля (напряжение высокого уров- ня);__ S7? фиксирует выбранный адрес; 335
Рис. 20.8. Временная диаграмма работы мик- росхем в режиме проверки памяти программ DB0—DB7 обеспечивают подачу адреса АО—А7 и данных; Р2(0), Р2(1) обеспечивают подачу адреса А8, А9. При организации режима контроля памяти следует иметь в виду, что по выводам DB0— DB7 осуществляются подача адресных сигна- лов и выдача данных для контроля. Поэтому при переходе к режиму контроля необходимо обеспечить высокоомное состояние на данных выводах, которое исключает попадание на от. крытые выходы схемы напряжения адресных сигналов, поступающих от источника адрес- ных сигналов. Рис. 20.9. Временные диаграммы работы мик- ро-ЭВМ в режиме с внешней памятью про грамм Режим работы с внутренней памятью уста- навливается заданием напряжения низкого уровня на выводе ЕМА. Выполнение програм- мы, хранящейся в ПЗУ, начинается после ее инициализации с команды с адресом 00, так как счетчик команды по сигналу SR — 0 сбра- сывается в 0. С целью увеличения производительности микро-ЭВМ предусмотрено совмещение выпол- нения внутренних операций в одном цикле. Например, выполнение выбранной команды и подготовка следующего адреса команды про- изводятся одновременно. Так как микро-ЭВМ содержит все элементы, необходимые для ав- тономной работы, нет необходимости выдавать внешние управляющие сигналы, а все 27 ли- ний ввода/вывода могут быть использованы для связи с внешними устройствами. Если есть необходимость синхронизации внешних устройств ввода/вывода, то можно использовать сигнал ALE, выдаваемый микро- ЭВМ в каждом машинном цикле. Режим работы микро-ЭВМ с внешней па- мятью используется при отладке программ, при контроле процессора микро-ЭВМ. Для это- го внутренняя память команд отключается по- дачей на вывод ЕМА напряжения +5 В. Этот же режим используется также, если внутрен- ней памяти команд недостаточно. В этом слу- чае можно использовать совместно виутрен нюю и внешнюю память команд общим объе мом до 4096 байт (на вывод ЕМА подано на- пряжение низкого уровня) или только внеш- нюю память с максимальным объемом до 4096 байт (вывод ЕМА соединен с источни- ком напряжения 4-5 В). При работе с внеш- ней памятью выдача младших разрядов адре- са А7—АО во внешнюю память и прием кода команд из внешней памяти осуществляются через порт Р0 (DB7—DB0). При этом фикса- ция адреса в ЗУ осуществляется по сигналу ALE, а прием команды в микро-ЭВМ — по сигналу РМЕ. Старшие разряды адреса АН—А8 выдают- ся через порт Р2 [Р2(3)—Р2(0)]. При увеличении объема памяти данных за счет подключения внешнего ОЗУ емкостью до 256 байт обмен данными между ОЗУ и микро- ЭВМ осуществляется через двунаправленный порт Р0 с помощью команд MOVX А, © R; MOVX © R, А. При этом адрес данных фик- сируется по сигналу ALE, а прием и выдача данных — по сигналам RD и WR. Временные диаграммы работы микро-ЭВМ в режиме с внешней памятью программ пока- заны на рис. 20.9, а с памятью данных — на рис. 20.10, а, б. Режим пошагового выполнения программы необходим для отладки и проверки програм- мы. В качестве управляющего входа микро- ЭВМ для этого режима используется вывод SS и выходной сигнал ALE. Схема реализа- ции этого режима и временная диаграмма ра- боты показаны на рис. 20.11, а, б. 336
Пошаговый режим дает возможность ocia- нова после выполнения команды независимо от того, какая она: одно- или двухцикловая. При подаче сигнала SS (напряжение низкого уровня) микро-ЭВМ завершает выполнение команды и делает останов; при этом на ши- нах порта РО находится восемь младших раз- рядов, на шинах порта Р2 [Р2(3)—Р2(0)] — четыре старших разряда адреса следующей команды. Это позволяет пошагово проходить программу и проверять выполнение команд. Пошаговый режим целесообразно использо- вать при работе с внешней памятью. Когда микро-ЭВМ находится в состоянии останова, данные ввода/вывода иа порте РО и младших разрядах порта Р2 отсутствуют. Эту информацию можно фиксировать внешним уст- ройством по фронту сигнала ALE. Для выполнения программы непрерывно (прогон) на вывод SS необходимо подать на- пряжение высокого уровня. Режим программирования ППЗУ в микро- схеме КМ1816ВЕ48 используется для записи информации в память команд. Программиро- вание осуществляется в следующей последо- вательности: на выводы UDr), ТО и £МЛ подается нап- ряжение +5 В, а на вывод SR О В; на вывод ТО подается О В, что соответству- ет выбору режима программирования; на вывод ЕМА подается +25 В, что соот- ветствует активизации режима программиро- вания; на входы DB0—DB7 и Р2(0)—Р2(7) пода- ется адрес ППЗУ; на вывод SR подается +5 В — фиксация адреса; данные, предназначенные для записи в ад- ресуемый байт программной памяти, подаются иа выводы DB0—DB7; для записи данных на вывод UDd подает- ся +25 В при напряжении ОВ на выводе PR, затем на вывод PR подается импульс +25 В длительностью 50 мс. Вывод PR до момента программирования может быть плавающим. При описании последовательности операций во время программирования значения напря- жений указаны номинальные, допустимые пре- делы отклонений приведены в табл. 20.3. Временная диаграмма работы микросхемы при программировании и контроле после про- граммирования показана иа рис. 20.12. Стирание информации, записанной в памя- ти ППЗУ, осуществляется с помощью ультра- фиолетовых лучей через крышку с кварцевым окошком. Длина волны ультрафиолетовых лучей должна составлять около 2537 нм. Ин- тегральная доза (т. е. интенсивность ультра- фиолетовых лучей на время облучения' долж- на быть ле менее 15 Вт с/см2. Система команд включает 96 команд, 68 из них — однобайтовые. В двухбайтовых ко- мандах первый байт несет информацию о коде команды, второй является непосредственными данными или младшими разрядами адреса сле- Рис. 20.10. Временные диаграммы работы мик- ро-ЭВМ в режиме с внешней памятью данных при чтении (а) и записи (б) информации п ।—1!—I Г~ М.Е\ I I I__________I —I 1 СК8\С\П I I/O Г Рис. 20.11. Схема реализации шагового режи- ма (а) и временная диаграмма работы (б) 12 Зак. 915 337
Таблица 20.3 Значения параметров Параметр Обозначение KMI8I6BE48. KPI8I6BE35 KPI8I6BE49. KPI8I6BE39 MHH . макс. мин. макс. Статические параметры Напряжение питания, В: основное ^сс 4,75 5,25 4,75 5,25 дополнительное DD 4,75 5,25 4,75 5,25 Выходное напряжение, В: 2,4 2,4 высокого уровня Уон — — низкого уровня Uql — 0,45 — 0,45 Выходной ток высокого уровня, мкА: сигналов DB lOH — 100 — —400 — сигналов RD, WR, РМЕ, ALE !OH1 — 100 — 100 — остальных сигналов JOH2 -40 — —40 — Выходной ток низкого уровня, мкА: 2,0 сигналов DB ^OL — — 2,0 сигналов RD, WR, РМЕ, ALE JOL1 — 1,8 — 1,8 сигнала PR 101.2 — 1,0 — 1,0 остальных сигналов 101.3 — 1,6 — 1 ,6 Выходной ток в состоянии «выключено», мкА Входное напряжение высокого уровня, В: !OZ — 10 10 — 10 10 сигналов BQ1, BQ2, SR U1H1 3,8 — 3,8 — сигнала PR U1H, PR 21,5 24,5 — — сигнала ЕМА при программировании U1H, EMA 21,5 24,5 — — остальных сигналов 111H 2,0 — 2,0 — Входное напряжение низкого уровня, В: сигналов BQ1, BQ2, SR UI LI —0,5 0,6 -0,5 0,6 сигнала PR UIL, PR — 0,2 — — сигнала ЕМА при программировании UIL, EMA 4,5 5,25 — — остальных сигналов U,L —0,5 0,8 -0.5 0,8 Напряжение питания при программирова- нии, В: высокого уровня UDD, H 24 26 __ — низкого уровня UDD, L 4,75 5,25 — — Ток утечки на входах, мкА Входной ток, мА: 1 LI — 10 10 -10 10 на выводах SR, SS, портов Р1 и Р2 h —500 — -500 — иа выводе PR при программировании 11. PR — 16,0 — — на выводе ЕМА при программировании 11, EMA — 1,0 — — Ток потребления, мА: суммарный 1 DD ^'lCC 60 135 50 100 по выводу Udo 1 DD 5 15 5 10 по выводу Udd при программировании !DDt — 30 — — 338
Продолжение табл. 20.3 Параметр Обозначение Значения параметров KM.1816BE48. KP1816BE35 КР1816ВЕ49. KPI8I6BE39 мнн. макс. МИН . макс. Емкость входа выхода С I/O — 20 — 20 Входная емкость Динамические параметры с. — 10 — 10 Период сигналов синхронизации, мкс тс 2,5 15,0 1,36 15,0 Длительность сигнала ALE, нс ‘ale, WH 400 — 150 — Длительность сигнала РМЕ, нс ‘РМЕ. WL 700 — 350 — Длительность сигнала WR, нс ‘wR, WL 700 — 480 — Длительность сигнала RD, нс ‘rd. WL 700 — 480 — Длительность сигнала PR, нс ‘~PR, WL 1500 — 700 __ Время задержки сигнала ALE относитель- но сигналов адреса DB0—DB7, нс ‘cHALE. HL ADB. ZH/ZL) 150 — 160 — Время задержки сигнала ALE относитель- но сигналов адреса Р2(0)—Р2(3), нс ‘d(ALE, HL—AP2, ZH/ZL) 150 — — — Время задержки сигналов адреса DB0— DB7 относительно сигнала ALE, нс ‘cRADB, HZ/LZ- ALE. HL) 80 — 50 — Время задержки сигналов адреса Р2(0)— Р2(3) относительно сигнала АьЕ, нс ‘d(AP2. HZ/LZ—ALE. HL) 80 — — — Время установления сигналов данных DB0—DB7 относительно сигнала РМЕ, нс ‘sU(DB, HL/LH PME. HL) — 500 — 210 Время установления сигналов данных DB0—DB7 относительно сигналов адреса DBO— DB7, нс ‘sU(DB.LH/HL—ADB,ZH[ZL) 950 800 Время сохранения сигналов данных DB0— DB7 относительно сигнала РМЕ, нс ‘V(DB. H L/ LH — РМЁ, LH) 0 200 0 НО Время установления сигналов данных DB0—DB7 относительно сигнала RD, нс ‘SU(DB, 1 H/HL^RD. HL) — 500 — 350 Время сохранения сигналов данных DB0— DB7 относительно сигнала RD, нс ‘V(DB. HL/LH-RD. LH) 0 200 0 НО Время задержки сигнала WR относительно сигналов данных DB0—DB7, нс ‘d(WR, LH—DB. SH/ZL) 500 — 390 — Время задержки сигналов данных DB0— DB7 относительно сигнала WR, нс ‘d(DB. HZ/LZ —WR. LH) 120 40 Время задержки сигнала WR относительно сигналов адреса DB0—DB7, нс ‘d(WR, HL-ADB. SH/ZL) 230 — 400 — Время задержки сигналов данных порта Р2(0)—Р2(3) относительно сигнала ALE, нс ‘d(DP2, HZ/LZ —ALE. LH) 150 40 — Время задержки сигнала ALE относительно сигналов данных порта Р2(0)—Р2(3), нс ‘d(ALE, LH — DP'2. ZH/ZL) 400 — 160 — Время задержки сигналов управления до- полнительным портом Р2(0)—Р2(3) отно- сительно сигнала PR, нс ‘d{VP2, HZ/LZ—~PR. HL) 140 160 Время задержки сигнала PR относительно сигналов управления дополнительным пор- том Р2(0) — Р2(3), пс ld(PR. HL -VR2. ZH/ZL) 110 100 — 12* 339
Продолжение табл. 20.3 Значения параметров KMI8I6BE48, KPI8I6BE49, Параметр Обозначение KPI8I6BE35 КРГ816ВЕ39 МИИ . макс. МИИ . макс. Время задержки сигналов данных для до- полнительного порта Р2(0)—Р2(3) относи- тельно сигнала РР, ис tdiDPl. HZ/LZ — 'PR, LH) 65 — 90 — Время задержки сигнала PR относительно сигналов данных для дополнительного пор- tdiPR, LH--DP2, ZH/ZL) 220 — 400 — та Р2(0)—Р2(3), ис Время установления сигналов данных порта Р2(0)—Р2(3) относительно сигнала PR, нс ^SU{DP2, LH/HL-PR, HL) — 810 — 700 Время сохранения сигналов данных порта ^V(DP2, HL/LH — PR. LH) 0 150 0 140 Р2(0)—Р2(3) относительно сигнала PR, ис Время установления Udd относительно сиг- JSU(UDD, LH ~PR, LH) 47-C — — — нала PR, мкс Время сохранения Udd относительно сиг- нала PR, мкс lV(UDD, HL—~PR, HL) 0 — — — Длительность сигнала PR при программиро- tpR, \VH 50 60 — — вании, мс Время установления сигнала ТО относитель- но сигнала SR, мкс tsUiTO. HL-SR, LH) — — — Время сохранения сигнала ТО относительно Udd, мкс lV{TO. LH-Udd. HL) 4T<: — — — Длительность сигнала SR, мкс /SR, WL V'c — — Время задержки сигналов данных DB0— DB7 относительно сигнала ТО, мкс 1О(ОВ. LH/HL —TO, LH) *Tc — • — — Время перехода сигналов Udd, PR нз вы- ^THI Ur\r\ 0,5 0,2 — — сокого уровня в низкий, мкс lTHL, PR Время перехода сигналов Udd, PR из низ- 1T I H U nn 0,5 2,0 — — кого уровня в высокий, мкс Время установления сигналов адреса lTLH, PR 4?C tsiHADB. ZH/ZL- 's/Е LH) — — — DB0—UB7 относительно сигнала BR, мкс Время сохранения сигналов адреса DB0— DB7 относительно сигнала SR, мкс tviADB, HL/LH-~SR. I.H) 47-C — — — Время установления сигналов данных DB0—DB7 относительно сигнала PR, мкс tsUtDB. LH/HL — PR. LH) — — — Время сохранения сигналов данных DB0— lV{DB. HZ/LZ-~PR. HL) — — — DB7 относительно сигнала PR, мкс Время установления сигнала ЕМА относи- тельно сигнала SR, мкс lSUtEMA, LH -SR'. HL) — — - Время сохранения сигнала S/? относитель- но сигнала ТО, мкс fV(SR. HL —TO. LH) — — — Примечания: 1. Символ LH (HL) означает переход сигнала из низкого (высокого) уровня н высокий (низкий), символ ZH (ZL) — нз высокоомного состояния в состояние высокого (низкого) уровня, сим- вол HZ (LZ) — из высокого (низкого) уровня в высокоомное состояние. 2. Косая черта между символами HL/LH, LH/HL, ZHfZL. HZ/LZ означает, что параметр имеет одинаковое значение для обеих переходов. 3. Временные диаграммы работы микросхем в различных режимах показаны иа рис. 20.5. 20.7—20.10. 20.12. Значение параметров tTHL, /tlh для всех сигналов должно быть ие более 15 нс при работе микро- схемы. При программировании (см. 20.12) значения параметров (тьн и tTHi. для входных сигналов ие более 50 н с 4. Значения временных параметров указаны при частоте генератора 6 МГц для КР1816ВЕ35. KMI8I6BE48 и II МГц для KPI816BE39, КР1816ВЕ49. 340
Таблица 20.4 Команды Операнд Код операции Число циклов (байтов) Алгоритм Описание команды Команды передачи данных MOV A, Rr (r = 0—7) 1111Innn 1 M)^(Rr) Передача содержимого РОН в А MOV ^7 .o IX li lOlOlnnn 1 (Rr)^(^) Передача содержимого А в РОН MOV A, ©Rr (r=0, 1) HHOOOr. 1 (4)*-((Rr)) Передача содержимого ячейки памяти данных в А. Содержи- мое ячейки памяти данных, ад- ресуемой разрядами 0—5 реги- стра г, передается в А. Содер- жимое регистра г не изменяет- ся MOV ©Rr, A (r=0, 1) 1010000г 1 ((Rr)^(A) Передача содержимого А в па- мять данных. Содержимое А передается в ячейку памяти данных, адрес которой указы- вается разрядами 0—5 регист- ра г. Содержимое регистра г не изменяется MOVX A, ©Rr (r=0, 1) 1000000г 2(1) H)+-((Rr)) Передача содержимого ячейки внешней памяти данных в А. Содержимое ячейки внешней памяти данных, адресуемой ре- гистром г, передается в А. Со- держимое регистра г не изме- няется MOVX ©Rr, A (r=0, 1) 1001000г 2(1) ((/?r))^0) Передача содержимого А в ре- гистр внешней памяти данных. Содержимое А передается в ячейку внешней памяти дан- ных, адресуемую регистром г. Содержимое регистра г не из- меняется MOV Rr,#DATA (r = 0-7) lOlllnnn 2(2) (Rr)-<-flaHHHe Передача операнда команды в РОН MOV ©Rr, DATA (r = 0, 1) 1011000г 2(2) ((Rr)-«-данные Передача операнда команды в памяти данных. Восьмиразряд- ный код данных передается в ячейку резидентной памяти данных, адресуемую разрядами 0—5 регистра г MOV A,#DATA 00100011 2(2) (Л)-«-данные Передача операнда команды в Л MOV A, PSW 11000111 1 (A)+-(PSW) Передача содержимого регист- ра состояний в А MOV PSW, A 11010111 1 (PSW)-*-(A) Передача содержимого А в ре- гистр состояний. В результате этой передачи изменяются все биты условий и указатель сте- MOV A, T 01000010 1 (A)+-(T) Передача содержимого регист- ра таймера/счетчика в А MOV T, A 01100010 1 (T)+-(A) Передача содержимого А в ре- гистр таймера счетчика 341
Продолжение табл. 20.4 Команды Операнд Код операции Число циклов (байтов) Алгоритм Описание команды MOVP А, @А 10100011 2(1) (РС0-РС7)+-(А), (А)-*-((РС)) Передача данных текущей страницы в Л. Содержимое ячейки памяти программ, адре- суемой Л, передается в Л. Из- меняются разряды 0—7 счетчи- ка команд в пределах текущей страницы. После выполнения этой команды происходит вос- становление содержимого счет- чика команды. Примечание. Если эта команда записана в ячейке 255 текущей страницы памяти про- граммы, то аккумулятор адре- сует ячейку, расположенную на следующей странице MOVP3 А, ©А 11100011 2(1) (РС0-РС7)+-(А), (РСЗ—РС10)+- *-011, (Л)*-((РС)) Передача данных страницы 3 в А. Содержимое ячейки памя- ти программы, находящейся на странице 3 и адресуемой акку- мулятором, передается в А. После выполнения этой коман- ды происходит восстановление содержимого счетчика команд хсн A, Rr (г=0ч-7) 00101ЛЛЛ 1 (Л) *> (Rr) Обмен содержимого аккумуля- тора и РОН хсн А, © Rr (г = 0, 1) 0010000т 1 (Л) *> ((Rr)) Обмен содержимого аккумуля- тора и ячейки памяти данных. Содержимое аккумулятора об- менивается с содержимым ячейки памяти данных, адре- суемой разрядами 0—5 реги- стра г. Содержимое регистра г не изменяется XCHD А, @ Rr (г = О, 1) 0011000г 1 (АО—АЗ) ((Rr0—Rr3)) Обмен содержимого четырех младших разрядов Л с содер- жимым четырех младших раз- рядов ячейки памяти данных. По этой команде происходит обмен разрядов 0—3 Л с раз- рядами 0—3 ячейки памяти данных, адресуемой разрядами 0—5 регистра г. Разряды 4—7 аккумулятора и разряды 4—7 ячейки памяти данных, а так- же содержимое регистра г ос- таются без изменений SWAP А 01000111 1 2(1) (А4—А7) (АО—АЗ) Обмен местами содержимого четырех младших и четырех старших разрядов Л. Содержи- мое разрядов Л 0—3 меняется местами соответственно с со- держимым разрядов Л 4—7 IN А, Рр (/’=1,2) A, BUS 0000 Юпп (А)^-(Рр) Данные, поступившие иа порты Pl, Р2, передаются в Л ix s 00001000 2(1) (А)^-(В) Стробируемый ввод данных из порта данных в Л. Данные из порта данных передаются (счи- тываются) в Л по спаду RD 342
Продолжение табл. 20.4 Команды Операнд Код операции е и С С О = ¥ » у Алгоритм Описание команды OUTL Рр, А (р= 1,2) ООН Юпп 2(1) (Рр)-(Д) Вывод данных из Л в порты Pl, Р2 и их фиксация OUTL BUS, А оооооою 2(0 (B(/S)*-M) Вывод данных из Д в порт данных. Данные из А переда- ются в порт данных и фикси- руются до тех пор, пока не по- ступит новая команда OUTL. Любая другая команда, требу- ющая использования порта данных (за исключением команды INS) разрушает со- держимое порта данных. К ним относятся команды по расширению памяти, например команда MOVX MOVD А, Рр (/7 = 4-7) 00001 Inn 2 (АО—АЗ)^-(Рр), (А4—А7)-*-В Передать данные из порта Р2 (4—7) и А. Данные из пор- та Р2 микросхемы расширителя передаются в разряды 0—3 А. Разряды 4—7 аккумулятора обнуляются. Разряды 0—1 ко- да операции используются для кодирования номера портов Р4—Р7 следующим образом: Разряд 1 0 Порт 0 0 Р4 0 1 Р5 1 0 Р6 1 1 Р7 MOVD Рр, А (р=4-7) 001111пп 2(1) (Рр)+-(А0—АЗ) Передача содержимого разря- дов 0—3 А в порты Р4—Р7. Содержимое разрядов 0—3 А передается в порт Р микросхе- мы расширителя. Содержимое разрядов 4—7 А не изменяет- ся. Номер порта кодируется, как в предыдущей команде Команды преобразования данных ANL A. Rr (г==0-7) 0101Innn 1 (4)*- (A)AND(Rr) Логическая операция И содер- жимого А с маской, содержа- щейся в РОН ORL A, Rr (г = 0-7) OlOOlnnn 1 (A)^(A) OR(Rr) Логическая операция ИЛИ со- держимого А с маской, содер- жащейся в РОН XRL A, Rr (г = 0-7) 1101Innn 1 (A)-(A) XOR (Rr) Операция исключающее ИЛИ содержимого А с маской, со- держащейся в РОН ANL А, <а Rr (г = 0, 1) ОЮЮООг 1 (A)—(A) AND ((Rr)) Логическая операция И содер- жимого А с маской, содержа- щейся в ячейке памяти данных адресуемой разрядами 0—5 ре- гистра г ORL A, @Rr (г = 0, 1) 0100000г 1 (Л)" (Л) OR ((Rr)) Логическая операция ИЛИ со- держимого А с маской, содер- жащейся в ячейке памяти, ад- ресуемой разрядами 0—5 реги- стра г 343
Продолжение табл. 20.4 Команды Операнд Код операции Число циклов (байтов) Алгоритм Описание команды XRL A, @Rr 1101000г 1 (Д)^(Л) XOR ((Rr)) Операция исключающее ИЛИ содержимого А с маской, со- держащейся в ячейке памяти данных, адресуемой разрядами 0— 5 регистра г ANL A,#DATA 01010011 2(2) (А)+~(А) AND данные Логическая операция И содер- жимого А е маской, определяе- мой операндом команды ORL A,#DATA 01000011 2(2) OR дан- ные Логическая операция ИЛИ со- держимого А с маской, опреде- ляемой операндом команды XRL A,#DAPA 11010011 2(2) (Д)-ЧД) XOR данные Операция исключающее ИЛИ содержимого А с маской, опре- деляемой операндом команды ANL Pp,#DATA (p=-l, 2) 10011'Ода 2(2) (Рр)^(Рр) AND данные Логическая операция И дан- ных на портах Pl, Р2 с мас- кой, определяемой операндом команды ANL BUS, #DATA 10011000 2(2) (BUS)^-(BUS) AND данные Логическая операция И содер- жимого порта данных с мас- кой, определяемой операндом команды. Перед этой коман- дой должна выполняться команда OUTL BUS, А ORL Pp,#DATA (P=l, 2) 1000 Юля 2(2) (Рр)^(Рр) OR данные Логическая операция ИЛИ данных иа портах Pl, Р2 с маской, определяемой операн- дом команды ORL BUS, #DATA 1 0001000 2(2) (BUS)+-(BUS) OR данные Логическая операция ИЛИ данных иа порте данных с мас- кой, определяемой операндом команды. Перед этой коман- дой должна выполняться команда OUTL BUS, А ANLD Pp, A (p=4-7) 1001 Илл 2(1) (Рр)+-(Рр) AND (АО—АЗ) Логическая операция И содер- жимого порта Р4—Р7 с мас- кой, содержащейся в А. Логи- ческое И данных порта с Р с маской, содержащейся в разря- дах 0—3 аккумулятора. Содер- жимое АО—АЗ и код операции AND записываются в порт Р. Содержимое А не изменяется Примечание. Номер порта Р кодируется разрядами 0, 1 кода операции следующим образом: Разряд 1 0 Порт 0 0 Р4 0 1 Р5 1 0 Р6 1 1 Р7 ORLD Pp, A (p=44-7) 100011лл 2(1) (Рр)^(Рр) OR(AO~A3) Логическая операция ИЛИ со- держимого портов Р4—Р7 с маской, содержащейся в А. Ло- гическая операция ИЛИ содер- жимого порта Р с маской, со- держащейся в разрядах 0—3 аккумулятора, содержимое 344
Продолжение табл. 20.4 Команда Операнд Код операции Число циклов (байтов) Алгоритм Описание команды RR RL RRC RLC INC DEC DEC DJNZ ADD A A A A @ Rr (r = 0, 1) A Rr (r = 0-4-7) Rr, ADDRESS' (r = 04-7) A, Rr (r = O-4~7) 01110111 11100111 01100111 11110111 0001000т 00000111 1lOOlnnrt IHOlnnn 01 lOlnnn 1 1 1 1 1 1 1 2(2) 1 (A i) -<-(Ai -4-1), (А7)+-(А0), ( = 0-4-6 (Л(+ 1)*-(Д(), (А0)+-(А7), ( = 0н-6 (Ai)*-(Ai+l), (А7)+-(С), (С)+-(А0), ( = 0-4-6 (Д(+1) (/It), (ЛО)^-(С), (С)+-(А7), ( = 0-4-6 ((/?г))-((/?г)) + 1 (Д)^-(Л) —1 (Rr)^-(Rr)-] (Rr)+-(Rr)-l Если содержимое Rr не 0, то (PC0— РС7) -«--адрес (Л)^-(Д) + (/?г), (АС)+-Х, (С)-*-Х АО—АЗ и код операции OR за- писываются в порт Р. Содер- жимое А ие изменяется (см. примечание к команде ANLD) Циклический сдвиг вправо без переноса. Содержимое А сдви- гается на одни разряд. Разряд 0 переходит на позицию раз- ряда 7 Циклический сдвиг влево без переноса. Содержимое А сдви- гается влево на один разряд. Разряд 7 переходит иа пози- цию разряда 0 Циклический сдвиг вправо с переносом. Содержимое А сдвигается вправо на один раз- ряд. Разряд 0 переходит иа позицию разряда переноса. Разряд переноса переходит иа позицию разряда 7 Циклический сдвиг влево с пе- реносом. Содержимое А сдви- гается влево на одни разряд. Разряд 7 переходит на пози- цию разряда переноса. Разряд переноса переходит на позицию разряда 0 Инкрементирование содержи- мого ячейки памяти данных. Содержимое ячейки резидент- ной памяти данных, адресуе- мой разрядами 0—5 регистра г, инкрементируется на 1 Декрементирование содержимо- го А. Содержимое А уменьша- ется на 1 Декрементирование содержи- мого РОН. Содержимое регист- ра г уменьшается на 1 Декрементирование содержи- мого РОН и тестирование. Со- держимое регистра уменьшает- ся на 1 и тестируется на 0. Ес- ли регистр содержит только нули, то управление програм- мой передается следующей команде. Если содержимое регистра не 0, то происходит управляе- мый переход по указанному во втором байте адресу. В этом случае 8-разрядный регистр ад- реса обеспечивает возможность адресации к 256 ячейкам теку- щей страницы Сложение содержимого РОН и содержимого Д. Результат за- писывается в А 345
Продолжение табл. 20.4 Команда Операнд Код операции Число I циклов 1 i (байтов) Алгоритм Описание команды ADDC ADD ADDC ADD ADDC CLR CPL DA INC INC CLR A, Rr (r=04-7) A, @ Rr C=0, 1) A, @Rr (r = 0, 1) A,# DAT A A,#DATA A A A A Rr (' = 0+7) C 0111Innn OHOOOOr 0111000г 00000011 00010011 00100111 00110111 01010111 00010111 0001Innn 10010111 1 1 1 2(2) 2(2) 1 1 1 1 1 1 (А)*-(А) + (Rr) + (C), (АС)+-Х, (С)+-Х (А)+-(А)+ ((/?')) (АС)+-Х, (С)+-Х (А)*-(А) + + ((Р')) + (С), (AQ+-X, (С)*-Х (А)-«-(А) + дан- ные, (АС)-<-Л, (С)+-Х (А)-<-(А) 4-дан- ные-!-(С), (АС)-*~ *-Х, (С)+-Х (А)*-0 (A)+-NOT(A) (А)-<-(А) + 1 (Rr)+-(Rr) + l (С)*-0 Сложение содержимого разря- да переноса и содержимого РОН с содержимым А Сложение содержимого ячейки памяти данных с содержимым А Содержимое ячейки памяти данных, адресуемой разрядами 0—5 регистра г, складывается с содержимым А Сложение содержимого разря- да переноса н содержимого ячейки памяти данных с содер жимым А. Содержимое ячей- ки памяти данных адресуется разрядами 0—5 регистра г Сложение непосредственных данных с содержимым А Сложение содержимого разря- да переноса и операнда коман- ды с содержимым А Установить А в 0 Инвертирование содержимого А Код, содержащийся в А, за- меняется на обратный Каж- дая 1 заменяется на 0, и наоборот Десятичная коррекция Двоич- ный код А представляется в двоично-десятичной форме в виде двух 4-разрядных секций Как правило, эта операция сле- дует за операцией сложения Содержимое бита переноса из- меняется Если содержимое разрядов 0—3 А больше девя- ти или если промежуточный бит переноса равен 1, то содер- жимое А инкрементируется на 6 Затем проверяются четыре старших разряда Если содер- жимое разрядов 4—7 А боль- ше девяти или бит переноса равен 1, то содержимое этих разрядов увеличивается на шесть. Если имеет место пере- полнение, то бит переноса уста навливается в 1, если перепол нения нет, он устанавливается в 0 Инкрементирование содержи- мого А Содержимое А увели- чивается на 1 Инкрементирование содержи мого РОН. Содержимое реги стра г увеличивается на 1 Очистка разряда переноса Во время выполнения программы разряд переноса может бытг тстановлен в 1 коман 'ами 346
Продолжение табл. 20.4 Команда Операнд Код операции Число циклов (байтов) Алгоритм Описание команды ADD, ADDC, RLC, CPLC, DA. Команда CLRC устанавливает разряд переноса в 0 CPL с 10100111 1 (С)*-МОТ(С) Инвертирование содержимого разряда переноса CLR F0 10000101 1 Содержимое флагового разря- да F0 устанавливается в 0. Бит флага F0 содержится в PSW CPL F0 10010101 1 (F0)+-NOT(F0) Инвертирование содержимого флагового разряда F0 CLR F1 10100101 1 (F 1)^-0 Содержимое флагового разря- да F1 устанавливается в 0. Бит флага F1 содержится в PSW CPL F1 10110101 1 (F1)+-NOT(F1) Инвертирование содержимого флагового разряда F1 EN I 00000101 1 Разрешение внешних прерыва- ний. Внешние прерывания раз- решаются сигналом низкого уровня на входе прерывания Ткт' DIS I 00010101 1 Запрещение внешних прерыва- ний. Микросхема не реагирует иа поступление сигнала низко- го уровня иа входе INT EN TCNTI 00100101 1 Разрешение прерываний по переполнению таймера/счетчика событий. Переполнение тайме- ра/счетчика событий запускает последовательность обработки прерываний DIS TCNTJ 00110101 1 — Запрещение прерываний при переполнении таймера счетчика событий STRT Т 01010101 1 Запуск таймера. Накопление происходит в регистре тайме- ра, который инкрементируется через каждые 32 командных цикла. Счетчик командных цик- лов начинает счет с 0 STRT CNT 01000101 1 Запуск счетчика событий. Ре- гистр счетчика событий инкре- ментируется каждый раз, когда на выводе Т1 происходит пере- ход напряжения с высокого уровня иа низкий STOP TCNT 01100101 1 — Останов таймера/счетчика со- бытий ENTO СЕК 01110101 1 — Разрешение вывода импульсов синхронизации. Эта функция запрещается командой систем- ного сброса SEE МВО 11100101 1 (DBF)^-O Выбор нулевого банка (МВО) памяти программ. Одиннадца- тый разряд счетчика команд устанавливается в 0. Нулевой байк размещен в ячейках ()—2047 памяти программ 347
Продолжение табл. 20.4 Команда Операнд Код операции Число циклов (байтов) Алгоритм Описание команды SEL МВ1 11110101 1 (DBF)^-l Выбор первого банка памяти (МВ1) программ. Одиннадца- тый разряд счетчика команд устанавливается в 1. Первый банк размещен в ячейках 2048—4095 памяти программ SEL RB0 11000101 1 (BS)^-O Выбор нулевого банка рабочих регистров памяти данных. Чет- вертый разряд регистра состоя- ний устанавливается в 0. Ра- бочие регистры 0—7 занимают ячейки 0—7 памяти данных SEL RB1 11010101 1 (BS)^-l Выбор первого банка рабочих регистров памяти данных. Чет- вертый разряд регистра состоя- ний BS устанавливается в 1. Рабочие регистры 0—7 занима- ют ячейки 24—31 памяти дан- ных NOP 00000000 1 Нет операции. Программа про- должается со следующей ко- манды Команды передачи управлений RET CALL RETR ADDRESS А то<4дДдЮЮО 2(2) ((SP))*-(PC), Вызов подпрограммы. Содер- 2(1) (PSW4—PSW7), (РС8-РС10)-*- Д«—A io, (РС0—РС7)+- ADD RESS(0—7), (PC11)+-DBF жимое счетчика команд и со- держимое разрядов 4—7 реги- стра состояний заносится в стек. Указатель стека (содер- жимое разрядов 0—2 регистра состояний) инкрементируется Управление программой пере- дается по адресу, указанному в данной команде. Содержи- мое разряда И счетчика команд определяется предшест- вующей командой SEL МВ После возвращения из подпро граммы выполнение программы продолжается с команды, сле- дующей за командой CALL 10000011 (SP)+-(SP)-l, (PC)^((SP)) Возврат из подпрограммы об- служивания прерываний без восстановления содержимого регистра состояний. Содержи- мое указателя стека (разряды 0—2 регистра состояний) дек- рементируется. Содержимое счетчика команд восстанавли- вается из стека. Содержимое разрядов 4—7 регистра состоя- ний не восстанавливается 10010011 2(1) (SPH-(SP) —1, (PC)^((SP)), (PSW4-PSW7), ((SP)) Возврат из подпрограммы об- служивания прерываний с вос- становлением содержимого ре- гистра состояний. Указатель стека декрементируется. Со- держимое счетчика команд и 348
Продолжение табл. 20.4 Команда Операнд Код операции Число циклов (байтов) Алгоритм Описание команды JMP ADDRESS ДюЛэАаООЮО 2(2) (РС8—PC 10)+- содержимое разрядов 4—7 ре- гистра состояний восстанавли- ваются из стека Безусловный переход по адре- JMPP @А 10110011 2(1) Ag—A io, (PC0— РС7)+- +ADD RESS (0-7) (РС0—РС7)+- су, указанному в данной ко- манде. Содержимое разрядов 0—10 счетчика команд замеща- ется непосредственно указан- ным в команде адресом. Содер- жимое разряда 11 счетчика ко- манд определяется командой SEL МВ Безусловный переход по адре- JC ADDRESS 11110110 2(2) Если С = 1, то су, содержащемуся в А. Пере- ход осуществляется внутри те- кущей страницы памяти про- граммы (косвенная адресация). Содержимое АО—А7 загружа- ется в счетчик команд. Разря- ды 8—11 счетчика команд не изменяются Переход, если разряд переноса JNC ADDRESS 11100110 2(2) (РС0—РС7) -(-ад- рес Если С = 0, то установлен в 1. Управление программой передается по ука- занному адресу, если разряд переноса установлен в 1 Переход, если разряд переноса JZ ADDRESS 11000110 2(2) (PC0— РС7)+-ал- рес Если Д = 0, то установлен в 0. Управление программой передается по ука- занному адресу, если в разряде переноса записан 0 Переход, если содержимое А JNZ ADDRESS 10010110 2(2) (РС0—РС7) ^-ад- рес Если Д=#0, то равно 0. Управление програм- мой передается по указанному адресу, если во время выполне- ния этой команды во всех раз- рядах А — нули Переход, если содержимое А — JFO ADDRESS 10110110 2(2) (РС0—РС7) ^ад- рес Если F0= 1, то (PC0- РС7)+-ад,- рес Если Fl= 1, то не 0. Управление программой передается по указанному ад- ресу» если в момент выполне- ния этой команды содержимое Л — не 0 Переход, если флаговый раз- JF1 ADDRESS 01110110 2(2) ряд F0 установлен в 1. Управ- ление программой передается по указанному адресу, если фла- говый разряд F0 установлен в 1 Переход, если флаговый раз- JT0 ADDRESS 00110110 2(2) (PC0—РС7)+-ад- рес Если Т0= 1, то (РС0—РС7) -(-ад- рес ряд F1 установлен в 1. Управ- ление программой передается по указанному адресу, если флаговый разряд F1 установ- лен в 1 Переход, если уровень сигнала ТО высокий. Управление про- граммой передается по указан- ному в команде адресу, если уровень сигнала ТО высокий (1) 349
Окончание табл. 20.4 Команда Операнд Код операции Число циклов (байтов) Алгоритм Описание команды JNT0 ADDRESS 00100110 2(2) Если 70=0, то (РС0—РС7) -(-ад- рес Переход, если уровень сигнала 70 низкий. Управление про- граммой передается по указан- ному адресу, если уровень сиг- нала 70 низкий (0) JT1 ADDRESS 01010110 2(2) Если 7/ = 1, то (PC0—РС7)+-ад- рес Переход, если уровень сигнала 77 высокий. Управление про- граммой передается по указан- ному в команде адресу, если уровень сигнала 77 высокий (1) JNT1 ADDRESS 01000110 2(2) Если 77 = 0, то (РС0—РС7) -«-ад- рес Переход, если уровень сигнала 77, низкий. Управление про- граммой передается по указан- ному в команде адресу, если уровень сигнала 77 низкий (0) JTF ADDRESS! 000101110 2(2) Если 77' = 1, то (PC0—РС7)-^-ад- рес Переход, если флаговый разряд таймера установлен в 1. Управ- ление программой передается по указанному в команде адре- су, если разряд флага таймера установлен в 1, т. е. по пере- полнению регистра таймера' счетчика событий. Тестирование флагового разряда таймера устанавливает TF в 0 (перепол- нение запускает последователь- ность обслуживания прерыва- ний, если прерывание разреше- но) JNf ADDRESS 10000110 2(2) Если 1—0, то (PC0—РС7]-—ад- рес Переход, если на входе преры- вания низкий уровень. Управ- ление передается по указанно- му в команде адресу, если уро- вень входного сигнала преры- вания низкий (0), т. е. запрос на внешние прерывания посту- пил (этот сигнал запускает по- следовательность обслужива- ния прерываний, если внешние прерывания разрешены) JBb (*—04-7) ADDRESS. nnniooio 2 (2) Если А, = 1, то (РС0—РС7)+-ад- рес, ( = & Переход, если один из разря- дов А установлен в 1. Управле- ние передается по указанному в команде адресу, если разряд b аккумулятора установлен в 1 350
дующей команды. Большинство команд (53) выполняются за один машинный цикл, 43 команды (в том числе 15 одиобайтовых) — за два машинных цикла. Выполнение двухбай- товых команд за два цикла связано с допол- нительным обращением к программной памя- ти. Однобайтовые команды в большинстве выполняются за один цикл, одиако команды, требующие обращения к внешним устройст- вам, а также команды RET и RETR выполня- ются за два цикла. Перечень команд микро- ЭВМ приведен в табл. 20.4. Команды условно разделены на следую- щие группы: передачи данных, преобразования данных, передачи управления. Для описания системы команд приняты следующие сокращения и условные обозначе- ния: А — аккумулятор; R0—R7 — регистры 0—7: Rr (г=0-4- 7); АС — флаг дополнительного переноса; PSW — слово состояния программы; BUS — порт данных; Pl, Р2 — порты ввода/вывода ,1, 2; Р4—Р7 — порты ввода/вывода 4—7 (пор- ты расширителя ввода/вывода); С — флаг переноса; Т — регистр таймера; CNT — регистр счетчика событий; TCNT — таймер, счетчик событий; RBO, RB1 — нулевой и первый банки ра- бочих регистров; МВО—МВ1 — нулевой и первый банки па- мяти; / — прерывание, UDD Ч/ РР *тш..рн ' Рис. 20.12. Временная диаграмма работы мик- ро-ЭВМ при программировании t Рис. 20.13. Схемы подключения микро-ЭВМ к микросхемам КР580ВВ51А, КР580ВВ55А и КР580ВВ79 Таблица 20.5 Параметр Обозначение Значения параметров [макс, (мнн.)] Напряжение питания, В UccUDD 7,0 (-0,5) Входное напряжение, В Напряжение при про- граммировании, В; и, 7,0 (-0,5) и а выводе U DD UDV, ЯЯ 26,0 иа выводах PR, ЕМА Uema, ирц 25,0 Выходной ток высоко- го уровня, мА I он (-0,8) Выходной ток низко- го уровня, мА 1OL 3,0 Емкость нагрузки, пФ CL 500 351
TCNT1 — прерывание таймера/счетчика; FO, Fl — флаговые разряды 0 и 1; РОН — регистр общего назначения; (Rr) — содержимое регистра R; ((Rr)) — содержимое ячейки памяти по адресу, записанному в регистре Rr-, ф — индекс непосредственных данных; © — индекс косвенной адресации; — указатель направления записи; пп, ппп — указатели номера устройства в двоичном коде. Микросхемы по входам и выходам совмес- тимы с ТТЛ-схемами. Для выводов BQ1, BQ2, SR необходимо применять ТТЛ-схемы с от- крытым коллектором и сопротивлением наг- рузки, обеспечивающим параметры входных сигналов для этих входов. Двунаправленные и квазидвунаправлеиные выводы микросхем рекомендуется подключать через специальные двунаправленные шинные формирователи се- рии К589 или другие. Структура двунаправленной шины DB0— DB7 (порт РО) микро-ЭВМ позволяет исполь- зовать в составе системы интерфейсные мик- росхемы микропроцессорного комплекта серии КР580. Применяются они для увеличения чис- ла каналов ввода/вывода и их типов. В системе можно использовать последова- тельный интерфейс ввода/вывода КР580ВВ51А, параллельный интерфейс ввода/вывода КР580ВВ55А, контроллер клавиатура/дисплей КР580ВВ79. Обмен информацией в этом слу- чае осуществляется, как и при обращении к внешней памяти данных с помощью команд типа MOVX, Структурные схемы подключения микросхем КР580ВВ51А, КР580ВВ55А, КР580ВВ79 показаны на рис. 20.13. Параметры микросхемы в диапазоне рабо- чих температур —10ч—(-70 °C и напряжении питания +5,0 В±10°/о приведены в табл. 20.3, предельные электрические режимы эксплуата- ции — в табл. 20.5.
Приложение 1 Корпуса микропроцессорных микросхем Таблица П1 Тип корпуса Габариты, мм (с учетом выводов) Масса микросхемы, г, не более Материал корпуса Тип микросхемы Номер I рисунка 1 201.16-13 19,2X7,5X5,0 1,5 Металлокерамический КМ1804ВР1, КМ1804ИР1, КМ1804ВУЗ КР1810ГФ84 1 2104.18-5 24,0X7,5X4,5 2,5 Полимерный 3 2140.20-2 27,0X7,5X4,5 4,0 Полимерный КР1810ВГ88, КР1810ВБ89 4 2140Ю.20-2 25,0X7,5X5,5 2,1 Металлокерамический КМ1804ВА2, КМ1804ВРЗ, КМ1804ВУ2 5 2108.22-1 27,9X10,0X4,7 2,8 Металлокерамический КМ1804ИР2 6 210Б.24-1 29,5X14,8X4,5 5,5 Металлокерамический К1809РУ 1 7 239.24-1 31,5X15,3X4,0 4,0 Полимерный КР1801РЕ2 2 239.24-2 31,5X15,3X4,0 4,0 Полимерный КР1802ИР1 2 2120.24-1 29,4X15,0X5,0 4,5 Металлокерамический КМ1804ВА1, КМ1804ВАЗ, КМ1804ГГ1 8 2121.28-1 36,5X15,3X5,0 4,0 Полимерный КР1802ВВЗ 2 2121.28-5 36,0X15,0X5,0 5,0 Полимерный КР1810ВН59А 9 2121.28-6 34,6X15,0X5,0 6,0 Металлокерамический КМ1804ВУ1, КМ1804ВУ5, КМ1804ИРЗ 10 2121.28-7 34,1X15,0X5,0 6,0 Металлокерамический КМ1813ВЕ1 10 2123.40-1 51,0X15,3X5,0 6,0 Полимерный К1814ВЕ4 2 2123.40-2 51,5X15,0X5,2 6,0 Полимерный КР1816ВЕ35, КР1816ВЕ39, КР1816ВЕ49 11 2123.40-6 49,7X15,0X5,0 8,5 Металлокерам ический КМ1801ВМ2, КМ1804ВН1, КМ1804ВР2, КМ1804ВУ4 КМ1804ВС1, КМ1810ВМ86, КМ1811ВТ1, КМ1816ВЕ48 12 2206.42-1 27,5X20,0X5,0 4,0 Полимерный КР1802ВВ1, КР1802ВВ2, КР1802ВР1, КР1802ВР2, КР1802ВРЗ, КР1802ВС1 13 244.48-11 31,2X17,0X3,2 5,0 Металлокерамический К1809ВВ1, КМ1509КП1 14 2126.48-1 59,7X15,0X5,0 10,5 Металлокерамический КМ1804ВЖ1, КМ1804ВС2, К1814ВЕЗ 15 2207.48-4 31,0X20,0X5,0 5,0 Полимерный КР1802ИМ1, КР1802ИП1, КР1802КП1 16 2136.64-1 80,6X22,5X7,2 20,0 Металлокерамический КМ1801ВМЗ, КМ1802ВР4, КМ1802ВР5 17 4109.20-1 12,25X17,9X2,5 2,0 Полимерный КА1808ВВ1, КА1808ИР1 18 4117.22-3 13,5X20,1X2,5 2,0 Полимерный КА1808ВМ1, КА1808ВУ1 19 429.42-5 25,6X33,7X3,2 4,0 Металлокерамический К1801ВМ1, К1801ВП1-30, К1801ВП1-33, К1801ВП1-34, К1801ВП1-35 20 413.48-3 37,8X27,7X4,3 6,0 Металлокерамический К1809ВВ2 21 4134.48-2 30,4X42,0X3,2 7,0 Металлокерамический К1809ВГЗ 22 Н 13.40-1 12,0X12,0X2,5 2,0 Металлокерамический КН1811ВМ1, КН1811ВУ1, КН1811ВУ2, КН1811ВУЗ 23 210Б.24-5 29,5X15,0X6,0 6,0 Металлокерамический К573РФЗ 24 353
Конструктивное исполнение корпусов микросхем 238 16-2, 239 21'1, 239.2! -2, 212I.28-’; 2123.10-1 Корпус п Lt,MM L-2,mm lt,HM Iz.mm h,MH 23816-2 16 21,5 17,5 6,5 7,8 3,5 239 21-! 239.21-2 21 3! 27,5 13,2 15,3 1,0 2121.28'1 28 35 32,5 13,2 15,3 1,0 2123.110-1 10 51 17,5 13,2 15,3 1,0 19,2 max Рис. 1 214-0.20-2 2101 18-5 Рис. 3 Рис. 4 354
21^01020-2 Рис. 5 Рис 6 Рис 7 212126-8 2221.28-6, 2221.28-7 Рис. 9 355
HZJ.M-Z Рис. 11 212340 S 2206Л2~1 27.5 Рис. 13 356
2^ -Г& 11 2(2f W < ffflWl': _ T 575 ЬИШЛ 057 59 7 Рис 15 220743-4 357
213664-1 411722-3 410920-1 fVSapoWOi Рис. 18 Рис. 19 торты Зона ключа 429.42-J , 429 42-5 Рис 20 358
413 48-3 Рис 21 МЛ 48-2 -ппппппппппп пппп пппппппп 48МоМ 052 Рис 22 2fOb24 5 л< ^128 Р ис 24 359
Приложение 2 Краткие сведения о дополнительных микропроцессорных комплектах микросхем, не вошедших в справочник Таблица П2 Обозначение Функциональное назначение Общая характеристика Разряд- ность , бит Время цикла, нс (тактовая частота) Потребля- емая мощ- ность, Вт (ток потреб- ления, мА) Тип корпуса Серия К1815 К1815ВФ1 Универсальный процессорный 8 120 (60) 4131.24-3 К1815ИМ1 элемент Сумматор последовательных 8x2 120 0,5 4131.24-3 К1815ВФ2 чисел Накапливающий сумматор 24 500 0,7 4119.28-1 К1815ВФЗ Процессор обработки сигналов Произ- 100 0,36 4131.24-3 К1815ИД1 АЛУ вольная 4 120 0,7 429.42-1 К1815ПР1 Преобразователь кодов 8 120 0,5 16 выводов К1815ИР1 Ортогональная матрица регист- 8x4 120 0,7 4119.28-1 Серия КР1818 КР1818ВГ93 ров сдвига Контроллер накопителя на гиб- 8 500 0,5 2123.40-2 КР1818ВЖ1 ком диске Циклический регистр диагно- 30 0,45 201.14-1 Серия КР1820 КР1820ВЕ1 стики ошибок Однокристальная микро-ЭВМ 4 До 16 0,3 2123.40-4 КР1820ВЕ2 Однокристальная микро-ЭВМ 4 МКС » 0,3 2121.28-3 Серия КР1828 КР1828ВЖ1 с ПЗУ 1К байт Схема диагностики микропро- 8 200 1,3 239.24-2 КР1828ВЖ2 цессоров, ПЗУ/ППЗУ и логики Схема диагностики ОЗУ 8 200 1,5 244.48-5 Приложение 3 Краткие сведения о микросхемах запоминающих устройств, применяемых в микропроцессорных системах Таблица ПЗ Обозначение Технология Общая характеристика Информационная емкость, бит (число слов X число разрядов) Время выборки, ис Напряжение питания, В Ток потреб- ления, мА Тип корпуса Микросхемы ОЗУ динамического типа К565РУ1 п-МДП 4К(4КХП 200 + 12; +5 60; 5 210А.22-3 К565РУ5 п-МДП 64К(64КХ>) 120 +5 45 2103.16-5 К565РУ6 п-МДП 16К(16КХ1) 120 +5 27 2103.16-2 360
Продолжение табл. ПЗ Обозначение Технология Общая характеристика Информационная емкость, бит (число слов х число разрядов) Время выборки, ис Напряжение питания, В Ток потреб- ления, мА Тип корпуса Микросхемы ОЗУ статического типа КР132РУЗ п-МДП 1К(1КХ1) 60 +5 100 2103.16-6 КР132РУ4 п-МДП 1К(1КХ1) 33 +5 60 2103.16-2 КМ132РУ5 п-МДП 4К(4КХ1) 60 +5 160 2104.18-1 КР132РУ6 п-МДП 16К(16КХ1) 45 +5 25 210Ю.20-3 КМ132РУ8 п-МДП 4К11КХ4) 60 +5 160 2104.18-1 КР565РУ2 п-МДП IK(lKXl) 450 +5 70 2103.16-1 К561РУ2 КМДП 256(256X1) 600 +3; 15 0,02 2106.16-2 КР537РУ1 кмдп 1K(1KXD 300 +5 10 238.16-1 КР537РУ2 КМДП 4K(4KXD 300 +5 0,05 2107.18-4 КР537РУЗ кмдп 4К(4КХ1) 250 1,8...6,0 20 2107.18-1 К537РУ6 кмдп 4К(4КХ1) 340 +5 8 427.18-2 КР537РУ8 кмдп 16К(2КХ8) 220 +5 30 239.24-2 К537РУ9 кмдп 16К(2КХ8) 400 +5 1 24 вывода КР537РУ10 кмдп 16К(2КХ8) 300 +5 1 2120.24 4 К537РУ14 кмдп 4К(4КХ1) НО +5 20 427.18-2 К500РУ145 эсл 64(64X1) 10 —5,2 140 238.16-2 К500РУ148 эсл 64(64X1) 15 -5,2 НО 238.16-2 К500РУ410 эсл 256(256X1) 40 —5,2 125 238.16-2 К500РУ415 эсл 1K(1KXD 30 —5,2 140 238.16-2 К500РУ470 эсл 4К(4КХ1) 35 —5,2 190 2107.18-3 К1500 РУ 073 эсл 256(64X4) 6 -4,5 220 4114.24-1 К1500РУ415 эсл 1K(1KXD 20 -4,5 150 4106.16-4 К1500РУ470 эсл 4К(4КХ1) 35 -4,5 195 4116.18-3 К155РУ7 ТТЛ 1K(1KXD 45 +5 140 238.16-2 К531РУ8П ттлш 64(16X4) 35 +5 НО 201.16-16 К531РУ11П ттлш 64(16X4) 40 +5 ПО 2104.18-2 КР541РУ1А И2Л 4К(4КХ1) 120 +5 85 2107.18-1 КР541РУ2 И2Л 4К(1КХ4) 120 +5 100 2107.18-1 КР541РУЗ И2Л _16K(16KXD 100 +5 110 2118.20-1 Микросхемы ПЗУ, программируемые маской К555РЕ4 ттлш 16К(2КХ8) 110 +5 170 239.24-2 КР568РЕ2 п-МДП 64К(8КХ8) 250 + 12; +5 54 2121.28-5 КР568РЕЗ п-МДП 128КП6КХ8) 550 + 5 50 2121.28-5 К596РЕ1 ТТЛ 64К(8КХ8) 350 +5 145 4131.24-3 Микросхемы ПЗУ, программируемые электрически однократно К541РТ1 И2Л 1 К(256Х4) 80 +5 80 402.16-21 КР556РТ4 ттлш 1К(256Х4) 70 +5 130 238.16-2 КР556РТ4АР ттлш 1К(256Х4) 45 +5 130 238.16-2 КР556РТ5 ттлш 4К(512Х8) 70 +5 190 239.24-2 КР556РТ11 ттлш 1К(256Х4) 45 +5 130 238.16-2 КР556РТ12 ттлш 4К(1КХ4) 60 +5 140 2104.18-5 КР556РТ13 ттлш 4К(1 КХ4) 60 +5 140 2104.18-5 КР556РТ14 ттлш 8К(2КХ4) 60 +5 140 2104.18-5 КР556РТ15 ттлш 8К(2КХ4) 60 +5 140 2104.18-5 КР556РТ16 ттлш 64К(8КХ8) 85 +5 190 239.24-2 КР556РТ17 ттлш 4К(512Х8) 50 +5 175 239.24-2 КР556РТ18 ттлш 16К(2КХ8) 60 +5 180 239.24-2 Микросхемы ПЗУ с многократным перепрограммированием, электрической записью и стиранием информации КР558РР2 р-МНДП 16К(2КХ8) 350 +5 100 405.24-7 КР1601 рр 1 р-МНДП 4К(1КХ4) 1700 -12; +5 30 2120.24-3 кр1601ррз р-МНДП 16К(2КХ8) 600 -12; +5 32 2121.28-5 K573PP2 п-МНДП 16К(2КХ8) 350 +5 120 2120.24-2.02 КМ1609РР1 п-МНДП 16К(2КХ8) 350 +5 130 2128.24-1.02 361
Окончание табл. ПЗ Обозначение Технология Общая характеристика Информационная емкость, бит (число слов X число разрядов) Время выборки, нс Напряжение питания, В Ток потреб- ления, мА Тип корпуса Микросхемы ПЗУ с многократным программированием и с ультрафиолетовым стиранием К573РФ1 ЛИЗМДП 8К(1КХ8) 450 — 12; —5; 130 210Б.24-5 К573РФ2 ЛИЗМДП 16К(2КХ8) 450 +5 90 210Б.24-5 К573РФЗ ЛИЗМДП 64К(4КХ16) 400 +5; -5 80 210Б.24-5 К573РФ4 ЛИЗМДП 64К(8КХ8) 450 + 12; +5 65 2121.28-8 К573РФ5 ЛИЗМДП 16К(2КХ8) 450 +5 85 210Б.24-5 К573РФ6 ЛИЗМДП 64К(8КХ8) 300 +5 190 2123.40-6 Микросхемы ЗУ на ЦМД К1602РЦ2 — 256К 4 мс 150 КГц — 0,8 Вт — К1602РЦЗ 1М 7,5 мс 150 КГц “— 1,0 Вт — Приложение 4 Цифровые (логические) микросхемы, применяемые в микропроцессорных системах Таблица П4 Функциональное назначение Обозначение Тип корпуса Серии К155, КМ155 (технология ТТЛ, время задержки 10 нс, мощность потребления 10 мВ-А/вентиль, напряжение питания 5 В) Четыре элемента 2И — НЕ Четыре элемента 2И — НЕ с открытым коллектором Четыре элемента 2ИЛИ — НЕ Шесть элементов НЕ Шесть инверторов с открытым коллектором Шесть буферных инверторов с повышенным коллектор- ным напряжением Шесть буферных формирователей с открытым коллек- тором Четыре элемента 2И Три элемента ЗИ—НЕ Три элемента ЗИ — НЕ с открытым коллектором Два триггера Шмитта с элементом 4И — НЕ на входе Шесть буферных инверторов Два элемента 4И — НЕ, один расширяемый по ИЛИ К155 Л АЗ 201 14-1 КМ155ЛАЗ 201.14-8 К155ЛА8 201.14-1 КМ155ЛА8 201.14-8 К155ЛЕ1 201 14-1 К155ЛН1 201.14-1 КМ155ЛН1 201.14-8 К155ЛН2 201.14-1 К155ЛНЗ 201.14-1 К155ЛП9 201.14-1 КМ155ЛП9 201.14-8 К155ЛИ1 201.14-1 КМ155ЛИ1 201.14-8 К155ЛА4 201 14-1 КМ155ЛА4 201.14-8 К155ЛА10 201.14-1 КМ155ЛА10 201.14-8 К155ТЛ1 201.14-1 К155ЛН5 201.14-1 К155ЛА1 201.14-1 362
Продолжение табл. П4 Функциональное назначение Обозначение Тип корпуса Два элемента 4И — НЕ с открытым коллектором К155ЛА7 КМ155ЛА7 201.14-1 201.14-8 Два элемента 4ИЛИ — НЕ со стробированием К155ЛЕ2 238.16-1 Два элемента 4ИЛИ — НЕ со стробированием К155ЛЕЗ КМ155ЛЕЗ 201.14-1 201.14-8 Четыре высоковольтных элемента 2И — НЕ К155ЛА11 201.14-1 Трн элемента ЗИЛИ — НЕ К155ЛЕ4 КМ155ЛЕ4 201.14-1 201.14-8 Четыре элемента 2ИЛИ — НЕ К155ЛЕ5 КМ155ЛЕ5 201.14-1 201.14-8 Элемент 8И — НЕ К155ЛА2 КМ155ЛА2 201.14-1 201.14-8 Четыре элемента 2ИЛИ К155ЛЛ1 201.14-1 Четыре элемента 2И — НЕ с повышенной нагрузочной способностью К155ЛА12 201.14-1 Четыре элемента 2И — НЕ с открытым коллектором К155ЛА13 201.14-1 Два элемента 4И — НЕ с большим коэффициентом раз- К155ЛА6 201.14-1 ветвления КМ155ЛА6 201.14-8 Два элемента 2И — 2ИЛИ — НЕ К155ЛР1 КМ155ЛР1 201.14-1 201.14-8 Элемент ЗИ — 4ИЛИ — НЕ К155ЛРЗ КМ155ЛРЗ 201.14-1 201.14-8 Элемент 4И — 2ИЛИ — НЕ К155ЛР4 КМ155ЛР4 201.14-1 201.14-8 Два четырехвходовых расширителя по ИЛИ К155ЛД1 КМ155ЛД1 201.14-1 201.14-8 У-К-трнггер с элементом ЗИ на входе К155ТВ1 КМ155ТВ1 201.14-1 201.14-8 Два О-триггера К155ТМ2 КМ155ТМ2 201.14-1 201.14-8 Четыре D-триггера с прямым и инверсным выходами К155ТМ7 КМ155ТМ7 238.16-2 201.16-6 Четыре О-триггера К155ТМ5 КМ155ТМ5 201.14-1 201.14-8 Одноразрядный полный сумматор К155ИМ1 КМ155ИМ1 201.14-1 201.14-8 ОЗУ 16 бит К155РУ1 КМ155РУ1 201.14-2 201.14-9 Двухразрядный полный сумматор К155ИМ2 КМ155ИМ2 201.14-1 201.14-9 Четырехразрядный сумматор К155ИМЗ КМ155ИМЗ 238.16-2 201.16-6 Четыре двухвходовых элемента «исключающее ИЛИ» К155ЛП5 КМ155ЛП5 201.14-1 201.14-8 Двончно-десятнчный счетчик К155ИЕ2 КМ155ИЕ2 201.14-1 201.14-8 Счетчик-делитель на 12 К155ИЕ4 КМ155ИЕ4 201.14-1 201.14-8 Двоичный счетчик К155ИЕ5 КМ155ИЕ5 201.14-1 201.14-8 Четырехразрядный сдвиговый регистр К155ИР1 КМ155ИР1 201.14-1 201.14-8 Делитель с переменным коэффициентом деления К155ИЕ8 238.16-2 363
Продолжение табл. П4 Функциональное назначение Обозначение Тип корпуса Одновибратор с элементом 2ИЛИ — Ина входе К155АГ1 201.14-1 Сдвоенный одновибратор с повторным запуском К155АГЗ КМ155АГЗ 238.16-1 201.16-5 Четыре усилителя с тремя состояниями К155ЛП8 КМ155ЛП8 201.14-1 201.14-8 Магистральный усилитель К155ЛЕ6 201.14-1 Двоично-десятичный дешифратор с высоковольтным вы- К155ИД1 238.16-1 ХОДОМ КМ155ИД1 201.16-5 Селектор-мультиплексор на 16 каналов К155КП1 239.24-1 Селектор-мультиплексор без стробирования К155КП5 КМ155КП5 201.14-1 201.14-8 Сдвоенный селектор-мультиплексор К155КП2 КМ155КП2 238.16-1 201.16-5 Дешифратор 4X16 К155ИДЗ 239.24-2 Сдвоенный дешифратор 2X4 К155ИД4 КМ155ИД4 238.16-1 201.16-5 Четырехразрядный десятичный синхронный счетчик К155ИЕ9 238.16-2 Четырехразрядный регистр с тремя состояниями на вы- К155ИР15 238.16-2 ходе КМ155ИР15 201.16-6 Четыре Р-триггера К155ТМ8 238.16-1 Восьмиразрядная схема контроля на четность К155ИП2 КМ155ИП2 201.14-1 201.14-8 Четырехразрядная АЛУ К155ИПЗ 239.24-2 Съема ускоренного переноса для АЛУ К155ИП4 КМ155ИП4 238.16-1 201.16-5 Преобразователь двоично-десятичного кода в двоичный К155ПР6 КМ155ПР6 238.16-2 201.16-6 Преобразователь двоичного кода в двоично-десятичный К155ПР7 КМ155ПР7 238.16-2 201.16-6 Двоично-десятичный реверсивный счетчик К155ИЕ6 КМ155ИЕ6 238 16-2 201.16-1 Четырехразрядный двоичный реверсивный счетчик К155ИЕ7 КМ155ИЕ7 238.16-2 201.16-6 Восьмиразрядный реверсивный регистр сдвига К155ИР13 239.24-2 Двенадцатиразрядный регистр К155ИР17 239.24-2 Восьмивходовой расширитель по ИЛИ К155ЛДЗ КМ155ЛДЗ 201.14-1 201.14-8 Шесть триггеров Шмитта с инвертором К155ТЛ2 201.14-1 Шесть буферных формирователей с открытым выходом К155ЛП4 201.14-1 Четыре триггера Шмитта К155ТЛЗ 201.14-1 Селектор-мультиплексор на 8 каналов со стробировани- ем К155КП7 238.16-1 Шесть инверторов с тремя состояниями на выходе К155ЛН6 238.16-2 Декадный счетчик К155ИЕ1 201.14-1 Многофункциональный элемент К155ХЛ1 КМ155ХЛ1 201.14-1 201.14-9 Серия К531 (технология ТТЛШ, время задержки 3 нс, потребляемая мощность 19 мВ*А/вентиль, напряжение питания 5 В) Четыре элемента 2И — НЕ Четыре элемента 2ИЛИ — НЕ Четыре элемента 2И — НЕ с открытым коллектором К531ЛАЗП К531ЛЕ1П К531ЛА9П 201.14-1 201.14-1 201.14-1 364
Продолжение табл. П4 Функциональное назначение Обозначение Тнп корпуса Шесть элементов НЕ К531ЛН1П 201.14-1 Шесть элементов НЕ с открытым коллектором К531ЛН2П 201.14-1 Три элемента ЗИ К531ЛИЗП 201.14-1 Два элемента 4И — НЕ К531ЛА1П 201.14-1 Элемент 8И — НЕ К531ЛА2П 201.14-1 Два элемента 2И — 2ИЛИ — НЕ К531ЛР11П 201.14-1 Четыре двухвходовых элемента «исключающее ИЛИ» К531ЛП5П 201.14-1 /-/(-триггер с предварительной установкой К531ТВ9П 201.16-12 /-K-триггер с установкой единицы К531ТВ10П 201.14-1 /-/(-триггер с установкой единицы и синхронизацией К531ТВ11П 201.14-1 Два элемента 4И — НЕ К531ЛА16П 201.14-1 Два селектора-мультиплексора К531КП2П 201.16-16 Четырехразрядное АЛУ К531ИПЗП 239-24-7 Схема ускоренного переноса для АЛУ К531ИП4П 201.16-16 Четырехразрядный селектор с тремя состояниями К531КП11П 201.16-16 Двунаправленный усилитель-формирователь К531АП2П 201.16-16 Серии К555, КМ555 (технология ТТЛШ, время задержки 10 нс, потребляемая мощность 2 мВ-А/веитиль, напряжение питания 5 В) Четыре элемента 2И — НЕ К555ЛАЗ КМ555ЛАЗ 201.14-1 201.14-8 Четыре элемента 2ИЛИ — НЕ К555ЛЕ1 201.14-1 Четыре элемента 2И — НЕ с открытым коллектором К555ЛА9 201.14-1 КМ555ЛА9 201.14-8 Шесть элементов НЕ К555ЛН1 201.14-1 КМ555ЛН1 201.14-8 Шесть инверторов с открытым коллекторным выходом К555ЛН2 201.14-1 Четыре элемента 2И К555ЛИ1 201.14-1 КМ555ЛИ1 201.14-8 Три элемента ЗИ К555ЛИЗ 201.14-1 КМ555ЛИЗ 201.14-8 Три элемента ЗИ — НЕ К555ЛА4 201.14-1 Три элемента ЗИ с открытым коллектором К555ЛИ4 201.14-1 Шесть триггеров Шмитта К555ТЛ2 201.14-1 КМ222ТЛ2 201.14-8 Два элемента 4И — НЕ К555ЛА1 201.14-1 КМ555ЛА1 201.14-8 Три элемента ЗИЛИ — НЕ К555ЛЕ4 201.14-1 Четыре элемента 2И — НЕ с повышенной нагрузочной К555ЛА12 201.14-1 способностью Четыре элемента 2И — НЕ с открытым коллектором К555ЛА13 201.14-1 Элемент 2И — 4ИЛИ — НЕ К555ЛР13 201.14-1 Элемент 4И — 2ИЛИ — НЕ К555ЛР4 201.14-1 Два D-триггера К555ТМ2 201.14-1 Четыре D-триггера с прямыми и инверсными выходами К555ТМ7 201.16-1 Схема сравнения четырехразрядных чисел К555СП1 238.16-2 Два /-/(-триггера с установкой и сбросом К555ТВ9 238.16-2 Два одновибратора с повторным запуском К555АГЗ 238.16-2 Двоичный дешифратор К555ИД7 238.16-2 Двоично-десятичный дешифратор К555ИД10 238.16-2 3 65
Продолжение табл. П4 Функциональное назначение Обозначение Тип корпуса Шифратор приоритетов 8—3 К555ИВ1 238.16-1 Четырехразрядный двоичный счетчик К555ИЕ10 238.16-2 Восьмиразрядный последовательный регистр К555ИР8 201.14-8 Восьмиразрядный регистр сдвига параллельно-последо- вательный К555ИР9 238.16-2 Восьмиразрядный регистр сдвига К555ИР10 238.16-2 Шесть синхронных О-триггеров К555ТМ9 238.16-2 Четыре О-триггера К555ТМ8 238.16-2 Двоично-десятичный реверсивный счетчик К555ИЕ6 238.16-2 Четырехразрядный реверсивный счетчик К555ИЕ7 238.16-2 Два элемента 4И К555ЛИ6 201.14-1 КМ555ЛИ6 201.14-8 Элемент 8И — НЕ К555ЛА2 201.14-1 КМ555ЛА2 201.14-8 Четыре элемента 2ИЛИ К555ЛЛ1 201.14-1 Два элемента: 2И-2ИЛИ — НЕ и ЗИ — 2ИЛИ - НЕ К555ЛР11 201.14-1 КМ555ЛР11 201.14-8 Четыре элемента «исключающее ИЛИ» К555ЛП5 201.14-1 КМ555ЛП5 201.14-8 Два /-/(-триггера со сбросом К555ТВ6 201.14-6 Два дешифратора К555ИД4 238-16-1 КМ555ИД4 201.16-5 Серия К561 (технология КМДП, время задержки 50 нс, мощность потребления 0,4 мкВА/вентиль, напряжение питания 3 ..15 В) Четыре элемента 2ИЛИ — НЕ К561ЛЕ5 201.14-1 Два элемента 4ИЛИ — НЕ К561ЛЕ6 201.14-1 Четыре элемента И — ИЛИ К561ЛС2 238.16-1 Четырехразрядный сумматор К561ИМ1 238.16-1 Четыре элемента 2И — НЕ К561ЛА7 201 14-1 Два элемента 4И — НЕ К561ЛА8 201.14-1 Два О-триггера К561ТМ2 201.14-1 Два четырехразрядных сдвиговых регистра К561ИР2 238.16-1 Девятичный счетчик-делитсль К561ИЕ8 238.16-1 Восьмиканальный мультиплексор К561КП2 238.16-1 Два четырехканальных мультиплексора К561КП1 238.16-1 Счетчик-делитель на 8 К561ИЕ9 238.16-1 Три элемнта ЗИ — НЕ К561ЛА9 201.14-1 Три элемента ЗИЛИ — НЕ К561ЛЕ10 201.14-1 Два /-/(-триггера К561ТВ1 238.16-1 Четыре элемента «исключающее ИЛИ» К561ЛП2 201.14-1 Четырехразрядный последовательно-параллельный ре- гистр К561ИР9 238.16-1 Четыре /?5-триггера К561ТР2 238.16-1 Четыре О-триггера К561ТМЗ 238.16-1 Шесть элементов НЕ К561ЛН2 201.14-1 Шесть преобразователей уровня К561ПУ4 238.16-18 Четыре двунаправленных переключателя К561КТЗ 201.14-1 Шесть элементов НЕ с блокировкой и запретом К561ЛН1 238.16-1 Два четырехразрядных счетчика К561ИЕ10 238.16-1 366
Окончание табл. П4 Функциональное назначение Обозначение Тип корпуса Двенадцатиразрядная схема сравнения К561СА1 238.16-1 Четырехразрядная схема сравнения К561ИП2 238.16-1 Серия К500 (технология ЭСЛ, время задержки 2 нс, мощность потребления — 25 мВ-А/вентиль, напряжение питания 5,2 В) Четыре элемента 2ИЛИ — НЕ/ИЛИ с одним общим К500ЛМ101 238.16-2 входом Четыре элемента 2ИЛИ — НЕ/ИЛИ К500ЛМ102 238.16-2 Три элемента ИЛИ — НЕ/ИЛИ К500ЛМ105 238.16-2 Три элемента ИЛИ — НЕ К500ЛЕ106 238.16-2 Три элемента «исключающее ИЛИ — НЕ/ИЛИ» К500ЛП107 238.16-2 Два элемента ИЛИ с мощным выходом К500ЛК110 238.16-2 Два элемента ИЛИ — НЕ с мощным выходом К500ЛЕ111 238.16-2 Три приемника К500ЛП114 238.16-2 Четыре приемника К500ЛП115 238.16-2 Три приемника К500ЛП116 238.16-2 Два элемента ЗИЛИ — 2И/ИЛИ — 2И — НЕ К500ЛК117 238.16-2 Два элемента ИЛИ — И К500ЛС118 238.16-2 Элемент ИЛИ — И К500ЛСН9 238.16-2 Элемент ИЛИ — И/ИЛИ - И — НЕ К500ЛК121 238.16-2 Три элемента ИЛИ — НЕ с мощным выходом К500ЛЕ123 238.16-2 Преобразователь уровня К500ПУ124 238.16-2 Преобразователь уровня К500ПУ125 238.16-2 Формирователь К500ЛП128 238.16-2 Приемник К500ЛП129 238.16-2 Два О-триггера К500ТМ130 238.16-2 Два О-триггера К500ТМ131 238.16-2 Четыре D-триггера с защелкой К500ТМ133 238.16-2 Четыре О-триггера К500ТМ134 238.16-2 Два 7-К-триггера К5ООТВ135 238.16-2 Счетчик двоичный четырсхразрядный К500ИЕ136 238.16-2 Счетчик десятичный универсальный К500ИЕ137 238.16-2 Регистр сдвига универсальный четырехразрядный К500ИР141 238.16-2 Двенадцативходовая схема контроля на четность К500ИЕ160 238.16-2 Трехразрядный дешифратор низкого уровня К500ИД161 238.16-2 Трехразрядный дешифратор высокого уровня К500ИД162 238.16-2 Восьмнканальный мультиплексор К500ИД164 238.16-2 Кодирующий элемент с приоритетом К500ИВ165 238.16-2 Четыре О-триггера с мультиплексорами иа входах К500ТМ173 238.16-2 Два четырехвходовых мультиплексора К500КП174 238.16-2 Схема ускоренного переноса К500ИП179 238.16-2 Сдвоенный сумматор-вычитатель АЛУ на 16 операций К500ИП180 К500ИП181 238.16-2 239.24-2 Два элемента ИЛИ с мощным выходом К500ЛЕ210 238.16-2 Два элемента ИЛИ — НЕ с мощным выходом К500ЛЕ211 238.16-2 Три приемника К500ЛП216 238.16-2 Два О-триггера К500ТМ231 238.16-2 367
Справочное издание АВЕРЬЯНОВ НИКОЛАЙ НИКОЛАЕВИЧ БЕРЕЗЕНКО АЛЕКСАНДР ИВАНОВИЧ БОРЩЕНКО ЮРИЙ ИВАНОВИЧ И ДР. МИКРОПРОЦЕССОРЫ И МИКРОПРОЦЕССОРНЫЕ КОМПЛЕКТЫ ИНТЕГРАЛЬНЫХ МИКРОСХЕМ. ТОМ 2 Заведующий редакцией Ю. Н. Рысев Редактор Г. Н. Астафуров Художественный редактор Н. С. Шенн Переплет художника Н. А. П а ш у р о Технический редактор Г. 3. Кузнецова Корректор А. К. Акименкова ИБ № 1571 Сдано в набор 04.02.87 Подписано в печать 08.12.87. Т-19088. Формат 70Х lOO’/te. Бумага офсетная № 2. Гарнитура литературная. Печать офсетная. Усл. печ. л. 29,9. Усл. кр.-отт. 30,55. Уч.-изд. л. 36,67. Тираж 100.000 (1-й завод: 1—50.000 экз.) Изд. № 22027. Зак. № 915. Цена 2 р. 20 к. Издательство «Радио и связь», 101000 Москва, Почтамт, а/я 693 Московская типография № 4 «Союзполиграфпрома» при Государственном комитете СССР по делам издательств, полиграфии и книжной торговли. 129041, Москва, Б. Переяславская, 46