Текст
                    В.И.ЗУБЧУК
В.П.СИГОРСКИЙ
А.Н.ШКУРО
СПРАВОЧНИК
ПО
ЦИФРОВОМ
СХЕМОТЕХНИКЕ
Киев
«Техниках
1990

ББК 32.844.1Я2 3-91 УДК 681.51 (031) Рецензент канд. техн, наук В. П. Денисенко Редакция литературы по электронике, кибернетике и связи Зав. редакцией 3. В. Божко Зубчук В. И. и др. 3-91 Справочник по цифровой схемотехнике /В. И. Зубчук, В. П. Сигорский, А. Н. Шкуро. —К.! Тэхника, 1990.—448 с. ISBN 5-335-00584-Х Приведены схемные реализации цифровых интегральных микросхем (ИМС) комбинационного (шифраторы, деши- фраторы, преобразователи кодов, мультиплексоры, демуль- типлексоры, сумматоры, компараторы) н последователь- ностного (триггеры, счетчики, регистры, ОЗУ, ПЗУ, ППЗУ) типов. Даны структуры и особенности функциони- рования микропроцессорных комплектов с фиксирован- ной и наращиваемой разрядностью и структуры одно- кристальных микро-ЭВМ, а также рекомендации по их применению при проектировании цифровых устройств. Рассчитан на инженерно-технических работников, занимающихся разработкой и эксплуатацией цифровой техники, может быть полезен студентам вузов. 3—0993-70-19? 132.90 ББК 32.844.1я2 М202 (04)-90 ISBN 5-335-00584-Х ©Зубчук В.И., Сигорский В.П., Шкуро А, Н., 1990
ПРЕДИСЛОВИЕ Г'ыстрое развитие современной микроэлектроники и, в частно- Dctnu, цифровой схемотехники сопровождается вовлечением в эту область все более широкого круга разработчиков новых функ- циональных узлов, устройств и систем, а также пользователей компонентной базы и традиционных схемотехнических решений в новых прикладных областях. При этом специалист в области цифровой схемотехники должен обладать обширными знаниями в способах математического описания функционирования цифро- вых схем на логическом и электрическом уровнях, знать совре- менную компонентную базу цифровой схемотехники и предпо- чтительные области ее применения, свободно ориентироваться в промышленных сериях интегральных микросхем и перспекти- вах их дальнейшего совершенствования, овладеть методами по- строения структур цифровых устройств и систем, включая средства микропроцессорной техники. Уровень выполняемых разработок по цифровой схемотехни- ке в значительной мере зависит от полноты и своевременности обновления соответствующей теоретической и справочной лите- ратуры. К настоящему времени сформировался и надежно за- крепился существенный разрыв между литературой по теоре- тическим вопросам схемотехники, освещающей методологию построения цифровых элементов, устройств и систем, и справоч- ной литературой, в которой обычно приводятся описания вы- пускаемых промышленностью изделий электронной техники. В данном справочнике изложены с единых позиций как методоло- гические, так и информационные аспекты цифровой схемотехни- ки. По замыслу авторов, справочник должен помочь в решении задач схемотехнического проектирования, а также предоста- вить разработчику первичную информацию. Изложение мате- риала вполне доступно как для специалистов по электронной технике, так и для студентов средних и высших учебных заве- дений и подготовленных радиолюбителей. Отзывы и пожелания просим направлять по адресу: 252601 Киев, 1, ул. Крещатик, 5. Издательство «Техника».
Глава 1 ЧИСЛА И КОДЫ 11. СИСТЕМЫ СЧИСЛЕНИЯ О дискретной технике вся информация независимо от ее ха- D рактера представляется в числовой форме, причем исполь- зуются только позиционные системы счисления. В этих системах любое целое неотрицательное n-разрядное число запи- сывается в виде последовательности п цифр xn_ixn_.i...x1 х0. Число а различных символов (0, 1, 2, а — 1), принятых для представления цифр, определяет основание системы счисле- ния. Вклад цифры в изображаемое число зависит как от этого основания, так и от занимаемой ею позиции (разряда) в после- довательности цифр. Цифра входит с весом ak и означает xkak, а вся последовательность цифр хп^хп_2 ... выражаете системе счисления с основанием а число Xn-ia'1'1 + 4------Х1(214. хоа». (1.1) Привычная десятичная система (а — 10) использует цифры 0, 1, 2, .... 9, так, что, например: 3175 = 3 • 10;,-f- 1 • 10*+ + 7 • 10*+ 5 • 10°. В вычислительной технике преимупксгвьн- ное значение получила двоичная система счисления [88|, для которой достаточно двух цифр 0 и 1. Двоичный разряд пред- ставляет собой наименьшее количество информации, называемое битом. Последовательность двоичных цифр xrt_1xn_2...x1x0 слу- жит записью двоичного числа хп,^ + + .. • + + х„2». Среди других систем счисления чаще всего используются восьмеричная и шестнадцатеричная. В восьмеричной системе цифры изображаются теми же символами, что и в десятичной, а в шестнадцатеричной системе к ним добавляется еще шесть символов А, В, С, D, Е, F, которые соответствуют десятичным числам 10, 11, 12, 13, 14, 15. Запись первых 32 чисел в системах счисления с основанием 2, 8 и 16 показаны в табл. 1.1. Если требуется указать основание системы счисления, запись числа сопровождается десятичным индексом. Например: 101103= (1 • 24+ 1 • 23+ 0 • 24- 1 • 2‘+ 0 • 2°) = 261О; 53278= (5 • 83+ 3 • 82+ 2 - 81+ 7 . 8°) = 277510; 2DF9I0= (2 • 163+ 13 • 164- 15 • 164- 9 - 16») = 1176910. Отсюда видно, что для преобразования числа из любой системы счисления в десятичную достаточно вычислить значение соответствующего многочлена, подставив в него десятичные значения разрядов и основания системы счисления. Вычисления удобно выполнять по схеме Горнера, основанной на представле- нии многочлена (1.1) в виде (• • • ((Хп^а + х„.8) а + х„_3) а +... -f- хЦ а + х0, 4
Таблица 1.1 Десятич- ное число В системе счисления с основанием Десятич- ное число В системе счисления с основанием 2 8 16 2 8 16 0 0 0 0 16 10000 20 10 1 1 1 1 17 10001 21 и 2 10 2 2 18 10010 22 12 3 11 3 3 19 10011 23 13 4 100 4 4 20 10100 24 14 5 101 5 5 21 10101 25 15 6 110 6 6 22 10110 26 16 7 111 7 7 23 10111 27 17 8 1000 10 8 24 11000 30 18 9 1001 11 9 25 11001 31 19 10 1010 12 А 26 пою 32 1А 11 1011 13 В 27 11011 33 1В 12 1100 14 С 28 11100 34 1С 13 1101 15 D 29 11101 35 1D 14 1110 16 Е 30 11110 36 1Е 15 1111 17 F 31 11111 37 1F т. е. цифра хл_! старшего разряда переводимого числа умножа- ется на основание а исходной системы счисления н результат суммируется со следующей цифрой, затем этот процесс повто- ряется, пока не дойдет до цифры младшего разряда. Например, преобразование восьмеричного числа в десятичное по этой схеме представляется следующим образом: 5327g 5.8 + 3 =» 43.8 + 2 => 346.8 + 7 = 2775,э Наибольшее десятичное число, которое можно представить «-разрядным числом в системе счисления с основанием а, равно (ап— 1). При этом для представления ап чисел необходимо по а различных цифр на каждый разряд, т. е. всего q = па цифр. В то же время количество чисел, которые можно представить в системе счисления с основанием о, располагая q цифрами, выражается функцией cfl,a. Она достигает максимума при равен- стве а основанию натуральных логарифмов е ж 2,7, что указы- вает на троичную систему как наиболее экономичную. В свое время этот вывод служил одним из оснований для построения троичных вычислительных машин, но с развитием интеграль- ной технологии он потерял свое значение. В вычислительной технике доминирующую роль по-прежнему играет двоичная система благодаря таким ее преимуществам как удобство тех- нической реализации, простота арифметических н логических операций и др. Между тем в информационно-измерительной тех- нике применяются также многозначные элементы и структуры, 5
с помощью которых осуществляются различные операции в де- сятичной и других системах счисления [52, 53]. В общем случае, когда число имеет дробную часть, послед- няя отделяется от целой части разделительным символом — точкой или запятой: 1Х'1-2 • • • п цвфр\елой т «»*Р дробной части час™ что соответствует числу: *zi_i ап~1 + хп_,гап~^ 4- . . . + х^1 хоа° + целая часть числа + х^а'1 4- х_2<? 4- ... 4- х_та~'п. дробная часть числа Выражение любого числа в десятичной системе сводится к вычислению его многочленного представления, напримерз 405,378= (4 • 82 4-0 • 8Г4-5 • 8°4-3 • 8'Ч- 7 - 8'2)и --= 261,1406251о. Арифметические операции над числами в любой системе счисления выполняются по тем же правилам, что и в десятичной системе. 1.2. ПРЕОБРАЗОВАНИЕ ЧИСЕЛ Чаще всего приходится переводить десятичные числа в двоич- ные и обратно, что можно выполнять с помощью универсаль- ного алгоритма, применяемого раздельно для целой и дробной частей. Перевод целон части десятичного числа в двоичную систе- му сводится к записи в обратном порядке остатков (0 или 1), получаемых при делении исходного числа и каждого последу- ющего частного на два. Дробная часть получается из целых частей (0 или 1) при ее последовательном умножении на два, причем такое умножение продолжается до тех пор, пока дроб- ная часть обратится в нуль или получится требуемое количество знаков после разделительной точки. Покажем, например, что 26.312510= 11010.01012: Целая часть Последовательное деление ' 2в\2_ б\2. д\2_ 1\2_ О Двоичный эквивалент Обратное преобразование двоичного числа в десятичное можно выполнить аналогично с тем различием, что делить и ум- ножать нужно на 10 в двоичной системе, т. е. на 1010а. Так, 6
Дробная часть соотношение 1 Ю10.01012 = 26.312510 получается следующим образом: целая часть Последовательное Остатки деление Целые части произведений _пою \t010 уо ио о Последовательное умножение 0101 ЧОЮ ,ООЮ ЧОЮ ,0100 1010 0000 11 10 101 / 312 5 Десятичный эквивалент Как видно, при использовании этого алгоритма цифры де- сятичного эквивалента двоичного числа выражаются первона- чально в двоичной системе. Отводя для каждого десятичного раз- ряда четыре двоичных разряда (тетраду), получим двончно-де- сятичную запись числа: 26.3125 = 0010 0110 . 0011 0001 0010 0101. '~2~' '~С ’ "V Такое представление чисел удобно при обработке в вычисли- тельных машинах информации, характеризующейся большим количеством исходных данных и результатов в десятичной систе- ме счисления. Проще всего переводятся в двоичные числа восьмеричные и шестнадцатеричные, основания которых представляют собой целые степени двойки, т. е. 8 = 23 и 16 = 24. Для этого доста- точно каждый разряд восьмеричного числа представить тройкой (триадой), а шестнадцатеричного — четверкой (тетрадой) двоич- ных разрядов. Например: 53278 = 101 ОН 010 111; 2DF9U = 0010 1101 1111 1001. Обратный перевод двоичного числа в восьмеричное или шестнадцатеричное выполняется его разбиением на блоки (три- ады или тетрады) влево и вправо от разделительного символа. Недостающие разряды в крайнем левом и правом блоках допол- няются нулями. Затем каждая триада заменяется восьмерич- ным, а каждая тетрада шестнадцатеричным числом. Например: 1101010.11101 = 001 101 010.111 010 = 152.72,; 1101010.11101 = ОНО 1010.1110 1000 = 5A.D8le. Восьмеричное и шестнадцатеричное представления двоич- ных чисел повсеместно используются для более компактной запи- си при программировании и вводе программ в вычислительные машины. В частности, шестнадцатеричная система удобна для представления укрупненной единицы информации — байта, рав- ного 8 битам, для чего достаточно двузначного шестнадцатерич- ного числа.
1.3. МАШИННОЕ СЛОВО Сигналы, играющие роль носителей информации, пред- ставляются в цифровых системах последовательностями бит (или байт), которые объединяются в слова. Длина слова может быть любой, обычно в диапазоне от 4 до 128 бит. Численное вы- ражение слова понимается как значение соответствующей пере- менной. Цифровые сигналы могут представляться в двух фор- мах: с фиксированной или с плавающей запятой. При представлении числа в форме с фиксированной запятой n-разрядное слово разбивается на три части. Первый бит ис- пользуется для знака (0 для положительных чисел н 1 для отри- цательных). Остальные разряды распределяются между целей и дробной частями числа с жестким положением места разделе- Целая часть Дродная часть (a v л ч А " А Бит знака Место разделения а Мантисса Порядок Знак мантиссы Знак порядка 5 Рис. 1.1 лия, т. е. указанием количества отводимых разрядов (рис. 1.1,о). Если для дробной части выделено т бит, то наибольшее по аб- солютной величине число не может превосходить (2'г“1— 1)2“"'. Ограниченность диапазона представляемых чисел и жесткое расположение фиксированной запятой — основные недостатки этого способа, которые могут привести к потере точности при выполнении арифметических операций вследствие переполнения. Более удобной является форма с плавающей запятой. Она основана на соотношении N — М2Е, где М — мантисса и Е — порядок числа N. Величины М и Е могут быть как положитель- ными, так и отрица 1ельными, но 44 всегда дробное число, мень- ше единицы, а £ — целое число. Тем не менее обе эти величины представляются как целые числа и для каждого из них (вклю- чая знаки) отводится в слове определенное количество разря- дов (рис. 1.1,6). При этом разрядность мантиссы влияет на точ- ность, а разрядность порядка—па диапазон представляем!, х чисел. Сдвиг мантиссы на один разряд влево увеличивает, а впра- во — уменьшает ее вдвое. Чтобы такие сдвиги не влияли на зна- чение числа, необходимо соответственно уменьшить и увеличить порядок на единицу. Сдвиг мантиссы влево допустим только при наличии старшего нулевого разряда. Если же старший разряд равен 1, то это соответствует максимально возможному значен) ю данной мантиссы, которое лежит в диапазоне 2-1 <44 < 1. Числа с таким представлением мантиссы называют нормализованными, в
и они чаще всего используются в вычислительных системах. Процесс нормализации состоит в сдвиге числа на необходимое количество разрядов влево или вправо с соответствующим умень- шением или увеличением порядка. Если мантисса и порядок нормализованного числа занимают соответственно р и q разрядов, то минимальное и максимальное значения (в десятичной записи) Nmin = 0,5 X И ,Vmax = (1 - 2-₽+’)2^-‘, что определяет диапазон представления чисел <Vmin с <V < Л/тах. В этом отношении форма с плавающей запятой предпочтительна. При сложении чисел с плавающей запятой необходимо сна- чала выравнять показатели слагаемых, для чего мантисса одного из них сдвигается на число разрядов, равное разности показа- телей. Умножение сводится к определению произведения ман- тисс и суммы показателей. Соогветовующие операции выпол- няются над целыми числами, а результат обычно (хотя и не всегда) нормализуется. Машинное слово не обязательно должно иметь структуру, показанную на рис. 1.1. Используются и другие варианты рас- положения мантиссы и порядка, а также их знаков. Но слово, как правило, содержит целое число байт. Числа в форме с пла- вающей запятой для повышения точности могут представляться двумя последовательными словами. 1.4. ОБРАТНЫЙ И ДОПОЛНИТЕЛЬНЫЙ КОДЫ Обратный код п-разрядного числа N с основанием а допол- няет его до максимально возможного значения ап— 1, т. е. No— ап— 1 — .V. При этом цифра каждого разряда обратною кода Na дополняет соответствующую цифру исходного числа N до наибольшей цифры а — 1 (для десятичных чисел — до 9). Дополнительный код числа N получается как разность Wo= = ап— N. так что он больше обратного кода на 1. Сложение целых двоичных чисел с учетом знаков можно свести к обычному суммированию их дополнительных или об- ратных кодов. Эти коды для положительных чисел совпадают с прямым кодом, в котором один разряд (обычно старший) используется для кодирования знака числа (0 для положитель- ного и 1 для отрицательного). Обратный код для отрицательного числа получается из прямого заменой 0 на 1 и 1 на 0 во всех разрядах, включая и знаковый. Чтобы выразить отрицательное число в дополнительном коде, достаточно к обратному коду прибавить 1. Сложение в дополнительном коде осуществляется по прави- лам двоичной арифметики разряд за разрядом, включая знаковые разряды. Возможный перенос из знакового разряда игнорирует- ся. При этом результат получается также в дополнительном коде. Например: ц. (+9)ю- 0 1001 М-5)10- ИОН (+4)10= 10 0100 Перенос игнорируется (+7)1о=О 0111 + (—13)10=1 0011 (-6)10=1 1010 Перенос отсутствует (—8)10 = 1 1000 '(-6)ю- НОЮ (—14)10= Н 0010 Перенос игнорируется Для алгебраического сложения можно воспользоваться и обратным кедом. Как и ранее, коды слагаемых, включая 9
и знаковые разряды, суммируются как двоичные числа. Но пере- нос из старшего (знакового) разряда, если он возникает, не игно- рируется, а прибавляется к младшему разряду суммы, которая также получается в обратном коде. Такой перенос называется круговым, а сложение — циклическим. Например: (+15)1о = О1111 (—5)10 = 1 1010 Круговой 10 1001 перенос ~ri___> | (+1О)1о = 01010 (+7)1о = ОО111 (—11)10= 1 0100 (—4)10= 11011 Круговой перенос отсутствует Если оба слагаемых имеют одинаковые знаки, то может случиться переполнение, признаком которого служит различие знаков слагаемых и суммы. Другой признак основан на том, что переполнение происходит тогда и только тогда, когда при сложении имеет место один из переносов — в знаковый разряд или из знакового разряда, но не оба. В обратном коде этот при- знак следует применять до кругового переноса. Вычитание в дополнительном и обратном кодах сводится к сложению путем замены знака (а значит, и кода) вычитаемого. Таблица 1.2 Десятичные цифры Двоичные коды десятичных цифр 8421 2421 С из- бытком 3 2 из 5 0 0000 0000 ООН 11000 1 0001 0001 0100 00011 2 0010 0010 0101 00101 3 ООН ООП оно 00110 4 0100 0100 0111 01001 5 0101 1011 1000 01010 6 оно 1100 1001 01100 7 0111 1101 1010 10001 8 1000 1110 1011 10010 9 1001 ни 1100 10100 1.5. ДВОИЧНО-ДЕСЯТИЧНЫЕ КОДЫ Для представления информации в десятичной системе счисления и выполнения операций над десятичными числами в цифровых устройствах используется двоично-десятичное коди- рование [18], при котором каж- дая десятичная цифра представ- ляется группой двоичных цифр. Число битов в таких группах строго фиксируется (их должно быть не менее четырех) с сохра- нением всех левых нулевых раз- рядов. В практике исполь- зуется несколько разновиднос- тей двончно-десятичных кодов (табл. 1.2), сохраняющих свое значение благодаря полезным специфическим особенностям. Наиболее естественным и популярным считается упоми- навшийся (см. гл. 1.2) код пря- мого замещения, в котором каж- дая цифра десятичного числа заменяется соответствующим четырехразрядным двоичным числом. Его другое название код 8421 отражает значение весовых множителей, приписы- ваемых соответствующим битам в кодирующей группе, в связи с чем ои называется также взвешенным кодом. Удобства этого кода проявляются при машинном переводе из десятичной системы в двоичную и обратно, а также при суммировании на обычных двоичных сумматорах благодаря его аддитивности (сумма кодов двух цифр представляет код суммы). Избыточность тетрады, допускающей 16 кодовых комбина- ций, позволяет создавать и другие варианты двои ч но-десяти ч-
вых кодов с использованием четверки битов яа десятичный раз- ряд. Одни из них код 2421 также взвешенный, но старший раз- ряд имеет вес не 8, а 2. Его положительная особенность состоит в том, что замена в кодирующей тетраде нулей на единицы, а единиц, на нули превращает каждую десятичную цифру х в 9__х, т. е. получается обратный код. Для превращения его в дополнительный код достаточно прибавить единицу. Коды с таким свойством называют самодополнительными. Они при- меняются при выполнении арифметических операций над де- сятичными числами в обратном или дополнительном коде. Самодополнительным является и код с избытком 3, который получается прибавлением 31о=ОО11а к каждой цифре кода прямого замещения. Как и код 2421, он удобен для выполнения операций над десятичными числами. При этом легко определяет- ся перенос, так как сумма двух слагаемых, каждое из которых берется с избытком 3, получится с избытком 6, что исключает лишние кодовые комбинации (для получения правильного кода суммы из полученного результата вычитается 3). Но этот код в отличие от кодов 8421 и 2421 не является взвешенным, вслед- ствие чего мало удобен для преобразования чисел из одной си- стемы в другую. Используются также двоично-десятичные коды, в которых кодирующие тетрады дополняются избыточными битами с тем, чтобы использовать эту избыточность для придания кодам спе- цифических свойств, служащих для обнаружения ошибок и тем самым для повышения надежности вычислительных систем. Так, в коде 2 из 5 каждая десятичная цифра представляется пятью разрядами, из которых два и только два содержат едини- цы. Если появится ошибка в одном из двоичных разрядов, т. с. если нуль превратится в единицу или единица превратится в нуль, то общее число единиц окажется больше или меньше двух, что можно обнаружить простым их подсчетом. Другой способ обнаружения одиночной ошибки основан на использова- нии бита, которым дополняется какой-либо код, для контроля четности. Значение дополнительного бита выбирается таким, чтобы общее число единиц в кодирующей группе всегда было четным или нечетным (в зависимости от принятого правила кон- троля). Рассмотренные способы обнаруживают одиночные ошиб- ки, точнее, нечетное количество ошибок, по не реагируют на двойные и вообще четное количество ошибок. Существуют более сложные способы построения корректирующих кодов, исполь- зуемых в технике связи, но в обычных вычислительных систе- мах из-за громоздкости они не применяются. Операции над десятичными числами выполняются с помощью несколько дополненной двоичной арифметики. Так, при сложе- нии двух чисел в коде прямого замещения 8421 необходимо доба- вить корректирующее слагаемое 6J0= 01102 к каждой тетраде, в которой в процессе суммирования получена недопустимая цифра (1010, 1011, 1100, 1101, 1110 п 1111) нли возник перенос в следующую тетраду. Например: , 3810 = ООН 1000 ~| , 2910 = 0010 1001 +5810 = 0101 1000 1610 = 0С01 оно Коррекция , 0100 1110 0000 0110 Коррекция 10000001 0000 оно 5410 = 0101 0100 1000 0111 11
„ вычитании чисел в коде 8421 коррекция сводится к вы- нию 6io:== 01102 из каждой тетрады разности, которая по- требовала заем. Например: 1~ 6310 =01100011 2710 =00100111 Коррекция _ «ОН }}00 3610= ООП ОНО Сложение и вычитание десятичных чисел со знаками выпол- няются с использованием обратного или дополнительного кодов аналогично соответствующим операциям, рассмотренным в §1.4. 1.6. КОД ГРЕЯ Среди невзвешенных двоичных кодов специальные приме- нения находят такие, у которых переход к соседнему числу сопровождается изменениями только в одном разряде (коды с обменной единицей). Так, в технике аналого-цифрового пре- образования и пересчетных устройствах широко используется код Грея [73], называемый также циклическим или рефлексно- двоичным кодом (табл. 1.3). Он позволяет существенно сократить гремя преобразования, упростить кодирующую логику, а так- же повысить эффективность защиты от нежелательных сбоев при переходах выходного кода. Недостатком кода Грея является то, что в нем затруднено выполнение арифметических операций и цифроаналоговое преобразование. Поэтому при необходимости код Грея преобразуется в обычный двоичный код. Таблица 1.3 Десятичные числа Двоичный код Код Грея Десятичные числа Двончныи КОД Код Грея 0 0000 0000 8 1000 1100 1 0001 0001 9 1001 1101 2 0010 ООП 10 1010 1111 3 ooh 0010 11 1011 1110 4 0100 оно 12 1100 1010 5 0101 0111 13 1101 1011 6 оно 0101 14 1110 1001 7 0111 0100 15 1111 1000 Переход от двоичного кода к коду Грея осуществляется по правилу (рис. 1.2,а): старшие разряды совпадают, а любой сле- дующий разряд Xk кода Грея равен сумме по модулю 2 соответ- ствующего Xh и предыдущего х^ разрядов двоичного кода, т. е. X/j= «йЭ xk-i (сумма по модулю 2 равна арифметической сумме без учета переноса в старший разряд). При обратном пере- ходе (рис. 1.2,6) старшие разряды также совпадают, но каждый следующий разряд получается в результате суммирования по модулю 2 полученного предыдущего разряда двоичного кода и соответствующего разряда кода Грея, т. е. Эту 12
процедуру можно также свести к последующему просмотру и пре- образованию цифр кода Грея, начиная со старшего разряда: цифра остается без изменения, если число предшествующих еди- ниц четно (нуль считается четным числом) и инвертируется, если число предшествующих единиц нечетно. Двоичный нов а Рис. 1.2 1.7. АЛФАВИТНО-ЦИФРОВЫЕ КОДЫ Для представления алфавитно-цифровой информации в вы- числительной системе необходимо закодировать все использу- емые символы — цифры, буквы, знаки препинания, математи- ческие и специальные знаки, управляющие и другие символы, образующие ее алфавит. Код символа — это машинная единица информации, которая представляется группой двоичных разря- дов, называемой слогом. Длина слога зависит от количества символов в алфавите и может быть различной, но преимуществен- ное распространение получили восьмиразрядные (байтовые) слоги, посредством которых можно закодировать 256 символов. Организованная совокупность кодов всех символов образует алфавитно-цифровой код. В ЕС ЭВМ применяются байтовые алфавитно-цифровые коды ДКОИ (двоичный код обработки информации) и КОИ-8 (код обмена информацией, восьмибитовый), которые приведены в табл. 1.4 и 1.5. Последовательность байтовых, слогов состав- ляет' машинное слово, длина которого обычно равна целому числу байт и ограничивается возможностью считывания из опе- ративной памяти или записи в нее за одно обращение (в ЕС ЭВМ до 256 байт). В свою очередь, слова объединяются в фразы, а фра- зы — в блоки, которые располагаются компактно во внешней памяти и могут перезаписываться одной командой. Более круп- ная машинная порция информации — файл обычно состоит из некоторого количества блоков и соответствует информационному массиву, описывающему определенное множество объектов. Информация, размещенная в магнитофонной кассете, пакете дис- ков, колоде п°рфокарт, называется томом. Наряду с описанной иерархией алфавитно-цифрового коди- рования предусматриваются специальные форматы для деся- тичных чисел, экономящие память и удобные при выполнении арифметических операций. Числа представляются в прямом коде, могут снабжаться знаками и занимать переменную длину. Де- сятичные цифры кодируются в коде 8421 соответствующими тетра- дами от 0000 до 1001, а остальные шесть тетрад от 1010 до 1111 используются для кодирования знаков и служебных символов. Различают два способа байтового кодирования десятичных дан- ных — зонный и упакованный. В зонном формате для каждой цифры отводится целый байт, причем сама цифра представляется четверкой правых битов, 13
“8 0 0 0 0 0 0 - а7 0 0 0 0 1 1 Г-— а6 0 0 1 1 0 0 а5 0 1 0 1 0 1 |ав|а7|»6 гз а4 аз Э2 а1 00 01 02 03 04 0Е 0 0 0 0 0 ПУС API Про- бел 0 @ Р 0 0 0 1 1 НЗ СУ1 1 1 А Q 0 0 1 0 2 НТ СУ2 >> 2 В R 0 0 1 1 3 КТ СУЗ * 3 С S 0 1 0 0 4 КП стл 4 D Т 0 1 0 1 5 КТМ НЕТ % 5 Е и 0 1 1 0 6 ДА СИН & 6 F V 0 1 1 1 7 ЗВ КБ / 7 G W 1 0 0 0 8 вш АН ( 8 Н X У 0 0 1 9 ГТ КН ) 9 I Y 1 0 1 0 10 ПС ЗМ * J Z |± 0 1 1 11 ВТ АР2 > К 1 1 1 0 0 12 ПФ РФ > < L \ 1 1 0 1 13 вк РГ М 1 1 1 1 0 14 РУС РЗ > N ‘ л< -L LL 1 1 15 ЛАТ РЭ / 7 -..и... О —
Л t-4-V/444l^W' 4 »Т Зональная группа 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 0 0 1 1 0 Lb 0 1 0 1 0 1 0 J 06 07 08 09 10 11 12 13 14 15 / P Д00 Д16 ю п Ю П а q Д01 Д17 я Я ь r Д02 Д18 б 6 с s ДОЗ Д19 ц U d t Д04 Д20 д т д е u Д05 Д21 У f V доб Д22 ф ж ф ж g w Д07 Д23 г в г h X ДОЗ Д24 ь ь t У Д09 Д25 и ы и ы J z ДЮ Д26 й 3 й 3_ к { Д11 Д27 К ш ш 1 / Д12 Д28 л э л э m } Д13 Д29 м щ щ n — Д14 ДЗО н ч ч 0 36 ДЮ Д31 ъг 36
0 0 0 0 О О 0 1 0 0 0 О 1 1 _ 2 0 0 1 1 О О 3 0 1 0 1 О L^LiE 3 4 5 6 7 0 1 2 3 4 5 сд 0 0 0 0 0 ПУС API доо Д16 Пробел & 0 0 0 1 1 H3 СУ1 ДО1 Д17 0 0 0 0 1 1 0 1 2 HT СУ2 Д02 СИН 3 KT СУЗ ДОЗ ДЮ 0 1 0 0 4 Д28 Д29 Д04 Д20 0 1 0 1 5 ГТ Д05 ПС Д21 0 1 1 0 6 доб ВШ КБ Д22 0 1 1 1 7 ЗБ Д07 АР2 КП 1 0 0 0 8 Д23 АН Д08 Д24 1 0 0 1 9 Д13 КН Д09 Д25 f 0 1 0 A Д14 Д18 ДЮ Д26 [ ] У 0 1 1 в ВТ ДЮ Д11 Д27 й 1 1 0 0 c ПФ РФ Д12 СТП 1 1 0 1 D BK РГ КТМ НЕТ ( ) 1 1 1 0 E РУС РЗ ДА дао ц. 1 1 1 1 F ЛАТ РЗ ЗВ ЗМ 1 А’
Таблица 1.5 Зональная группа 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 0 0 1 - 1 1 0 1 0 1 0 1 0 1 0 1 6 7 8 9 А В С D Е F - Ц й я ь } \ 0 / а J — ы А J дз1 1 ъ к S 3 В К S 2 с I t ш с L т 3 d rn (Л э д М и 4 е n V Щ Е N V 5 ю f 0 ш ч F О W 6 V p X V G р X 7 б h q У ю н Q Y 8 ч I г Z I R Z 9 I • d К Б 3 1 # л ц и У ш % Ф м т д й п ж э - ✓ Г н я щ > = ж ф л ь ч ? »> u п в г ы ЗБ
а четверку левых занимает специальный код, называемый зоной. Код знака числа (если он нужен) помещается на место зоны в младший разряд. В системе ДКОИ плюс кодируется четвер- кой 1100, минус— 1101 и вона — 1111, а в системе КОИ-8 соответственно 1010, 1011 и 0101. Например, число —7.362 в зонном формате при работе с кодом ДКОИ имеет вид 1111 0111 1111 ООН 1111 ОНО 1101 0010 7 3 6 ' ^2 Упакованный формат использует каждый байт для представ- ления двух чисел, кроме младшего байта, в котором правая четверка битов отводится для кода знака. Если левая четверка битов самого старшего байта окажется свободной, она заполняет- ся нулями, т. е. десятичное число всегда занимает целое число байтов. Например: 00000111 0011 0110 00101101 ~~6~ ~Г' 2 При выполнении арифметических операции используется только упакованный формат, в котором десятичное число может занимать до 16 байт, что соответствует 31 десятичному разряду и знаку. Зонный формат используется при операциях ввода-вы- вода десятичных данных. В вычислительных системах преду- сматривается возможность взаимного преобразования форматов, причем информация о месте расположения разделительного знака дробного числа фиксируется в самой программе. Глава 2 АЛГЕБРА ЛОГИКИ 2.1. ЛОГИЧЕСКИЕ ФУНКЦИИ Вследствие того что сигналы в цифровых системах представ- ляются двоичными кодами, математическое моделирование таких систем основано на использовании двузначной логики (29; 68; 80], в которой переменные могут принимать только одно из двух значений. Эти значения соответствуют двум воз- можным состояниям реальных объектов (истинное или ложное высказывание, высокое или низкое напряжение, наличие или отсутствие данного признака и т. п.). Они обозначаются циф- рами 0 и 1, буквами Л (ложно) и И (истинно) или вообще лю- быми двумя различающимися символами. В технических при- ложениях обычно используются цифровые обозначения, кото- рые естественным образом связаны с двоичными кодами. В общем случае логические переменные могут принимать одно из k значений (fe-зиачная логика). Перечень всех k симво- лов, соответствующих области значений, называют алфавитом, а сами символы — буквами этого алфавита. Логические функции могут зависеть от одной, двух и вообще любого числа перемен- ных (аргументов). Областью определения /е-значной функции от п переменных у = f (хъ х2. хп) служит множество набо- ров (х1( х2, ..., хп), являющихся словами длины п, где каждый из аргументов замещается буквами fe-ичнэго алфавита. Так как количество всевозможных слов длины п в fe-ичном алфавите 16
павно количеству различных «-разрядных чисел с основанием k, т е kn, а каждому такому слову можно сопоставить одно из fe'значений, то общее количество fe-значных функций от п пере- менных выражается числом Многозначная логика распо- лагает собственным аппаратом и используется для математиче- ского моделирования таких объектов, компоненты которых характеризуются многими состояниями. Между тем двузначная логика наряду о предельной простотой характеризуется и до- статочной общностью, так как к ней можно свести и задачи мо- делирования многозначных структур. Количество всевозможных двоичных функций выражается числом 2^п, а область определения таких функций представляет собой всевозможные наборы из п двоичных цифр и их общее количество равно 2™. При увеличении п количество двоичных функций быстро возрастает (при п — 3 оно равно 256, а при п = 5 уже превышает 4 млрд.). Но функции одной и двух пере- менных еще можно перечислить и подробно исследовать, так как их количество сравнительно невелико (4 при п = 1 и 16 при п = 2). 2.2. ТАБЛИЦЫ СООТВЕТСГВИЯ Множество функций п переменных можно представить с по- мощью таблицы соответствия, столбцы которой отводятся для 2П слов длины п, а строки — для 22fl функций. При этом номера столбцов определяются расположенными над ними «-разрядны- ми двоичными числами, которые читаются по вертикали сверху вниз. Номера функций отождествляются с г^-разрядными дво- ичными числами, записанными в соответствующих строках таб- лицы. Таблицу соответствия часто называют также таблицей истинности. Таблица соответствия для булевых функций одной переменной у — f (х) имеет вид (справа указаны обозначения функций) х Уо У1 Уз Уз 0 1 / (х) о о У 0 1 X 1 0 X 1 1 I Функции у, = 0 и у3 — 1 представляют собой константы (соответственно тождественный нуль и тождественную единицу), так как они не изменяют своих значений при изменении аргу- мента. Функция у}= х — эго повторение, так как ее значения просто совпадают со значениями переменной х. Единственной нетривиальной функцией является у2— х, называемая отрица- нием или инверсией (читается «не х»). Она равна 1, когда аргу- мент принимает значение 0, и равна 0 при аргументе 1. Всевозможные 16 функций двух переменных приведены в табл. 2.1, где указаны наиболее употребительные обозначения и названия. Шесть из приведенных функций ие зависят или от 'I, или от х.2, или от обоих вместе. Эта константы (у0= 0 и у13= 1), повторения (у3= хг и у5= х2) и отрицания (у10= х2 и у12= xj, являющиеся функциями одной из переменных (х2 или х2). Среди остальных 10 функций две (у4 и у12) отличаются от соот- 17
Таблица 2.1 Xi Хг 0 0 11 0 10 1 Обозна- чения Названия функции Чтение Булевы формулы Уй 0 0 0 0 0 Константа 0 Любое 0 0 Vi 0 0 0 1 ^1Г2» *1Л*2 Конъюнкция Xi И х2 XiX2 Уз 0 0 10 ХГ*~Х2 Отрицание импликации xlt но не х2 *1*2 Уз 0 0 11 *1 Повторение xL Как ха *1 У1 0 10 0 х^-х1 Отрицание обратной импликации х2( но не х1х2 Уз 0 10 1 хз Повторение х2 Как х2 х2 Ув 0 110 хх®х2 Сумма по модулю 2 Или или х2 Х1Х2 + ХЛ_ (х1+х2) (хг4-х,1 У, 0 111 *1+ха’> Дизъюнкция хг или Х2 Х1 -Г х2 Уз 10 0 0 Х1 1 Х2 Стрелка Пирса Ни х1( ни х2 х,х2 'Л 10 0 1 Эквиваленция как х2 XiX2 +_XiX2 Ую 10 10 Х2 Отрицание х2 Не х2 х2 Ун 10 11 Х2—>-Х1 Обратная импликация Если х2, ТО Xj *1 + *2 Ун 110 0 Х1 Отрицание xt Не л-! Х1 У1з 110 1 Х,->Х„ Импликация Если х2, то х2 Xi-i-x2 Уи 1110 Х1/Х2 Штрих Шеффера Не xL, или не -Ч Х1 + Х2 Ун 1111 1 Константа 1 Любое 1 1 18
в-тствующих им функций (у2 И у,3) лишь порядком расположе- ния аргументов. Поэтому из 16 булевых функций двух пере- менных оригинальными являются только восемь: У1, у2, уе, Ут, и и», У13’ Ун- Можно также заметить, что среди булевых функ- ций некоторого числа переменных содержатся всевозможные функции меньшего числа переменных, которые называются вы- рожденными функциями. Так, среди функций одной переменной имеются две вырожденные — константы 0 и 1, которые можно рассматривать как функции от нуля переменных. Функции двух переменных содержат те же константы и четыре функции одной переменной и т. д. Функции от любого числа переменных можно получить с помощью суперпозиции, т. е. замещения переменных некого- рыми функциями. Например, подставляя в ab вместо а дизъюнк- цию хг + х2 и вместо b импликацию х2-+ с, а затем вместо с отрицание х3, получаем (хгН х2)(х3-> х3). Таблица соответствия для этой функции трех переменных xlt х2 и х3 записывается на основе таблиц элементарных функций (табл. 2.1): *1 *2 ______________£з_ + х2 *3 х2 -> х3 (Xi4-x2)(x3->x3) 0000 1111 0011 0011 0 10 1 0 10 1 0 0 11 1111 10 10 10 10 1110 1110 0 0 10 1110 Если во всех наборах значений аргументов функция равна 0 или 1, то она вырождается в соответствующую константу и на- зывается тождественным нулем или тождественной единицей. 2.3. ФУНКЦИОНАЛЬНАЯ ПОЛНОТА Как видно из табл. 2.1, все функции попарно связаны между собой посредством отрицания, т. е. у,— </l8j (г = 0, 1. 15). Отсюда следуют зависимости для_констант 0 =~Т и 1 = (\ для функции одной переменной х —~х (двойное отрицание) и для функций двух переменных: Xix2 — Xj/x2; Xj <— х2—• Xj —> х2; xlQx2— Xi~x2‘ xt -f- x2 — Xj | x2> Xi/Xg = X*iX2; X1 —> X2 ~ Xj X/, Xj ~ X2 == Х|фх2; *1 I x2 = Xj4"X2. Из этих зависимостей следует, что любую функцию двух пере- менных, включая константы, можно выразить в аналитической форме через совокупность шести функций, содержащей отри- цание и любую из каждой пары (у0, ylt), ри), (у2, у18), (Уе, Уч', (Уъ !/«) Например, такую совокупность наряду с константой 0 и отрицанием х могут составлять функции: конъюнкция хгх2, дизъюнкция Xj-|- х2, импликация х2 и эквиваленция х2. Все они используются в исчислении высказываний. Рассматривая буквы переменных как некоторые высказывания, которые могут быть истинными или ложными, можно образо- вывать сложные высказывания с помощью сентенциональных 19
связок, соответствующих функциям этих переменных. При этом отрицанию соответствует связка не, конъюнкции — и, дизъюнк- ции — или, импликации — если, то и эквиваленции — если и только если. Между тем выбранная совокупность шести функций явля- ется избыточной. С помощью таблицы соответствия можно по- казать, что импликация и эквиваленция выражаются через остальные функции этой совокупности: Xj-> х2 = хг + х2; хх ~ ~ х2 = (%! + х2) (%i + х2). Xi I 0 0 1 1 I п Л п . л . По табл. 2. 1 х2 I 0 1 0 1 I *1 Х1 + х2 *1 + (*1+*г) (%! + х2) 110 0 10 10 1101 Xj -> х, 10 11 10 0 1 Xj ~ х2 Приняв во внимание, что хх = 0 и х + х = 1, можно со- кратить комплект элементарных функций до трех: отрицания х, КОНЪЮНКЦИИ Х,Х2 и ДИЗЪЮНКЦИИ Х1+ х2. Совокупность этих функций положена в основу булевой алгебры, которая преиму- щественно используется при математическом моделировании цифровых систем (в последней колонке табл. 2.1 приведены вы- ражения через булевы функции). Но и этот комплект не явля- ется необходимым с точки зрения функциональной полноты. Из соотношений Xi+ х$= Xjx2 и ххх2 = х2 + х2, в справедливости которых также можно убедиться с помощью таблицы соответ- ствия, следует, что все функции выражаются через отрицание и конъюнкцию или через отрицание и дизъюнкцию. Более того, для записи любой функции достаточно одной из двух элемен- тарных функций — стрелки Пирса илн штриха Шеффера. Это вытекает из соотношений: х = х| х = х/х; xjx2 = (xj/x2) / (хр:2); *1+ х2~ (xit-Ч) Ф (*il*a)> которые доказываются аналогично. Система функций, суперпозицией которых может быть пред- ставлена любая функция из некоторого множества логических функций, называется функционально полной. Если в такой си- стеме допускаются константы 0 и 1, то ее называют ослабленио функционально полной. Система функций является минимально полной, если удаление из нее любой функции превращает эту систему в неполную. Необходимое и достаточное условие функцио- нальной полноты состоит в том, что выбранные функции должны в совокупности обладать всеми свойствами, приведенными в табл. 2.2 (звездочкой •X- отмечены свойства, которыми обладает данная функция). Как видно из табл. 2.2, рассмотренные выше системы функ- ций удовлетворяют условию функциональной полноты. Выбр:в любую элементарную функцию и дополнив ее одной или не- сколькими функциями так, чтобы они вместе образовали фун- кционально полную систему, можно выразить через них вег другие функции. Например, выбрав импликацию вместе с кон- стантой 0 (можно было бы вместо константы 0 взять отрицание, сумму по модулю 2 или отрицание импликации), выразим ди- 20
Таблица 2.2 Функция Обозначение Свойства Н есохр а- нение 0 I Несохра- иение 1 s и о Я*® = Л ВС и Нелиней- ность Немоно- J томность Константа 0 0 X X Константа 1 1 * * Отрицание X * * * Конъюнкция * X Дизъюнкция + х2 X * Импликация Xi ->х2 * X * Эквиваленция *1 ~Х2 * * X Отрицание импли- кации *1 *-х2 * * X * Сумма по модулю 2 *1 Ф х2 * X Штрих Шеффера Х1/х2 * X X X * Стрелка Пирса Xj | Xg * X X * X зъюнкцию xpf- х2~ (*i~> х2) -> х2 и отрицание х = х-> О, через которые, в свою очередь, выражаются и все остальные функции. 2.4. БУЛЕВА АЛГЕБРА Логические функции, приведенные в табл. 2.1, можно рас- сматривать как элементарные операции над одной или двумя двоичными переменными. Функционально полная система та- ких операций образует на множестве двузначных переменных алгебру логики. Таких алгебр можно представить столько же, сколько наберется подходящих функционально полных систем. Но наиболее распространена булева алгебра, в которой в каче- стве основных операций приняты конъюнкция XjX2 (И), дизъюнк- ция хх-|- х2 (ИЛИ) и отрицание х (НЕ). Часто конъюнкцию и 21
дизъюнкцию называют соответственно логическим, произведением и суммой, а отрицание — инверсией. Используются также и дру- гие варианты обозначений: для конъюнкции х, Д х2, для дизъюн- кции XjV х2 и для отрицания х'. Чтобы избежать в сложных фор- мулах лишних скобок, которые появляются при суперпозиции функций, установлен жесткий порядок выполнения операций— конъюнкция предшествует дизъюнкции. Свойства булевых опе- раций И, ИЛИ, НЕ определяются таблицами соответствующих функций (см. табл. 2.1) и могут быть представлены в виде %1 х2 %1Х8 xt х2 1 *1 + *2 X 1 7 0 0 0 0 0 0 0 1 1 0 1 0 0 1 1 1 1 о 1 0 0 1 о 1 1 1 1 ’1 1 1 Здесь использована другая форма таблицы соответствия, в которой всевозможные комбинации значений переменных за- писываются по строкам, а для значений функции при этих комбинациях отводится столбец. Использование той или иной формы в конкретных случаях обусловлено удобством, а часто и просто привычкой. Из приведенных определений булевых операций вытекают основные свойства булевой алгебры (табл. 2.3), которые можно доказывать методом совершенной индукции, т. е. проверкой для всех возможных комбинаций значений переменных. Любые свойства булевой алгебры можно также доказать аналитически без обращения к таблицам соответствия на основе первых пяти свойств, которые играют при этом роль аксиом. Например, идем- потентность дизъюнкции доказывается следующими преобра- зованиями: X + X = (х + %) 1 = (х + Х)(х + х) = х+хх = х + + 0 = х. Следует подчеркнуть, что знак равенства в формулах алгебры логики не имеет количественного смысла и означает равносильность функций в левой и правой частях. Две функции считаются равносильными, если при любых значениях аргумен- тов они принимают одинаковые значения. Свойства булевой алгебры используются для преобразова- ния и упрощения логических формул, а также для доказатель- ства теоретических положений. Коммутативность и ассоциатив- ность позволяют выполнять операции И и ИЛИ, группируя переменные в любом порядке. Первая форма дистрибутивности указывает на допустимость вынесения общего множителя за скобки, как в обычной алгебре. Но вторая форма в обычной ал- гебре аналога не имеет, что является одним из основных отли- чий ее от алгебры логики. Свойства отрицания подчеркивают взаимодополнительную природу логических переменных. По- вторы переменной и константы позволяют избавляться от по- стоянных слагав,мых и множителей или при необходимости вво- дить их. Двойное отрицание не изменяет переменную, что можно рассматривать как пустую операцию. На основе идемпотентно- сти можно удалять повторяющиеся переменные, вследствие чего в булевой алгебре не имеют смысла показатели степени и чис- ловые коэффициенты, что также существенно отличает ее от обычной алгебры. Законы де Моргана позволяют свести отрица- ние сложного выражения к отрицанию отдельных переменных. Последние четыре свойства (склеивание, поглощение, замещение 22
Таблица 2.3 Свойства Первая форма (') Вторая форма (") 1. Коммута- тивность *+У = У+Х ху^ух 2. Ассоциа- тивность X + [у +?) = = (х+ «/)+? X (уг) = (ху) z 3. Дистрибу- тивность X (у + г) = ху + хг х + уг = = (х + У) (х + z) 4. Дополнение X + X = 1 хх = 0 5. Повтор пе- ременной х + 0 = х х1 = х 6. Повтор константы x-f-1 =1 х0==0 7. Двойное отрицание X = X X = X 8. Идемпо- тентность X -]-х ~ X XX ~х 9. Законы де Моргана X + у = ху ху = х + у 10. Склеива- ние ХУ + ху = X (х+ у) (х + у) И. Поглоще- ние ху — X X (X + у) = X 12. Замещение х-\-~xy = ху X (X + у) = ху 13. Выявление ху + ~хг - = ху + xz + уг (x + z/)£x + ?) = = (х + ^)(х + г) (у + г) 23
и выявление} полезны при различных преобразованиях и упро- щениях булевых формул. Приведенные в табл. 2.3 пары свойств характеризуются спе- цифической симметрией, выражающей принцип дуальности алгебры логики. В каждой паре одна форма получается из дру- гой взаимной заменой операций И и ИЛИ, а также констант О и 1. В связи с этим операции И и ИЛИ, как и константы 0 и 1, называются дуальными. Вообще замена в любой формуле алгеб- ры логики каждой операции и константы на дуальные приводит к дуальной формуле. Формула или функция, равносильная своей дуальной, называется автодуальной (как, например, двойное отрицание). С принципом дуальности непосредственно связано обобще- ние законов де Моргана на любое число переменных. Если функ- ция ф* (хх, х2...хп) дуальная функции ф (х1( х2, .... хп), то ф(х1( х2, ... , хп) = Ф*(х[, ... , х7), (2.1) откуда следует, что отрицание некоторой функции можно опреде- лить заменой в дуальной функции каждой переменной ее отрица- нием. Пусть, например, задана функция y—x-\-vz. Дуальная ей у* = х (и + г) и, следовательно, у — х (v + г). Из выражения (2.1) также следует, что дуальная функция выражается как отрицание исходной функции, в которой каждая переменная замещена ее отрицанием: Ф* (х1( х2, , хп) = ф (xf, х2, ... , х„), (2.2) ч.то позволяет построить таблицу соответствия дуальной функции заменой значений исходной функции и всех переменных на про- тивоположные (0 на 1 и 1 на 0). Так, для приведенного выше примера х 00001111 v 0 0 1 1 0 0 1 1 z 0 10 10 10 1 у = х + vz у* = х (v + г) у = x(v-f- г) 00101111 0 0 0 0 1 0 1 1 11010000 Как видно, для получения таблицы соответствия дуальной функции достаточно отрицание исходной функции записать в об- ратном порядке. 2.5. СТАНДАРТНЫЕ ФОРМЫ Два способа представления булевой функции — с помощью логической формулы и таблицы соответствия — взаимно связа- ны между собой в том смысле, что имеется возможность перехо- дить от одного способа к другому. Построение таблицы соответ- ствия по логической формуле рассмотрено ранее. Обратная задача — запись логической формулы по данной таблице соответ» ствия решается на основе стандартных форм. В совершенной дизъюнктивной нормальной форме, назы- ваемой также канонической суммой минтермов или стандартной 24
суммой произведений, каждому набору значений переменных, при котором функция равна единице, соответствует свой мин- терм. Он выражается как логическое произведение всех пере- менных, причем те переменные, которые в данном наборе имеют значение нуль, входят в произведение с отрицанием, а имеющие значение единица — без отрицания. Дизъюнкция (сумма) мин- термов, построенных для всех наборов с единичными значе- ниями функции, и является канонической суммой минтермов, соответствующей заданной таблице истинности. Другая стандартная форма, дуальная рассмотренной выше, называется совершенной конъюнктивной нормальной формой. В технической литературе ее также называют каноническим про- изведением макстермов или стандартным произведением сумм. В этой форме макстермы соответствуют тем наборам значений переменных, на которых,функция равна нулю. Каждый макс- терм представляет собой логическую сумму всех переменных, причем те переменные, которые на данном наборе имеют значе- ние единицы, входят в сумму с отрицанием, а имеющие значение нуль—без отрицания. Конъюнкция (произведение) 'макстер- мов, построенных для всех наборов с нулевыми значениями функции, и является соответствующим каноническим произведением макстермов. Следующий пример иллюстрирует запись стандартных форм по заданной таблице соответствия хг 0 0 0 0 1 1 1 1 х2 0 0 1 1 0 0 11 х3 01010101 у 10 110 110 1 У = W3 + ^Х,^ + xj^ + Х,ХзХз + XjX^ = = (Х1 + + Х3) (Xj + + v3) (Xj + + *з)- Если булева функция задана логической формулой, то ее можно привести к стандартной форме последовательностью экви- валентных преобразовании, основанных на свойствах булевой алгебры. Сначала с помощью теорем де Моргана исходное выра- жение приводится к такому виду, чтобы знаки отрицания отно- сились только к отдельным переменным. Затем на основе свойств дистрибутивности осуществляется преобразование к од- ной из форм — сумме произведений или произведению сумм. На заключительном этапе используются свойства x + ~х — 1 и хх = 0 для введения недостающих переменных в минтермы и макстермы, а также свойства идемпотентности х + х = х и хх = х для исключения повторяющихся слагаемых и сомно- жителей. Например, функция_ п = х(х-|-^+_г) + г предваритель- но преобразуется к виду х (x-ф- уг) 4- г = хх 4- хуг_ 4- г = ~хуг + 4~ £ = хУ+_г, после _чего имеем:_ху (г -f- г) + (х + х) (у + у) г = = xyz 4- xyz + xyz-j- хуг 4- хуг 4- xyz = xyz + xyz 4- xyz 4- xyz -j- + хуг (каноническая сумма минтермов) или (х z) (р 4- z) = (х 4- 4- УУ+г}(хх-\- (/+ г) == (г + у + г)(х + 4-z) (х у + г) (х 4- 4" У г) = (х + у + z) (х + у + г) (х у г) (каноническое про- 25
изведение макстермов). Соответствующая таблица истинности имеет вид х 00001111 у 0 0 110 6 11 z 0 10 10 10 1 v 0 1110 10 1 В качестве стандартных рассматриваются также нормаль- ные формы, минтермы (или макстермы) которых в отличие от совершенных нормальных (канонических) форм не обязательно должны содержать все переменные данной функции. В зависи- мости от числа k входящих в них переменных они называются минтермами (или макстермами) k-го ранга. Данная функция представляется единственной канонической формой, но соответ- ствующих ей эквивалентных нормальных форм может быть раз- личное количество. Поиск среди них минимальных форм являет- ся одной из главных задач синтеза логических схем. 2.6. ПРЕОБРАЗОВАНИЕ И УПРОЩЕНИЕ ФОРМУЛ Основные свойства булевой алгебры позволяют осуществлять эквивалентные преобразования формул для их упрощения или приведения к требуемому виду, а также для доказательства ло- гических правил и теорем. Иллюстрацией преобразования буле- вых выражений может служить, например, доказательство свой- ства выявления (см. табл. 2.3) ху xz-\- yz = ху 4- xz -f- lyz = = ху -ф- xz + (х 4- х) yz — ху 4- xz 4- xyz4- xyz = (ху 4- xyz} 4- (xz-\- 4- xzy) = xy (1 4-г) 4- xz(l 4- y) = xy • 1 4-xz • 1 = xy+xz. Здесь использованы последовательно свойства 5" и 1 , 4', 3', 2' и 1", 3', Г и 6', 5" (штрихами отмечены соответствен- но_первая и вторая формы). Как видно, в выражении ху + 4- хг 4- У? дополнительный член уг представляет собой произве- дение переменных (или формул) при х и х в порождающих чле- нах ху и хг и не’влияет на значение этого выражения при усло- вии, что порождающие члены присутствуют. Процесс упрощения сводится к последовательному приме- нению тех или иных общих свойств с тем, чтобы уменьшить общее количество вхождений в формулу переменных и символов логических операций. Между тем далеко не очевидно, какое из свойств наиболее целесообразно использовать на каждом шаге, поэтому работа с формулами на интуитивном уровне подобна блужданию в лабиринте. Этому процессу можно придать целе- направленный характер, если воспользоваться свойствами скле- ивания, поглощения и выявления, представив предварительно исходное выражение в нормальной форме. В дальнейшем пре- образования выполняются в дизъюнктивной нормальной форме (сумме минтермов), а соответствующие правила для конъюнктив- ной нормальной формы (произведения макстермов) можно по- лучить на основе принципа дуальности. Склеивание ху 4- ху = х (под х можно понимать любое выражение) позволяет заменить два минтерма, отличающихся вхождением только одной переменной (с отрицанием и без него), одним миптермом более низкого ранга. Пусть, напри- мер, функция задана в виде канонической суммы минтермов: у — 26
as 444 4* 444 Ч" *1*2*3 Ч- *1*2*3 Ч- *1*2*3* Группируя_члены и применяя операцию склеивания, имеем_ у — (*г*2*з Ч- *1*2*3) + Ч- (*1*2*3 4- *1*2*з) Ч- *1*2*3 = *1*2 4- 4*2 + *1*3*3- ПРИ ДРУГ°М варианте группирования получим у = 444 Ч~ (444Ч~*1*з*з) + Ч- (*14*3 4- *1*2*з) = *1*2*3 + *2*3 4- *1*2- Последующие упрощения основаны на свойствах погло- щения и выявления. Поглощение %+*{/ — *> если под * и У понимать не только переменные, но и любые булевы выражения, позволяет исключить все минтермы, в которые в качестве со- множителя входит некоторый другой минтерм более низкого ранга. Наряду с этим дополнительный член, который вводится на основе свойства выявления, можно использовать для погло- щения и/или замещения других членов (минтермов). Эта опера- ция, называемая обобщенным склеиванием, всегда возможна, если исходная формула наряду с порождающими членами со- держит минтермы, в которые в качестве сомножителя входит дополнительный член, например: +уди=лу+zz+yz/+yz - лу+Jz+yz=zy + xz yz —дополнительный член поглощение выявление Здесь дополнительный член поглотил yzv, после чего уда- ляется как не влияющий на значение полученного выражения. В случаях, когда дополнительный член поглощает один из порождающих членов, его удалять нельзя и, следовательно, происходит замещение этого порождающего члена. Например) x<xy+yz = x+xy+yzty = х+ху+у=х+у у— дополнительный член поглощение замещение Здесь дополнительный член поглощает минтерм уг и заме- щает породивший его минтерм ху. Заметим, что это выражение можно было бы упростить и без введения дополнительного члена с помощью поглощения и замещения: х Ч" ху уг = х -р у 4" 4- уг = * Ч- У- Применяя изложенную процедуру к рассматриваемому приме- ру для первого варианта группирования 44 Ч~ 44 + *14*з> по’ лучаем 44 + 44 -|- 44 или хщ2 4- хгх2 4- 4%3. Аналогично вто- рой вариант 444 44 4- 44 упрощается к виду ххх.2 4- *2*3+ 4-44, что повторяет уже полученный результат для первого ва- рианта. Таким образом, исходная формула преобразуется к двум формам, которые в данном случае являются и минимальными. К такому же результату можно было бы прийти, применяя только простое склеивание, если в исходном выражении повторить мин- терм 444 или 444, о чем, конечно, не так просто догадаться в самом начале преобразования. Следует заметить, что с примене- нием обобщенного склеивания можно упрощать формулы, задан- ные в любой форме, а не обязательно в канонической. В то же время эта операция не проходит, если порождающие члены содер- жат различное вхождение (с отрицанием и без него) не одной, а Двух или больше переменных. Например, х (уг) + * (уг), так как 27
при этом дополнительный член (yz) (yz) обращается в тождествен- ный нуль. Хотя в рассмотренном примере получены минимальные формы, в общем случае процедура склеивания минтермов не га- рантирует этого. Она обеспечивает лишь преобразование к со- кращенной форме, минтермы которой называют простыми им- пликантами. Так как склеиваемые минтермы покрываются минтермом низшего ранга, сокращенная форма не содержит таких импликант, которые целиком покрываются какой-либо одной импликантой. В то же время среди простых импликант могут быть такие, которые покрываются совокупностями дру- гих импликант и, следовательно, являются избыточными. После удаления избыточных импликант приходим к тупиковым формам, среди которых находятся и минимальные формы. Следует заме- тить, что для данной функции существует единственная сокра- щенная форма, в то время как тупиковых и минимальных форм может быть несколько. Для минимизации булевых формул раз- работан ряд методов, среди которых наиболее известны алго- ритм Квайна—Мак-Класки и графический метод, основанный на картах Карно. 2.7. АЛГОРИТМ КВАИНА — МАК-КЛАСКИ Этот метод включает в себя два этапа — преобразование исходной функции к сокращенной форме с помощью операции склеивания и получение минимальной формы путем исклю- чения избыточных простых импликант. Преобразование булевых формул путем склеивания удобно выполнять в символическом виде, где минтермы записываются в столбик словами длины п, буквы которых соответствуют всем переменным данной функции. Входящие в минтерм переменные называются связанными н представляются значениями, при кото- рых минтерм равен единице (1 для Х( и 0 для х(). Не входящие в миитерм переменные являются свободными и обозначаются через X- АШнтермы n-го ранга канонической формы представля- ются просто наборами значений переменных, на которых функ- ция равна единице. При склеивании пары минтермов n-го ранга, отличающихся только значениями переменной X/, появляется минтерм (п — 1)-го ранга Mn_L, который входит в качестве^ сомножителя в ис- ходные минтермы, т. е. Л1л_,х(- -f- Mn_xxi — Mn_i(xt -ф- xt) — — Mn_i- При этом представляющий его символ получается за- мещением в символе склеиваемого минтерма значения перемен- ной Х( на X- Аналогично склеивание пары минтермов (п— 1)-го ранга приводит к появлению минтерма (п — 2)-го ранга с двумя свободными переменными и т. д. Принято говорить, что скле- иваемые минтермы покрываются результирующими минтермами более низкого порядка. Чтобы уменьшить количество сравниваемых пар, целесооб- разно разбить множество минтермов на классы, в каждом из которых содержатся символы с одинаковым числом единиц (или нулей), и упорядочить эти классы по возрастающему (или убывающему) числу единиц. Так как объединяться могут только такие минтермы, символы которых содержат точно на одну боль- ше или на одну меньше единиц, то достаточно ограничиться по- парным сравнением символов соседних классов. Рассмотрим 28
в качестве примера функцию четырех переменных, таблицей соответствия: заданную «2 *з 0000000011111111 0000111100001111 0011001100110011 0101010101010 101 у |0001110101011100 Множество символов минтермов этой функции после упоря- дочения и разбиения на классы представляют минтермы канони- ческой формы: ojooiljoil' м = 1 । 0 1 0 1 1 1 0 1 4 |011000‘110|* . О | 1 1 1 0 I 1 1 1 . Объединяя минтермы и отмечая (значком V) те из них, которые покрываются мпнтермами низшего ранга, имеем б X 0 0 X 1 X 1 1' х Л13 = 11 0 0 X 1 1 X 0 1 0 1 X X 0 0 1 ; м, = • о 2 1 0 X 0 1 1 1 1 1 1 X . X . Неотмеченные символы соответствуют простым импликан- там сокращенной формы у == x^Xj-h х1х2х^-{- х2х3х4+ х4х2х4+ + x^gXjH- х2х3. Для минимизации этой формы строится таблица покрытий, столбцы которой соответствуют минтермам канони- ческой формы, а строки — простым импликантам (табл. 2.4). Таблица 2.4 Простые иипликанты Минтеруы канонической формы i Обозначения , ПРОСТЫХ | импликант 0 1 0 0 0 0 1 I 0 I 0 1 1 0 0 1 1 1 и 0 0 i 1 1 1 0 1 i 1 1 0 1 0X11 V V А 0 1X1 V V В X 0 1 1 V V С 10X1 V V D 1X01 V V Е X 1 0 х V V V V F 29
Здесь меткой V отмечены те минтермы, которые покрываются простыми имплнкантами. При переходе от сокращенного по- крытия к минимальному следует прежде всего выделить те импликанты, называемые экстремалями, которые покрывают минтермы данной функции, не покрываемые никакими другими имплнкантами. Экстремали соответствует та строка таблицы, которая содержит единственную метку в каком-либо столбце. В рассматриваемом примере единственная экстремаль представ- лена символом (X 10 X), которому соответствует минтерм х2хз. Удаляя строки экстремалей и все столбцы, в которых эти строки имеют метки, получаем более простую таблицу (табл. 2.5). Таблица 2.5 Простые импликанты Минтермы канонической формы Обозначение простых ямплнкант 0 0 1 I 1 0 0 1 0 1 1 1 1 0 1 1 0X11 V V А 0 1X1 V В X 0 I 1 V V С 10x1 V V D 1X01 V Е На основе этой таблицы выбираем простые импликанты, которые дополняют выделенное множество экстремалей (ядро покрытия) до минимального покрытия функции. В данном слу- чае целесообразно выбрать простые импликанты (ОХ 11) и (10 X 1), которые совместно с экстремалью (X 10 X) и образуют минимальное покрытие у = х1х3Х4+ xxx2x4+ х2х3. Полный перебор всевозможных тупиковых форм с целью выделения минимальных форм для функций с большим числом аргументов практически нереален вследствие комбинаторной сложности изложенного метода. Поэтому для минимизации формул используются приближенные алгоритмы. Так, в соот- ветствии с минимаксным алгоритмом включение в минимизи- руемую форму очередной импликанты осуществляется по сле- дующему правилу: выбирается столбец таблицы покрытий с наи- меньшим количеством меток и среди строк, имеющих в этом столбце метки, выбирается строка с наибольшим числом меток, которая и определяет требуемую импликанту, причем все мин- термы, покрываемые этой импликантой, а значит, и соответ- ствующие им столбцы вычеркиваются. Процедура повторяется до тех пор, пока не будут вычеркнуты все столбцы. 30
2.8. АЛГЕБРАИЧЕСКИЙ МЕТОД ОБРАЗОВАНИЯ ТУПИКОВЫХ ФОРМ Образование тупиковых покрытий на заключительном этапе формализуется с помощью алгебраического метода. Простые импликанты обозначаются какими-либо символами (обычно для этой цели используются прописные буквы латинского алфа- вита) и по столбцам таблицы покрытий записываются дизъюнкции тех импликант, которые отмечены в данном столбце. Смысл этой записи вытекает из того, что любая из отмеченных импли- кант покрывает данный минтерм. Покрытие функции выражает- ся конъюнкцией всех записанных дизъюнкций. Упрощая это выражение на основе тождеств булевой алгебры, переходим к дизъюнктивной форме, каждый член которой представляет собой конъюнкцию простых импликант и соответствует тупико- вому покрытию рассматриваемой функции. Так, для примера из предыдущего параграфа с учетом обозначений простых импликант в таблице покрытий имеем F (А + С)(В + F)(D + E)F(A + В)(С+ D)(E + F) = F(A + + C)(A + B)(D 4- £)(С 4- D) = F (А + BC)(D 4- СЕ) = ADF -|- 4- ACEF + BCDF 4- BCEF. Замещая в каждом конъюнктив- ном члене простые импликанты их символами, получаем выра- жения четырех тупиковых покрытий в символическом виде с1 = 0 1 X X о 1 1 X о 11 1 X ; с2 = Ох 1 X X о х 1 110 0 1 1 1 X > о X 1 X 10 0 1 X 1 X о 1 1 1 X 0 X 1 X 10X1 X 1 0 0’ 1 1 1 X С3 = которым 'соответствуют равносильные тупиковые формы: ух = хг *3х4 4- *1% 4- х2%з; у2 = х^х3х4 ф- х^х^ 4- х^х^ 4-х2х3; Уз = *i*s*4 +j2x3xt 4- х4х2х4 4- x2.v3; yt = х^х^ 4- х2х3х4 ф- 4- *i*3*4 + Vs- Каждая форма характеризуется числом вхождений перемен- ных, называемых ценой покрытия. Для первой тупиковой формы цена покрытия равна 8, а для трех остальных 11, поэтому первая форма является минимальной. Алгебраические преобразования упрощаются, если исходить из таблицы покрытий, получаемой после извлечения экстрема- лей. Тогда результатом таких преобразований являются мно- жества простых импликант, дополняющих совокупность экстре- малей до тупиковых покрытий. Сравнивая эти множества по их цене, выбираем минимальные дополнения, которые совместно с множеством экстремалей образуют минимальные покрытия. Так, в рассматриваемом примере после извлечения пмпликан- ты F на основе упрощенной таблицы покрытий записываем (А 4- С) (D 4- Е) (А 4- В) (С 4- О) = (А 4- ВС) (СЕ 4- D) = АСЕ 4- + ВСЕ 4- BCD 4- AD. Отсюда находим минимальное дополнение *1*з*4 4- которое совместно с экстремалью *2*з и Дает ми< нимальную форму. 31
2.9. КАРТЫ КАРНО Карты Карио представляют собой специально организо- ванные таблицы соответствия, иа которых удобно осуществля- ются операции склеивания при упрощении функции на пути к минимальным формам. Столбцы и строки таблицы соответ- ствуют всевозможным наборам значений переменных, причем эти наборы расположены в таком порядке, что каждый после- дующий отличается от предыдущего только одной из переменных. Благодаря этому соседние ячейки по горизонтали и вертикали отличаются значением только одной переменной. Ячейки, расположенные по краям таблицы, также считаются соседними и обладают этим свойством. На рис. 2.1 показаны карты Карно для двух, трех и четырех переменных. Каждому набору значений переменных по строкам и столб- цам соответствует своя ячейка, расположенная иа их пересе- чении. Она заполняется единицей, если на соответствующем на- боре функция принимает единичное значение, или нулем при ну- левом значении функции (нули обычно не вписываются, а ос- тавляются пустые клетки). Таким образом, отмеченные ячейки соответствуют ыицтермам, а неотмеченные — макстермам ка- нонических форм. Например, на рис. 2.2,а показана карта Карно для функции, заданной таблицей соответствия из рассмотрен- ного в § 2.7 примере. Операции склеивания двух минтермов n-го ранга исходной формулы соответствует на карте Карно объединение двух сосед- них ячеек, отмеченных единицами, и эта объединенная пара ячеек представляет собой результирующий минтерм (п — 1)-го ранга. Аналогично склеивание двух минтермов (п — 1)-го ранга в минтерм (п — 2)-го ранга представляется объединением соот- ветствующих пар ячеек в прямоугольную группу из четырех соседних ячеек и т. д. Полное число ячеек в любой группе всегда выражается целой степенью двойки 2°- 26=2a+b, где а и b — соответственно целые числа пар ячеек по горизонтали и вертикали, причем каждая такая группа отображает минтерм (п — а — Ь)-го ранга и покрывает 2а+ь минтермов n-го ранга исходной канонической формы. Так, на рис. 2.2,6 показано сокращенное покрытие, импликанты которого образованы в ре- зультате склеивания минтермов функции, изображенной на рис. 2.2,а. На рис. 2.2, в—е показаны тупиковые покрытия рассматриваемой функции, причем покрытие на рис. 2.2,в яв- ляется минимальным. 32
Считывание минтермов с карты Карно осуществляется по- следовательным рассмотрением групп ячеек. В минтерм входят только те переменные, которые сохраняют свои значения в дан- ной группе, причем значениям 1 соответствует сама переменная, а значению 0 — ее отрицание. Переменные, которые прини- мают в данной группе различные значения (0 и 1), являются свободными и в данном минтерме отсутствуют. Примеры считы- вания минтермов с карт Карно для различного числа перемен- ных показаны на рис. 2.3. Любая совокупность групп ячеек, покрывающая все отме- ченные ячейки, соответствует некоторой сумме минтермов раз- личных рангов, которая равнозначна данной функции. Стремле- ние к простейшей форме интуитивно понимается как поиск та- кого минимального покрытия, число групп в котором было бы поменьше, а сами группы были покрупнее. Действительно, чем меньше групп в покрытии, тем меньше минтермов в формуле, а при увеличении размеров группы соответственно понижается 2 274 33
ранг минтерма, а значит, уменьшается "количество содержащихся в нем переменных. Практически для отыскания минимальною покрытия на карте Карно прежде всего выбирается отмеченная ячейка, входящая в такую наибольшую группу, которая по- крывает любые другие возможные группы с этой ячейкой. После формирования этой наибольшей группы по тому же признаку выбирается другая еще не покрытая ячейка и формируется ее наибольшая группа. Этот процесс продолжается до тех пор, пока все отмеченные- ячейки окажутся в тех или иных группах либо останутся только такие непокрытые ячейки, которые можно сгруппировать различными способами. Из возможных вариантов выбираются те, которые приводят к минимальным покрытиям. Рис. 2.4 Наглядность карт Карно позволяет решать задачи миними- зации, не прибегая к промежуточным покрытиям — сокращен- ным и тупиковым формам, что существенно упрощает этот про- цесс. К сожалению, возможности этого метода ограничиваются по существу функциями четырех переменных. При большем числе переменных приходится прибегать к различным ухищре- ниям и основное преимущество — наглядность теряется. Тем не менее этот метод еще используется в инженерной практике для пяти, шести, а иногда и большего числа переменных, чго требует увеличения количества карт Карно. Так, при пяти пере- менных используются две карты, одна нз которых соответствует инверсии пятой -переменной, а другая — этой же переменной без инверсии, причем они размечаются либо одинаково и срав- ниваются наложением (рис. 2.4,<т), либо симметрично и сравни- ваются относительно оси симметрии (рис. 2.4,6). Для упроще- ния разметки строки и столбцы, соответствующие значениям 1 для некоторой переменной, выделяются фигурной скобкой. Теперь смежными считаются и такие ячейки, которые занимают на картах одинаковые или симметричные области (в зависимости 24
от способа разметки). В качестве примера на рис. функция, заданная таблицей соответствия: 2.4 показана Х1 *2 «3 Х4 Х5 00000000000000001111111111111111 00000000111111110000000011111111 00001111000011110000111100001111 00110011001100110011001100110011 01010101010101010101010101010101 f 11111100001101111000110011100111 Сначала строятся простейшие покрытия на каждой карте раз- дельно, с которых списываются две функции: для левой карты f = х^х4х8 + х2х4х6 + x1xsxixs + хгхгхахъ и для правой карты fa = + VA + +'xix1V; + ВДЛ Затем ищу- Рис. 2.5 тся такие импликанты в этих функциях, которые различаются только вхождением ха и их можно объединить. В данном случае это XjXjXgXj л XjXjXgXj (соответствующие им группы ячеек, обве- денные жирной линией на рис. 2.4, а, совпадают при наложении, а на рис. 2.4, б они расположены симметрично), в результате объ- единения которых получается импликанта %jX2x3. Наконец, можно также дополнять одну из карт несущественными нмпликантами, ко- торые можно считать соседними импликантам другой карты н, объе- диняя их между собой, упрощать результирующее выражение. Так, в левую карту можно добавить импликанту х1х3х4х5 (на рис. 2.4 она показана пунктиром), которая, объединяясь с имплнкантой правой карты х1х3х4х6, дает XjX3x4. Окончательное выражение по- лучаем как сумму /л + /п с учетом выполненных преобразований: f =_r2x4x- J- х2х4Т5 + + х3х4х8 + х2.г3х3 + х4х2 + ~Г^1Х2Х3 -f- XjXgX4. Для функций шести переменных потребовалось бы четыре карты Карно, а с каждой новой переменной количество тре- буемых карт увеличивается вдвое и, например, для восьми пе- ременных уже равно 16. В практике используются и другие графические структуры, например, карты Вейча, которые отли- чаются только способом разметки переменных. Ясно, что гра- фические методы пригодны для минимизации вручную сравни- тельно простых функций. В то же время машинные методы 2* 35
анализа и проектирования логических схем основаны на формаль- ном алгоритме Квайиа-Мак-Класки и его разновидностях. Для получения минимальной формы инверсии функции не- обходимо найти ня карте Карно минимальное покрытие сово- купности нулевых ячеек и описать соответствующую формулу по указанному выше правилу. Так, для функции на рис. 2.2,о имеются два таких покрытия (рис. 2.5), отличающихся только одной импликантой. Если требуется найти минимальную форму как произведения макстермов, то в соответствии с изложенным в § 2.4 правилом достаточно в выражении для инверсной функции заменить все логические операции на дуальные, а вхождения переменных — на инверсные: у = (х2 + хй х4) (хг -j- х« -f- х3) X X + хч + *s) (хз + *<) — (-4 + x-t + хз) (Х1 + Х2~Ь xs) (xi +•*'2+ + хз) (хз + хь)- Эти же формы можно записать на основе принци- па дуальности непосредственно по минимальным покрытиям нуле- вых ячеек карты Карно. Для этого достаточно каждую группу ячеек идентифицировать как сумму переменных при инверсно;") раз- метке карты Карно, т. е. считая отмеченные значения переменных нулевыми. Глава 3 РЕАЛИЗАЦИЯ ЛОГИЧЕСКИХ ФУНКЦИЙ 3.1. ЛОГИЧЕСКИЕ СХЕМЫ Логические схемы являются структурными моделями цифро- вых устройств, реализующих логические функции. Они отобра- жают преобразование входных переменных х1г х2, .... хп в вы- а 6 Рис. 3.1 ходные Р], у«, ..., ут (рис. 3.1,а). Если значения выходных пере- менных определяются комбинацией значений переменных на входах только в данный момент, то такие схемы называют ком- бинационными. Если же выход у (v) зависит не только от входа х (v) в данный тактовый момент V, но и от состояния s (v — 1) в преды- дущий момент, то такие схемы называют последовательностными. Они содержат наряду с комбинационными схемами элементы памяти, которые сохраняют предыдущее состояние до следу- ющего такта (рис. 3.1,6). Оба типа схем объединяются под на- званием конечные автоматы в предположении, что все перемен- ные принимают значения из конечных алфавитов. Особое зна- чение имеют конечные автоматы с двузначным структурным алфавитом, зависимости между входами и выходами которых выражаются булевыми функциями. Последовательностные схемы называются также конечными автоматами с памятью. Простейшими компонентами комбинационной схемы явля- ются вентили, реализующие элементарные операции. Графиче- .36
Таблица 3.1 Название вентиля Графические изображения Булева формула и (Ковъюнктор) X — у — х —Г) 1 —i 1 9—f у —4 I f = ху = х-\- у ИЛИ (Дизъюнктор) X — 1 -< 'zfT-' у f=x-}-y=xy НЕ (Инвертор) X — П-' '-Д-' ' f == X И—НЕ (Штрих Шеффера) X — У — f^=xy= х+Т ИЛИ—НЕ (Стрелка Пирса) и—| | у—{ 1 f = х + у = ху Исключающее ИЛИ X и — f = X = = x©F = = xy -|- ху Исключающее ИЛИ—НЕ х—|-г | , х—Г71 1 г-' 1 1—> V—1 1 у—1 1 f = X Ф // =« = хфу = “ ху + ху Повторитель 37
скЛе изображения наиболее употребительных вентилей даны в табл. 3.1 (инверсные входы и выходы обозначаются маленькими кружочками). В качестве компонентов могут рассматриваться и некоторые соединения вентилей, образующих подсхемы. Соответственно говорят о логическом моделировании на раз- личтгых уровнях — вентильном, регистровом и т. д. При моде- лировании на вентильном уровне логические формулы выража- ются в булевом базисе И, ИЛИ, НЕ, поэтому и логические схемы обычно строятся с помощью аналогичного набора вентилей. Между тем могут оказаться удобными и другие вентили, преоб- разование к которым обеспечивается надлежащей методикой. В частности, широко используются логические схемы на основе вентиля И—НЕ, реализующего штрих Шеффера, а также ИЛИ— НЕ, реализующего стрелку Пирса, что допустимо благодаря функциональной полноте каждой из этих операций (см. табл. 2.2). Логические схемы непосредственно не связаны с физической y**txtxt*х,хгхз *xtx3 Рис. 3.2 природой и конструкцией вентилей. Тем не менее при выборе функционального базиса для реализации логических функций учитываются технико-экономические характеристики реальных компонентов цифровых устройств с тем, чтобы с наибольшей эффективностью обеспечить техническую реализацию логиче- ских схем. Переход от булевой функции к логической схеме в булевом базисе очевиден: достаточно в соответсгвии с формулой обозна- чить входы вентилей и соединить их между собой надлежащим образом. Так, на рис. 3.2 показаны логические схемы, реализу- ющие минимальные формы, полученные в примерах §§ 2.7 и 2.9. Функции, заданные в нормальной диъзюнктивной или конъ- юнктивной форме, реализуются двухступенчатыми схемами. Первая ступень реализует произведения или суммы переменных, а вторая — соответственно суммы минтермов или произведения макстермов. Двухступенчатые схемы предпочтительны по бы- стродействию, которое вследствие инерционности логических вентилей пропорционально числу ступеней. Однако в инженер- ной практике приходится по различным причинам обращаться к многоступенчатым схемам. 3.2. МНОГОСТУПЕНЧАТЫЕ РЕАЛИЗАЦИИ Характерным примером многоступенчатых схем может служить реализация функции, обеспечивающей проверку на четность набора из переменных. Она равна единице тогда и толь- ко тогда, когда число единичных значений входных переменных нечетное и выражается как сумма по модулю 2 : / (хх, х2, 38
xn) = *1® *2® Ф xn- Реализация этой функции двухступен- чатой схемой при значительном числен нереальна, так как потре- бовалось бы 2П-1 вентилей И иа п входов каждый и один вен- тиль ИЛИ на 2''-1 входов. Это следует из того, что среди 2" наборов значений переменных всегда половина нечетных, и ка- ноническая форма функции содержит 2п~г минтермов. К тому же она не минимизируется, так как не содержит ни одной пары склеивающихся минтермов (на карте Карно отмеченные ячейки располагаются в шахматном порядке). В то же время данная Рис. 3.3 функция реализуется многоступенчатой схемой, состоящей из двухвходовых вентилей исключающее ИЛИ, которые выполня- ют операцию сумма по модулю 2, причем для функции п пере- менных таких вентилей требуется (п —1). Соответствующая схема при п — 8 показана на рис. 3.3,а. Реализация в булевом базисе требует замены вентилей исключающее ИЛИ соответствующими булевыми эквивалентами — дизъюнктивными (рис. 3.3,6) или конъюнктивными (ряс. 3.3,в). В результате функция реализует- ся двухвходовыми вентилями И и ИЛИ совместно с вентилями НЕ, причем всего требуется 3( п — 1) логических вентилей и 2 (ц — 1) инверторов. Многоступенчатые реализации появляются также вследствие преобразований стандартных форм, вызванных практическими ограничениями по числу входов вентиля (коэффициенту развет- вления) и числу выходов {коэффициенту нагружения). В одном 39
из способов уменьшение числа входов достигается разложением булевой формулы на _множнтели. Например, функция у =TjX3x4 + + *з*а> двухступенчатая реализация которой показана на рис. 3.2, преобразуется к виду: у = (xptg -ф ххх2) х4-|- + х2х3. Соответствующая многоступенчатая реализация, в которой используются только двухвходовые вентили, показана на рис. 3.4. Любые ограничения на коэффициенты разветвления и нагру- жения можно удовлетворить заменой вентилей с'недопустимо большим числом входов и выходов так называемыми древовид- ными схемами (рис. 3.5). Одна из таких схем уже использовалась выше для реализации функции проверки на четность. Вентиль на п входов реализуется древовидной схемой, состоящей из (п — 1) двухвходовых вентилей, причем число ступеней равно [log2n], т. е. наименьшему целому числу, большему или равному log2n (рис- 3.5,о). Аналогично вентиль с п выходами реализуется древовидной схемой, которая содержит также lJog2«) ступеней, а каждый вентиль имеет один вход и два выхода (рис. 3.5,6). 3.3. ФАКТОРИЗАЦИЯ В общем случае учет реальных ограничений на нагрузочные способности источников входных и внутренних переменных и на коэффициенты разветвления конъюнкторов предшествует синтезу логической схемы в булевом базисе и называется факториза- цией. Этот процесс формализуется с помощью таблицы импли- каит q>j, <р2.<р„, покрывающих данную функцию и соответ- ствующих минтермам дизъюнктивной нормальней формы ф, ф2+ + • • • -j- фт- Таблица содержит 2п столбцов для переменных и их инверсий, а также т строк для нмпликант. Например, для функ- ции / = XjXjXgXjXj -ф х8х4 -ф %1*4*8 -ф *1*2*4 она выглядит следую- щим образом: подлежат источники переменных, имеющие больше выходов. Для этого необходимо в таблице импликант из столбца фактори- зуемой переменной перенести по тем же строкам избыточные единицы в дополнительные столбцы так, чтобы число единиц в каждом из них было не больше kH. Такие столбцы соответству- ют дополнительным вентилям, которые должны быть связаны с данным источником. Для учета этих связей необходимо доба- вить к таблице соответствующее количество строк и записать в них по столбцу факторизуемой переменной единицы. При kn = 3 приведенная выше таблица преобразуется к виду: 40
Эго соответствует преобразованию источника переменной х4, как показано на рис. 3.6,с, где через обозначен дополнитель- ный вентиль, размножающий данную переменную xt. Фактори- зация входов при заданном коэффициенте разветвления kp осу- ществляется аналогично распределением избыточных единиц по дополнительным строкам. Так, в рассматриваемом примере при заданном k= 4 таблица импликант преобразуется к виду: «1 Х3 «4 Ч *3 *4 XS Ф1 к 1 1 ф2 1 1 Фз i 1 1 Фг i 1 1 Zl 1 Л 1 1 1 1 41
Здесь из строки перенесены четыре единицы в дополни- тельную строку Чг2, а в первой строке дополнительного стопбца 'Р2 занесена единица. Это соответствует преобразованию вентиля с пятью входами, как показано на рис. 3.6 б. Преобразованной таблице соответствует комбинационная схема, приведенная на рис. 3.6,в. Другой вариант многоступенчатой реализации можно получить посредством преобразования функции к скобочной форме: f = (х1х2х3хъ+ х3+ х^х^ х6))х4. 3.4. БАЗИСЫ И — НЕ И ИЛИ — НЕ Вследствие функциональной полноты функций Шеффера и стрелки Пирса (см табл 2 2) реализующие их вентили И—НЕ или ИЛИ—НЕ могут представлять любую булеву операцию И, ИЛИ, НЕ и таким образом самостоятельно образовать базис, в котором реализуется любая _ логическая функция. Эго целе- сообразно с двух точек зрения. Во-первых, при проектировании логических схем можно обойтись одним единственным типом вентиля, что позволяет предельно унифицировать этот процесс. Во-вторых, для большинства серий ТТЛ- и КМОП-лотк вентиль И—НЕ, как и ИЛИ—НЕ, является базисным и предпоч- тителен во многих отношениях. Вследствие этого реализация логических схем в базисах И—НЕ и ИЛИ—НЕ получила ши- рокое распространение в практике. Булевы операции И, ИЛИ, НЕ выражаются__через штрих Шеффера х!у = ху = х + у соотношениями ху = ху = х/у, х + И 0 0 И-НЕ ! I ИЛИ О I НЕ-И/1И t I а Рис. 3.7 + у = ху = х/у, х = хх = х!х. Отсюда следует, что вентиль И—НЕ, таблица соответствия которого дана на рис. 3.7,о, эквивалентен вентилю НЕ—ИЛИ (см. табл. 3.1) и позволяет реализовать булевы операции, как показано на рис. 3.7,6—г. Для реализации инверсии имеются два варианта: либо на все входы вентиля И—НЕ подается переменная х. либо на все входы, кроме входа х, подается единица. Обычно эти особенности на схемах отсутствуют и используется упрощенное представление с одним входом (рис. 3.7,6). Переход к базису И—НЕ осуществляется проще всего для двухуровневых схем И/ИЛИ или при задании функции в стан- дартной форме суммы минтермов, что видно на простом примере f = ху + zv (рис. 3.8). Исходная схема в булевом базисе (рис. 3.8,а) преобразуется к такому виду (рис. 3.8,6), что пары инверторов оказываются соединенными последовательно и ьы- полняют двойную инверсию сигналов. После их удаления схема а вентилями И—НЕ существенно упрощается (рнс. 3.8,в) 42
и по своей струитуре полностью совпадает с исходной схемой. Таким образом, для перехода от двухуровневой схемы к схеме в базисе И—НЕ достаточно заменить все вентили вен- тилями И—НЕ. В случае произвольных многоступенчатых схем сначала преобразуются по изложенному выше правилу соседние уровни И—ИЛИ, а остальные вентили И и ИЛИ заменяются их эквива- лентами в базисе И—НЕ (рис. 3.7). В качестве примера иа рис. 3.9,а показана схема, полученная в результате такого пре- образования из схемы рнс. 3.6,в. Рис 3 9 Если исходной является не схема, а заданная функция, то в базисе И—НЕ ее можно реализовать в любой форме последо- вательным применением соотношения, следующего из закона де Моргана: А~\-В=А-\-В, где А и В — любые выражения. Так, скобочное выражение функции из 3.3 f = (XiX2x3xs -|-х3 + +-*Т(*« + х6)) х4 можно представить последовательностью соотноше- ний f = AS, Где Л = и В = х^х^ + х3 + *1 (х2 х6) = CDE~, где С = ххх2х3л:5, D = х3 и Е = (v2 + xs) = FG, где F *= xr и = •''a + *5 = x2T5. Соответствующая схема показана на рис. 3.9,6. Как видно из рассмотренных примеров, переход к базису **—НЕ не всегда сопровождается минимальной реализацией. схема, полученная преобразованием из булевого базиса (рис. 3.6,в), содержит девять вентилей вместо семи (рис. 3.9,о), а непосредственная реализация скобочной формы — только шесть (рис. 3.9,6). 43
Операция ИЛИ — НЕ, реализующая стрелку Пирса х\у = = х 4- у = ху, позволяет выразить булевы функции соотношения- ми ху — ~х + у — xjy, A- J- у = х-\- у = х}у, X- X 4- X = х^х. От- сюда следует, что вентиль ИЛИ'—НЕ, таблица соответствия которого дана на рис. 3.10, а, эквивалентен вентилю НЕ—ИЛИ (см. табл. 3.1) и позволяет реализовать булевы операции, как показано на рис. 3.10, б—г. Вследствие дуальности операций И—НЕ и ИЛИ—НЕ соответствующие реализации вместе с сопровождающими их коммен- тариями можно получить на основе принципа дуальности. ’ х 0 0 11 д 0 i 0 1 ИЛИ О I 1 I ИЛИ-НЕ 10 0 0 И 0 0 0 1 НЕ-И 1000 а Рис. 3.10 Рис. 3.11 a S Рис. 3.12 На рис. 3.11, дуальном рис. 3.8, показан переход от двух- уровневой схемы ИЛИ/И, которая соответствует конъюнктивной нормальной форме f — (х 4- у)(г + о), к реализации в базисе ИЛИ—НЕ. Здесь исходная схема (рис. 3.11,а) преобразуется так, что можно устранить последовательно включенные пары инверторов (рис. 3.11,6). После этого получаем схему (рис. 3.11,в), которая по своей структуре полностью совпадает с исходной. 44
На рис. 3.12,а, б показаны две эквивалентные реализации в ба- зисе ИЛИ—НЕ функции, заданной в нормальной и преобразо- ванной формах. 3.5. СХЕМЫ С МНОГИМИ ВЫХОДАМИ Реализацию нескольких функций одних н тех же переменных можно представить как простое объединение схем, реализующих каждую функцию отдельно. Но такой путь обычно не является наиболее экономичным. Часто бывает целесообразно преобра- зовать совокупность данных функций к такому виду, чтобы реализующие их схемы содержали общие части, а схема с мно- гими выходами представляла собой единое целое. Задача сво- дится к выбору для каждой функции такого покрытия, которое включало бы возможно больше импликант, содержащихся в по- крытиях других функций. Примером такого подхода к синтезу схем с многими выхо- дами может служить реализация преобразователя кода прямого замещения в двоично-десятичный код 2421, таблица соответ- ствия которого имеет вид (табл. 3.2). Таблица 3.2 Входы И РЫХОДЫ 01234В6 789 Избыточные наборы Код прямого заме- хг щепия (входы) х2 000000001 1 0000111100 0011001100 0 10 10 10 10 1 111111 001111 110 0 11 0 10 10 1 Двоично-десятич- ный код 2421 у2 (выходы) у3 У* 0 0 0 0 0 11 1 1 1 0 0 0 0 10 1 1 1 1 0011010011 0 10 10 10 10 1 Функции не опре- делены Преобразователь кодов представляет собой схему с четырь- мя входами хг, х2, х3, xt и четырьмя выходами ylt у2, у3, yit причем шесть наборов входных переменных не используются, и поэтому безразлично, какие значения принимают функции на этих наборах — 0 или 1. Такие функции называют частично определенными. При реализации можно доопределить их на избыточных наборах таким образом, чтобы получить наиболее экономичную схему. На рчс. 3.13,а показано, как используется возможность доопределения функций на избыточных наборах для получения экономичных покрытий на картах Карно (избыточные наборы отмечены звездочками), которые включали бы возможно больше однотипных импликант. Соответствующая логическая схема показана на рис. 3.13,6. Другим примером, в котором используются частично опре- деленные функции, является синтез одноразрядного сумматора, выполняющего арифметическое сложение двоичных чисел хь и Ук fe-ro разряда и переноса из младшего разряда В ре- 45
зультате должны получиться сумма s* и перенос в Старший раз- ряд Ph. Таблица соответствия сумматора имеет вид *k Pk Pk-л Sk Pk 00001111 00110011 0 10 10 10 1 0 1 10 10 0 1 0 0 0 10 1 1 1 а Рис, 3.13 !/vPV-( ЧкРк-! Рис. 3.14 Изображения функций s» и Рь на картах Карно показано на рпс.3.14,а, откуда получаем дизъюнктивные нормальные покры- тия: $k = Xh Pk Pk-l-^-Xk lJk + xhPk Pk-l + Xh IJhPk-X и Pk— = XkPk-i + XkPk + UkPk-i- Как видно, выражение для s* миними- зации не поддается, так как ии одна пара минтермов не склеива- ется (на карте Карно для отсутствуют соседние ячейки), Един- 46
ственная возможность его упростить—это использовать вынесение за скобки sk = (хкук + xkyk) Pk-i + (xkyk +x^k) Pk-i- В подобных случаях для минимизации применяется прием, основанный на использовании более простой функции Pk = f (хк, ук, pk-i) в качестве составной части другой функции sk. При этом рк рассматривается как переменная, т. е. sk = ф (хк, ук, рк_г, рк). Но таблица соответствия для sk теперь содержит избыточные на- боры переменных, которые отмечены звездочками Ук Pk-i Pk Sk 000000001111111 1 0000111100001111 0011001100110011 0101010101010101 о X 1 X 1 * * 0 1 X * 0 X 0 X 0 Минимальному покрытю на карте Карно соответствует выра- жение sk = xkpk + укрк + Pk-iPk + Xky^Pk.1- После вынесения за скобки получаем подготовленные для реализации выражения: Sj »= = (хк + >Jk + Pk+i)Pk + хкУкРк-л< Pk ~ xkUk + {xk + У kt Pk-i- Соот- ветствующая схема с двумя выходами показана на рис. 3.14,6. Глава 4 ЭЛЕМЕНТЫ ЦИФРОВОЙ СХЕМОТЕХНИКИ 4.1. КЛАССИФИКАЦИЯ, ПАРАМЕТРЫ, ХАРАКТЕРИСТИКИ Все многообразие цифровой схемотехники в зависимости от сложности выполняемых преобразований дискретных сигна- лов можно условно разделить на элементы, функциональные узлы, устройства и системы. Электронные схемы, реализующие простейшие функции алгебры логики, относят к классу эле- ментов. Сюда относят схемы формирователей уровней, инвер- сии, сложения, умножения цифровых сигналов, значительную часть выпускаемых промышленностью компонентов цифровых интегральных микросхем (ИМС). Функциональные узлы цифровой схемотехники выполняют функции генерирования, формирования импульсов по амплитуде и длительности, преобразования формы импульсных сигналов. К функциональным узлам относятся схемы, укорачивающие или расширяющие импульсы, автоколебательные генераторы пря- моугольных импульсов (мультивибраторы, заторможенные ге- нераторы-одновибраторы), генераторы линейно изменяющегося напряжения и тока, различные виды запоминающих ячеек — триггеров и т. Д. Функциональные узлы строятся на основе эле- ментарных ИМС и дискретных компонентов радиоэлектронной аппаратуры (РЭА). Устройства цифровой схемотехники обычно выполняют преобразования иад многоразрядными числами (счет, арифме- тические действия, шифрация, дешифрация, преобразование кодов, запоминание, считывание из памяти, отображение и т. д.) и состоят из комбинаций функциональных узлов и элементов. Это регистры, счетчики, сумматоры, преобразователи ' кодов, оперативные запоминающие устройства ЭВМ, мультиплексоры, 47
демультиплексоры, цифровые компараторы, преобразователи цифровой информации в аналоговую (ЦАП) и аналоговой ин- формации в цифровую (АЦП). Цифровые устройства в соответ- ствии с некоторой архитектурой объединяются в системы, наи- более типичными представителями которых являются ЭВМ. Технические параметры цифровых систем, устройств и узлов однозначно обусловлены параметрами используемых в них эле- ментов. Простейшими элементами цифровой схемотехники явля- ются электронные переключатели напряжения и тока. Качество проектируемых цифровых устройств характери- зуется системой параметров, основными нз которых являются быстродействие, энергопотребление, помехозащищенность, на- дежность, стоимость, масса, объем и др. Все технические пара- метры систем прямо связаны с параметрами элементной базы и в значительной степени являются взаимно противоречивыми. Например, для обеспечения высокого быстродействия часто разработчик вынужден допускать рост энергопотребления, а увеличение надежности устройств требует доьолнительных аппаратурных затрат и, как следствие, увеличиваются физи- ческий объем, масса и стоимость изделия. Процесс проектирова- ния цифровых устройств, как и любого проектирования вообще, представляет собой поиск разумного компромисса, обеспечива- ющего допустимые значения технических параметров изделия. Как правило, проектирование начинается с выбора элементной базы, представляющей собой совокупность простейших, кон- структивно законченных электронных компонентов, облада- ющих свойством функциональной и технической полноты Функционально полная элементная база обеспечивает реа- лизацию минимального набора функций преобразования сигна- лов, достаточного для построения сколь угодно сложного устройст- ва обработки информации. Любой конечный цифровой автомат можно реализовать в базисе функционально полных систем функций алгебры логики (см. гл. 2). Устройства на основе некоторого минимального набора ба- зисных функций, как правило, не оптимальны по затратам оборудования, быстродействию и другим связанным с ними пара- метрам (масса, объем, стоимость). Поэтому функционально полный набор элементов расширяют введением дополнительных элементов, упрощающих в процессе синтеза цифровых автоматов операцию их технической реализации. Такие достаточные на- боры элементов называют технически полными. По назначению элементы цифровой схемотехники. можно разделить на усилительные, формирующие, логические комбина- ционные, элементы памяти и последовательностные функциональ- ные узлы, элементы потенциальной развязки, индикаторные элементы. Усилительные элементы применяются для восстановления уровней цифровых сигналов, претерпевающих неизбежные изменения в процессе обработки информации, а также для со- гласования выхода какого-либо устройства с низкоомиой нагруз- кой или линией связи. Формирующие элементы предназначены для преобразования амплитудно-временных параметров сигналов, увеличения или уменьшения длительности фронта нараста- ния или спада сигнала, увеличения или уменьшения длитель- ности импульса, привязки нулевого и единичного уровней циф- рового сигнала к заданному уровню и т. д. Логические комбина- ционные элементы выполняют для реальных физических сигна- 48
лов функции преобразования алгебры логики без запоминания информации. В качестве элеменюв памяти используются триг- геры с двумя или более устойчивыми состояниями. На основе запоминающих элементов строятся последовательностные функ- циональные узлы, оперирующие многоразрядными числами. Элементы потенциальной развязки предназначены для реализа- ции информационной связи различных цифровых устройств, в которых дискретно преобразованные сигналы представлены существенно отличающимися уровнями сигналов. Такая ситуа- ция может возникнуть в случае питания комплексируемых цифровых устройств от различных источников питания. Инди- каторные элементы обеспечивают визуализацию цифровых си- гналов при вводе и выводе информации. По способу кодирования цифровых сигналов элементы циф- ровой схемотехники подразделяют на импульсные, динамические, потенциальные, импульсно-потенциальные, щиротно-импульс- иые, фазо-импульсные. В импульсных устройствах двоично кодированный сигнал имеет значение «1» при наличии импульса или «О», если импульс в цепи отсутствует. В динамических двоичных элементах единичное состояние идентифицируют по наличию в цепи непрерывной серии импуль- сов, а нулевое — по отсутствию серии импульсов. В потенциальных элементах двоичные переменные кодиру- ются соответствующей величиной электрического потенциала (или тока). В зависимости от способа присвоения значений «О» и «1» различным уровням электрического потенциала вводятся понятия положительной и отрицательной логики. Положитель- ной называют логику, в которой уровень «1» представлен более высоким потенциалом, чем уровень «О». В случае отрицательной логики «1» кодируется низким уровнем сигнала, а «О» — вы- соким. В импульсно-потенциальных элементах сигналы представ- ляются как импульсами, так и потенциалами, которые, как пра- вило, используются для разрешения либо запрещения передачи импульса в некоторую цепь. Характер сигнала целесообразно определять по отношению к периоду основной тактирующей последовательности устройства. Импульсным считается сигнал с длительностью меньше длительности такта, а потенциальным — больше длительности такта. Широтно-импульсные элементы чаще всего используются в устройствах многозначной логики [52; 53]. При этом присваи- ваемое сигналу значение идентифицируется одним из допусти- мых соотношений длительности импульса ta и паузы tn при постоянной длительности периода Т. Аналогично в фазо-импульс- ных элементах кодируемая сигналом цифра определяется поло- жением импульса относительно некоторой опорной последова- тельности. При любом способе кодирования сигналов в пределах используемой элементной базы должна быть обеспечена совмести- мость входных и выходных сигналов по амплитудно-временным параметрам. Это подразумевает единство правил дискретизации входных и выходных сигналов с учетом их естественного разбро- са. На рис. 4.1 на примере положительной логики показаны ти- пичные области для двоично квантованного сигнала. Здесь Л,—области допустимых значений соответственно нулевого o' и единичного U1 уровня сигнала, В, 0 —области допустимых 49
помех С7°ом, ^пом На УРовне *0» и «1», С —* область допустимых уровней порогового напряжения Дпор, при котором происходит переключение элемента. Допустимыми для двоичных потенци- альных сигналов являются уровни, располагающиеся в областях А и Е. Уровни напряжения ниже области А и выше области Е недопустимы по техническим условиям на элементы, так как мо- гут привесш к выходу их из строя. Уровни напряжения из областей В и D недопустимы с точки зрения помехоустойчи- вости элемента, а в области С состояние элемента вообще не определено. Статические уров- ни двоичного сигнала должны располагаться в областях 'А и Е при наихудшнх, но допу- стимых условиях нагрузки и при воздействии допустимых дестабилизирующих факторов (колебания напряжений источ- ников питания, температуры окружающей среды, разброс и флук- туации параметров электронных компонентов, электромагнитные излучения, радиация и т. п.). Параметры цифровых элементов разделяют иа эксплуата- ционные, экономические, технические. Эксплуатационные пара- метры отражают такие качества элементов, как длительность безотказной работы, удобство установки и демонтажа, ремонто- пригодность, габариты, мас- са и г. д. Экономические параметры характеризуют стоимость элемента, энерго- емкость, содержание доро- гостоящих и редких мате- риалов. Экономические и эксплуатационные парамет- ры используются для опи- сания уже реализованных изделий. На начальном эта- пе проектирования разра- ботчик ориентируется в ос- новном на технические па- Рис. 4.2 раметры серийных или раз- рабатываемых элементов, так как именно технические параметры элементов опре- деляют реализуемость проектируемого устройства в выбранном элементном базисе. Множество технических параметров разделяют на статиче- ские и динамические. Статические параметры характеризуют свойства и режимы работы элемента во всех предусмотренных техническими условиями состояниях. Динамические параметры представляют собой ограничения, накладываемые на длительности этапов переходных процессов в цифровых элементах, и предельные частоты функционирова- ния. Основные динамические параметры элементов во временной области показаны на рис. 4.2 иа примере инвертирующего ЛЭ. 50
Параметры, относящиеся ко входному сигналу, отмечены ниж- ним индексом «1», а к выходному сигналу — нижним индек- сом «2». Основные статические параметры Входное напряжение логического «О» В Входное напряжение логической «1» Uax, В Выходное напряжение «О»................ ^вых» в Выходное напряжение «1».............. £/вых, В Логический перепад: \U]I = U1 — Ua Д£7Л, В Пороговое напряжение логического эле- мента (ЛЭ) .... ....................... ^пор. В Напряжение инжектора (для ЭСЛ) Уинж, в Статическая помехоустойчивость «О» — максимально допустимая амплитуда по- ложительной (для положительной логи- ки) помехи на уровне U°.................. Un, В Статическая помехоустойчивость «1» — максимально допустимая амплитуда от- рицательной (для положительной логики) х помехи на уровне U1...................... Un, В Статическая помехоустойчивость ип. ст = min ст> и\. ст)................УП. ст’ В Опорное напряжение...................... Уоп, В Ток потребления от источника отрица- __ тельного и положительного напряжения /^т, /„от, мА Входной ток «О» . . ,................ /’х, мА Входной ток «1»...................... /вх, мА Выходной ток «О».............. . . /® , мА Выходной ток «1»..................... 7ВЫХ, мА Мощность потребления в состоянии «О» />®от, мВт Мощность потребления в состоянии «1» Р^от, МВТ Средняя мощность потребления: ^иот. ср = ^>5 X 0,5 (Впот Рпот) • . Рпот_ ср, мВт Входное сопротивление ЛЭ при UBX — U° кОм Входное сопротивление ЛЭ при l/1BX=t/1 /?вх, кОм Выходное сопротивление ЛЭ прн ^вых = и°.............................../?°ых, кОм Выходное сопротивление ЛЭ при U вых = U1...............................^вых> к®м Коэффициент разветвления на выходе ЛЭ — максимально допустимое количе- ство подключаемых к выходу аналогич- ных ЛЭ............................... к Коэффициент объединения на входе ЛЭ — максимально допустимое количе- ство аналогичных входов ЛЭ.......... Коб Сопротивление гальванической развязки /?с, МОм 51
Основные динамические параметры Длительность фронта переключения сиг- нала из состояния «О» в состояние «1» /ф1, с Длительность фронта переключения сиг- нала из состояния «1» в состояние «О» i^, с Длительность задержки выключения ЛЭ, измеряемая от уровня 0,1 AL7n . до уров- ня 0,9А(/еь|х............Г . ... с Длительность задержки включения ЛЭ, измеряемая от уровня 0,9At/B„ до уров- ия ......................Л........... С с Длительность задержки распространения сигнала при выключении логического эле- мента, измеренная от уровня 0,5Д(7вх отрицательного перепада до уровня 0,5Д£/вых положительного перепада /®д р> с Длительность задержки распространения сигнала при включении логического эле- мента, измеренная от уровня 0,5Д1/РХ положительного перепада до уровня 0,5Д(/вых отрицательного перепада /зд. р, с Среднее время задержки распростране- ния сигнала ?зд_ р> ср = 0,5 p+Q. р) /зд> р> ср, с Длительность импульса на уровне 0,5Д£/д /и, с Максимальная рабочая частота, на ко- торой в наихудших условиях гаранти- руется работоспособность элемента . . . Предельно допустимая емкость нагрузки Сн, Ф Предельно допустимая индуктивность нагрузки.......................... . La, Гн Помимо названных основных статических и динамических параметров элементов существует большое количество специфи- ческих параметров, характерных для ЛЭ определенного класса. 4.2. ХАРАКТЕРИСТИКИ ЦИФРОВЫХ СХЕМ Характеристики представляют собой одно-или многомерные зависимости величин, определяющих состояние или режим ра- боты электронных схем, от других величин, рассматриваемых как независимые. Их можно представить в аналитической, гра- фической или табличной форме. Так же, как параметры, харак- теристики делятся на статические и динамические в зависимости от вида входных воздействий и откликов схемы. Статические характеристики не являются функцией частоты или времени и не связаны с динамическими параметрами. Характеристики элект- ронных приборов получают путем непосредственных измерений (с последующей статистической обработкой) в допустимых точ- ках либо с помощью моделирования схемы на компонентном уровне, если математические модели компонентов известны. Среди статических характеристик наиболее часто исполь- зуются вольт-амперные характеристики (ВАХ), определяющие 52
язь между токами и напряжениями на выводах электронной схемы в заданных внешних условиях. Реже в цифровой схемо- технике используются зависимости сопротивлений (проводимо- стей) по некоторому входу (выходу) и коэффициентов передачи от токов и напряжений на других выводах. Основные статические характеристики следующие. Входная ВАХ элемента — зависимость тока 1и (напряжения у ) 1-го входа от напряжения Ult (тока /н) на этом же входе, а также от напряжений (токов) на остальных выводах при за- данных величинах внешних воздействий. Выходная ВАХ — зави- симость тока /2/- (напряжения П2/)от напряжения П2;- (тока /2/) на /-м выходе, а также от напряжений (токов) на остальных вы- водах при заданных величинах внешних воздействий. Графически выходные ВАХ представляются семейством одномерных характе- ристик. Производными от выходных ВАХ являются передаточные характеристики — зависимость выходного напряжения (У2/ (тока /2р от входного напряжения У1(- (тока У^) при фиксиро- ванных напряжениях (токах) на остальных выводах. Важными статическими характеристиками цифровых эле- ментов являются зависимости токопотребления (энергопотребле- ния) по каждому из I выводов, подключаемых к источникам питания. Динамические характеристики цифровых элементов бывают двух типов и представляют собой зависимость динамических параметров элементов от электрических режимов и внешних факторов, а также зависимость некоторых статических параметров от рабочей частоты или временного интервала. К первому типу динамических характеристик относятся: зависимость длительно- сти задержки распространения сигнала /зд р от параметров нагруз- ки 2 н (емкость Си, индуктивность LH, сопротивление нагрузки R н коэффициент разветвления по выходу Краз); зависимость длитель- ности задержки /зд_ р от температуры н других внешних факторов; зависимость длительности фронта на выходе элемента от параметров входного сигнала. Ко второму типу характеристик относятся, например: зависимость мощности потребления Рпот от частоты переключения элемента; зависимость допустимой ампли- туды импульсной помехи У1ЮЧ от ее длительности. 4.3. ЭЛЕКТРОННЫЕ КЛЮЧИ Технические реализации цифровых схем, в которых сигналы представлены дискретно квантованными уровнями напряжения (тока), основаны на использовании электронных коммутаторов напряжения (тока), называемых электронными ключами. В качестве нелинейных приборов с управляемым сопротив- лением в электронных ключах используются полупроводнико- вые диоды, транзисторы, фототранзисторы, тиристоры, оптро- ны, электронные лампы. Диодные электронные ключи. В диодных ключах использу- ется зависимость сопротивления диода от величины и знака приложенного напряжения. На рис. 4.3,а показаны типичные статические ВАХ германиевого (Ge) и кремниевого (Si) диодов, а на рис. 4.3,6 ив — эквивалентные схемы замещения диода на по- 53
стоянном токе для линеаризованных (выделенных штриховкой) областей соответственно 1 и 2. Ток р-п-перехода »д диода и на- пряжение U* на нем связаны соотношением [71]: /д = /о {exp [t/*/(m<pT)] - 1} = 1й {ехр [У*?/(/пйТ)] - 1], (4.1) где /0 — тепловой ток насыщения; <рт—температурный потенциал (при нормально: температуре Т = 298° К, <рт » 26 мВ); k — по- стоянная Больцмана; Т — абсолютная температура; q— заряд электрона; m — коэффициент, учитывающий влияние поверхност- ных токов утечки германиевых и генерации-рекомбинации в р-п- переходе кремниевых диодов (для германиевых диодов тОе & га 1,2 ... 1,5, для кремниевых — ms‘ « 1,2 ... 2). Тепловой ток на- сыщения /0 практически не зависит от приложенного к диоду на- пряжения и определяется электрофизическими свойствами материа- ла полупроводника и температурой его нагрева !о = >0» ехР I— Ь'к/Ф,]. где /оо— константа, определяемая материалом полупроводника и концентрациями примесей; 1!я — контактная разность потен- циалов 0,3...0,4 В, = 0,6...0,7 В). Тепловой ток на- сыщения lQ с ростом температуры экспоненциально нарастает. В приближенных расчетах принято считать, что ток /0 в случае германиевого диода удваивается с увеличением температуры на каждые 10 °C, а в случае кремниевого — на каждые 7 °C. С учетом активного сопротивления р- и n-областей, кон- тактных сопротивлений выводов и соотношения (4.1) для реаль- ного диода [71] ия = и*+ ‘лг^ «Фт 1п («Д/Л» + 1) + [дг> (4-2) где г — суммарное активное сопротивление р-, м-областей и кон- тактов днода. Дифференциальное активное сопротивление диода получим из выражения (4.2) dU г я = = + »д) + г. ‘д 54
Сопротивление гд нелинейно и зависит от тока диода 7Д. Но при достаточно больших напряжениях прямого смещения ((7Д >> (/к) сопротивление гд « г, т.е. сопротивление эквивалентной схемы замещения диода (рис. 4.3,6) гпр«г и имеет порядок единиц и десятков ом. При обратном смещении диода (77 д < 0) «д « 0, гд = __ Гобр = m<pT/70 + z « щ<рт/70 и имеет порядок десятков и сотен килоом. Напряжение Uo эквивалентного источника напряжения в схеме замещения (рис. 4.3,6) получают экстраполяцией квази- линейных участков области 1 ВАХ до пересечения с осью абсцисс, оно имеет порядок контактной разности потенциалов: (70« UK. Схема простейшего диодного ключа, управляемого источ- ником сигнала и нагруженного на сопротивление Ra, пока- зана на рис. 4 4,а, а на рис. 4.4,6, в — эквивалентные схемы' a S S Рис. 4.4 замещения соответственно для сигнала логических «1» и «0» иа входе*. Определим значения выходных напряжений в каж- дом из состояний ключа, воспользовавшись принципом суперпо- зиции. При низком уровне напряжения на входе ((7® < 0) диод VD заперт, ключ разомкнут (рнс. 4.4,в) и на его выходе уста- навливается низкий уровень и2 = + Яг)]. (4.3) 1 "г -Г Лобр -Г А||«н При высоком уровне входного сигнала 77} диод VD открыт, ключ замкнут (рис. 4.4,6) и на выходе ключа имеет место высокий уровень (U\ - Uo) (R\\Ra\ 2 Kr+'nP+WH (4.4) Из соотношений (4.3), (4.4) видно, что перепад напряжения на выходе Д772 = U?—u9,<^Ui~Ull—(7® (меньше и а величи- ну Ц,). Ослабление сигнала на выходе тем больше, чем больше сопротивление источника сигнала 7?г. Это недостаток диодного ключа на рис. 4.4. Другим его недостатком является прямая за- висимость уровня «1» U\ от входного сигнала и\. Второй вариант диодного ключа показан на рис. 4.5. При низком уровне входного сигнала диод VD открыт и через него тп в дальнейшем если не будет специальных оговорок, будем рассма- р вать Функционирование элементов в системе положительной логики. 55
протекает ток источника питания Пи п, ограничиваемый со- противлением 2?. Если R» RT, лпр, то практически все на- пряжение питания ии п падает на сопротивлении R, поэтому на сопротивлении нагрузки ключа 7?н устанавливается низкий по- тенциал U° (рис. 4.5,6) t/0 = U +'пр) 0 ., 2 и'п /? + /?„||(ЯР+ гпр) + (Н + U°> /?г+гПр+Шн* Если /?г f пр то и0^ Ua. » При высоком уровне входного сигнала, если выполняется условие U\ > 1/и r| RU/(R 4- Ян), Диод VD заперт, входная цел» а 5 6 Рис. 4.5 отключена от нагрузки (рис. 4.5,в) и на выходе устанавливается высокий уровень напряжения „ М(госр + Яг) , 2 и-п *+<ii('o6p-P^j+ +'»даЛ+ + гоСр))- Обычно го6р » RT , Rn, поэтому U\ ж (l/„.n4- W RU/{R + Rn) и практически не зависит от входного сигнала. Отметим, что если в схеме ключа на рис. 4.4 низкий уровень выходного сигна- ла »0, а высокий зависит от входного сигнала t/J, то в схеме ключа на рис, 4.5, наоборот, низкий уровень f/? за Д® 4- 4-О0 пропорционален входному сигналу, а высокий U\ от него не зависит. Рассмотренные диодные ключи можно использовать для построения простейших комбинационных логических схем. На рис. 4.6,п показана схема, а на рис. 4.6,6 — таблица истинности и условное графическое обозначение двухвходового дизъюнктора на диодных ключах. Только в случае, когда на обоих входах низкие уровни и t/°, диоды VD1, VD2 заперты, выход отклю- чен от входов, и на нем устанавливается низкий уровень. При любых других комбинациях выход подключается к тому входу, на который подан наиболее высокий уровень, т. е. maxft/u, <7i2} — l/о- Данное выражение является аналоговым эквива- лентом дизъюнкции [20]. 56
Схема на рис. 4.6,в — вариант ключа на рис. 4.5 для двух входов. Если хотя бы один из диодов VD1, VD2 открыт низким уровнем входного сигнала, выход схемы оказывается подключен- ным ко входу с наиболее низким потенциалом. И только тогда, В г д Рис. 4.6 когда все диоды заперты высокими уровнями на входах, выход- ная цепь отключается от входов и на нагрузке устанавливается высокий потенциал. Как следует из таблицы истинности па рис. 4.6,г, такая схема реализует логическую операцию конъюнк- ции Ее аналоговый эквивалент определяется выражением ^2 ~ {^ii> 57
На основе диодных ключей строятся двухступенчатые ком- бинационные логические элементы. Приведенная на рис. 4.6,д схема реализует логическую функцию у = x1x2~i~ xsxt или для электрических сигналов max {rninlt/ц, minfl/jj, t/l4]}. Поскольку схема состоит из пассивных компонентов, входной сигнал ослабляется при прохождении каждой логиче- ской ступени. Для того чтобы амплитуда выходного сигнала U2 была максимальной, необходимо выполнять условия R2<< С /?н, что неизбежно ведет к росту энергопотребления схемы. Инерционность диодных ключей обусловлена процессами накопления неосновных носителей в области р-п-перехода, емкостью р-п-перехода, емкостью между выводами диода и ин- дуктивностью выводов. На рис. 4.7 показана полная модель диода, в которой гд—'нелинейное сопротивление диода, г — активное сопротивление контактов и объема полупроводника, гут— сопротивление утечки, зави- сящее от состояния поверхности и дефектов в р-п-переходе, Со— емкость между выводами диода, L — индуктивность выводов, Сд — диффузионная емкость р-п-пере- хода, проявляющаяся при его прямом смещении, Сб — барьер- ная емкость р-п-перехода, смещен- ного в обратном направлении. Рис. 4.7 Помимо инерционности дио- да длительность переходных про- цессов в диодных ключах зависит от параметров в общем случае комплексной нагрузки (/?н> сн, L н) и монтажных емкостей элемента. Электронные ключи иа биполярных транзисторах. Примене- ние биполярных транзисторов в электронных ключах основано .Пинии НОСЫЩСНИП Пкти!ноя of пасти const Of, ^^UHjin отсеини Рис. 4.8 на свойстве транзисторов изменять под действием управляющего сигнала сопротивление от весьма большого (сотни килоом) в ре- жиме отсечки до значительно меньшего в активном режиме (еди- ницы килоом) и весьма малого в режиме насыщения (еди- ницы ом). На рис. 4. 8, а показана схема включения биполярного тран- зистора с общим эмиттером (ОЭ); на рис. 4.8,6 — входные ха- рактеристики ['б = fi (^бэ )!t'K3=const> на Рис- 8> выходные характеристики /к =((7^)1; 6_consl, Транзистор в ключевых устройствах работает в режиме большого сигнала и его свойства 58
можно описать нелинейной инжекционной моделью Эберса-Молла [71] из идеальных диодов и управляемых источников тока (рис. 4.9). Токи электродов транзистора в зависимости от приложенных к иим напряжений определяются соотношениями »«= -нк°^'~ч[(ехр (Л(7&) -~ “ (ехР (ХУкб) - ° ОЦ 1 — Л L = Т-5°гГ I(ехр (Х^бэ) - 1) а/ - ехр (ХУкб) + 1 ]; 9 1—СХССу <б = (3 — 1 к> где X = l/(zn<pT); 7к0 — обратный ток коллектора; а и — коэффициенты передачи тока эмиттера в ветственно в нормальном и инверсном активных режимах. Приведенная модель используется в основном при машинных методах проектирования, а в прибли- женных расчетах используются кусочно- линейно аппроксимированные модели. Рассмотрим схему простейшего клю- ча на биполярном транзисторе н-р-п-типа (рис. 4.10,о). Нагрузкой ключа на тран- зисторе VT является резистор, подклю- ченный между выходом и общей шиной (/?”), либо между выходом и источником питания (7?н), либо нагрузка может быть разделенной (/?н, R"). Режим ра- боты транзистора определяется источником входного сигнала UT и входной цепью из резисторов Rl, R2 и источника запира- ющего смещения — (/ип2. Резисторы R1 и R2 должны быть вы- Рис. 4.10 Ораны так, чтобы при низком уровне входного сигнала U® тран- зистор VT был надежно заперт во всем рабочем диапазоне темпе- ратур окружающей среды, а при высоком уровне на входе транзистор должен быть насыщен. Источник смещения — Uv>Г|2 ие обязателен, если режим отсечки транзистора обеспечивается низким уровнем входного 59
сигнала t/J и условие запирания не нарушается при максималь- ной температуре окружающей среды. Для упрощения анализа статики н динамики работы такого ключа преобразуем схему, воспользовавшись теоремой об экви- валентном генераторе. Компоненты преобразованной схемы ' и (рис. 4.10,6) определяются из соотношений с/и п — п>цр~,, *к= WhIK Я6 = Я11|/?2. + Для обеспечения режима отсечки транзистора необходимо, чтобы при низком уровне (У® входного сигнала эмиттерный пере- ход транзистора был заперт. Как видно из рис. 4.8,6, запира- ющим можно считать низкий потенциал на входе, обеспечи- вающий иа эмиттериом переходе напряжение Ug3< Uo, Если Рис. 4.11 а данное условие выполняется, то оба перехода транзистора за- перты и транзистор в схеме рис. 4.10,6 в первом приближении можно заменить источником обратного тока коллекторного пере- хода /к0 (рис. 4.11,а). Тепловой ток /к0 протекает через резистор R6 и повышает потенциал базы. Чем выше температура коллекторного перехо- да, тем больше ток /к0 и напряжение U6i. Условие запирания транзистора должно выполняться в наихудшем случае, т. е. при максимальной температуре коллекторного перехода и соот- ветствующем токе /кОтах через него: Ць = ^+'кОЯ8Ж<Ц>- № Если условие (4.5) выполняется, транзистор VT заперт, на его коллекторе, являющемся выходом схемы, устанавливается высокий уровень ^ = ^и.п-/к0/?к. (4.6) Для отпирания транзистора на вход ключа необходимо по- дать высокий уровень U9. При этом транзистор может на- ходиться в активном режиме или в насыщении. Режим насыще- ния наступает в том случае, если ток базы транзистора дости- 60
гает или превышает значение 7б и, соответствующее положению рабочей точки транзистора на границе между активным режи- мом и режимом насыщения: /6> /с . Входную цепь насыщенного транзистора можно в линеари- зованном варианте представить подобно диоду последовательно соединенными объемным сопротивлением базы гб и источником напряжения Uo. Сопротивление между коллектором и эмиттером насыщенного транзистора определяется наклоном линии насы- щения (рис. 4.8,в):гк 11 = Д77К5/Д/к|/б>/б.н. Эквивалентная схе- ма транзисторного ключа в режиме насыщения показана на рис. 4.11,6. Для этой схемы условие насыщения имеет вид 7g= 1^1 ^о)/(гб 4* ^74 ^б.n=^K,i>7^min =^,ц.п/^т1п(^н4'гк.н))‘ (4.7) Так как коэффициент усиления транзистора по току Р имеет технологический разброс, неравенство (4.7) должно выполняться в наихудших условиях, т. е. при наименьшем допустимом зна- чении 3 — Pmin. Если условие (4.7) выполняется, транзистор VT насыщен и на выходе замкнутого ключа устанавливается низкий уровень (72=t7H.nrK.H/(^K + fK.B)- Переходные процессы. Инерционность ключа иа биполярном транзисторе характеризуется длительностью цикла переключе- ния, который включает в себя: /Зд.вкл— задержку включения транзистора при подаче на вход ключа высокого уровня сигнала 6^, удовлетворяющего условию (4.7); /вкл—длительность вклю- чения транзистора — время нарастания тока через транзистор ст теплового 7к0 до тока насыщения /к н; 1р— длительность задержки выключения, обусловленную рассасыванием заряда в базе при переходе транзистора из насыщения в активный ре- жим; 1ВЫК— время выключения — уменьшения тока коллектора транзистора от тока насыщения /к н до уровня тока 7к0; /ф — дли- тельность фронта нарастания потенциала на коллекторе тран- зистора, связанную с зарядом емкостей нагрузки и монтажа. Тогда полный цикл переключения илн разрешающее время zpa3 = 'зд.вкл + ^вкл + zp + $ * Эго вРемя- когорое необходимо для перезаряда паразитных емкостен монтажа схемы, межэлектрод- ных емкостей транзистора, для накопления заряда неосновных носителей в базе транзистора при огпиранни и рекомбинации это- го заряда при запирании транзистора. Поскольку коллекторный ток биполярного транзистора Пред- ставляет собой ток экстракции неосновных носителей (здесь — электронов) из базы и пропорционален заряду в базе, пере- ходные процессы удобно анализировать по динамике изменения заряда базы. Поэтому такой метод анализа переходных про- цессов называют методом заряда базы [27]. На рис. 4.12,а показан вариант эквивалентной схемы (см. Рис. 4.10,6) транзисторного ключа, на которой показаны емкость нагрузки Сн и эквивалентная входная емкость транзистора СВХ) определяемая емкостями эмиттерного и коллекторного переходов транзистора, а также паразитной емкостью монтажа. Рассмотрим характерные участки переходного процесса по временным диаграммам (рис. 4.12,6). 61
1. До момента времени транзистор VT заперт низким уров- нем входного сигнала U®, удовлетворяющим условию (4.5). Ток в цепи базы транзистора определяется обратным током коллек- торного перехода: 1б= — 7к0. Заряд Q базы в отсутствие инжек- ции неосновных носителей через эмиттерный переход практически отсутствует: Q яз 0. В цепи коллектора протекает обратный ток коллекторного перехода (к= /к0. На выходе ключа поддержива- ется высокий уровень и\ = I/ — /к0 RK_ 2, В момент /j потенциал на входе ключа скачком увеличива- ется от U® до U\. Потенциал базы транзистора U6 нарастает по мере заряда конденсатора Свх через сопротивление /?б- Напряже- ние U6 увеличивается но экспоненциальному закону с постоянной времени тб = R6 Свх от начального напряжения Rs /кр до асимптотического уровня УСВХ (оо) = (/} + До момента /2, пока напряжение на базе остается меньшим, чем пороговое напряжение (/0, транзистор остается в режиме отсечки, заряд базы, потенциал и ток коллектора не изменяются. Интервал вре- мени от до /2, когда потенциал базы U6 (напряжение на эмит- терном переходе Пбэ) достигает уровня порогового напряжения Uo, определяет длительность задержки включения транзистора /зд.вкл = Для определения длительности 7ЗД-ВКЛ восполь- зуемся свойством экспоненциальной функции (рис. 4.12,в) А(0 = = Ао-|-(ATO — Ао) (е~*'х—1), которое заключается^ следующем: если известны параметры экспоненциальной функции А°° — асим- птотическое значение, т — постоянная времени, А (/к) и A(tj) — 62
(4.8) длитель- (4.9) уровни экспоненты, то длительность интервала от tk до tt опреде- ляется соотношением Л — A (/ft) Воспользовавшись этим выражением, определим ность задержки включения , , и' + !кр R& — + fKp ^е) зд.вкл= б (/{+7к()/?б-(/0 «/?6СВХ1П -L------L. х и\-иа 3. В момент /2 потенциал базы превышает пороговое напря- жение Uo, открывается эмиттерный переход и транзистор пере- ключается из режима отсечки в активный режим. Инжектируемые эмиттером в базу неосновные носители (в п-р-га-транзисторе это электроны, а в р-п-р — дырки) нарушают равновесное состоя- ние базы и начинается накопление заряда. Скорость накопле- ния заряда тем больше, чем больше ток базы <б(/). При достаточ- но большом токе базы входную цепь транзистора можно предста- вить в виде рис. 4.11,6. Тогда ток в цепи базы открытого тран- зистора i'6(/) = (Ht (/) —(/0)/(/?б4-гб). Приращение заряда неосновных носителей AQ в единицу времени на интервале Д/ определяется выражением Д(?/Д/ = i6 (t) - Q/Tp, где тр—среднее время жизни неосновных носителей. В выра- жении (4.10) первое слагаемое характеризует увеличение заряда в базе (если /б(/) > 0), а второе — уменьшение заряда вследствие конечного времени жизни неосновных носителей заряда и ре- комбинации части носителей в активной области базы. В пределе для бесконечно малых промежутков времени по- лучаем дифференциальное уравнение первого порядка dQ/dt = i6(t)-Q/^. (4,11) Если Ut (/) = U\ = const, ток базы транзистора остается практи- чески постоянным (4.Ю) и\ — Ua и\ /ЧТ'б "б и решением уравнения (4.11) является экспоненциальная функция (4.12) Q (0 — Q1 (1 — exp (— /Др)) + <?o> где Q1 = t₽/61. (4.13) С ростом заряда в базе пропорционально увеличивается ток коллектора, возрастает падение напряжения иа резисторе Кл и падает потенциал коллектора. В момент транзистор пере- ходит из активного режима в режим насыщения, прекращается 63
рост коллекторного тока на уровне (см. рис. 4.12)/KfI = /(7?к+ гк н) и падение потенциала коллектора на уровне U^. Интервал от момента /2 до t3 представляет время включения транзистора /вкл. Его длительность можно определить с помо- щью (4.12), если учесть, что заряд на этом интервале нарастает от 0 до значения (?гр='гэ4.н' (4.14) соответствующего положению рабочей точки транзистора на гра- нице между активным режимом и насыщением. При этом заряд растет экспоненциально с постоянной времени Тр и асимптотиче ски приближается к уровню Тогда с помощью выражения (4.8) и с учетом формул (4.13), (4.14) получаем 'вкл = 1п Qi Qi Qrp Tpz61 ТР Aj! — Т3 Z6.’h I 5 “4>'”Sry (4.15) = Тр In где S = /б1//б н — коэффициент насыщения транзистора. Длительность переднего фронта выходного сигнала /ф « 'вкл' 4. На данном этапе все токн н напряжения, установившиеся в момент t3, остаются постоянными. Переходный процесс харак- теризуется только продолжающимся накоплением заряда в базе сверх граничного значения Qrp. Заряд неосновных носителей, превышающий величину Qrp, называется избыточным. Заряд продолжает нарастать по экспоненте, но с изменившимся пара- метром экспоненты тн= 0,7... 1,5т^, который характери зует сред- нее время жизни неосновных носителей в насыщенном режиме. Изменение среднего времени жизни неосновных носителей свя- зано с перераспределением заряда в активной области базы при переходе транзистора из активного режима в режим насыщения. При этом для сплавных транзисторов тп < т^, а для диффузион- ных ти > Тр. Можно полагать, что за время tu = Зтн процесс на- копления избыточного заряда (?нз5 заканчивается и заряд достига- ет значения <?2 = егр+<?изб = ъЛг (4-16) Отношение накопленного заряда <?2 к граничному Qrp со- гласно выражениям (4.14) и (4.16) Q« _ тн 1б\ Фгр приближенно определяет коэффициент насыщения транзистора. 5. По заднему фронту входного сигнала в момент t3 ток базы 1б(/) транзистора скачком изменяется по величине (и знаку) Zg2= (tZ?— ZZ0)/(/?6+ лб), нарушается равновесное состояние заряда базы и начинается его рассасывание. Избыточный заряд экспоненциально с постоянной времени тн уменьшается от ве- личины Q2, стремясь асимптотически к Q3= х и/б2. На данном этапе заряд в базе Q (/) > Qrp и транзистор остается насыщен- ным до момента t3, когда заканчивается рекомбинация избыточ- 64
г лого заряда и транзистор из насыщения переходит в активный режим. В интервале от t$ до ts коллекторный ток /к и выходное напряжение U2 остаются неизменными, и данный этап пере- ходного процесса называют этапом рассасывания. Длительность этапа рассасывания f —t,. — =г„ 1п-^з----= th In " ° " <?3-QrP ^62Tu ~ ^61th •/бнтР T, ;п^зап---S S3an - 1 (4.17) где /б2//б.н = 5зап— коэффициент запирания. 6. В момент /в транзистор переходит в активный режим и от уровня Qrp заряд базы экспоненциально с постоянной време- ни Тр уменьшается, стремясь асимптотически к <?4='Гр/б2. При этом синхронно уменьшается ток коллектора iK и начинает нарастать выходное напряжение. Данный этап, называемый этапом выключения, заканчивается в момент /7, когда достигает- ся уровень Q (I) « 0. Длительность этапа выключения 'вЫК=/, - /в = т₽ in = т₽ 1 п = <?4 7б2 Тр Q ____ 1 = тэ1п_1|!-----, ' (4.18) ’-'зап В момент /7 транзистор переходит в режим отсечки, резко возрастает его входное сопротивление, ток базы устанавливается равным 1б(/) = — /к0, а ток коллектора — iK (/) — 1кй. 7. Продолжается нарастание выходного напряжения U2(t), связанное с зарядом через коллекторное сопротивление /?к экви- валентной емкости нагрузки Со = Сн -ф- Ск б -f- См, где Сн, Ск б, С— емкости нагрузки, коллекторного перехода и монтажа. Дли- тельность заднего фронта яз Зтзар = 3RKC0. В случае чисто ак- тивной нагрузки и незначительной емкости монтажа (См » 0) мож- но считать /ф «гГвык, Анализируя зависимость длительности разрешающего времени 7раз транзисторного ключа от параметров его компонентов и управ- ляющих сигналов, можно сделать следующие выводы: /раз тем меньше, чем меньше Тр транзистора, т.е. чем больше граничная частота усиления fa = (Р -ф- 1 )/(2лтр); с ростом коэффициента на- сыщения транзистора уменьшается длительность /вкл, растет время рассасывания /р, а длительность выключения /вык не изменяется; длительности рассасывания /р и выключения 7выктем меньше, чем больше коэффициент запирания $зап. Следовательно, минимум длительности /раз можно полу- чить с помощью транзисторов требуемого частотного диапазона и оптимального выбора управляющих ключом уровней сигналов и Ц, Если тем не менее минимальное ?раз больше допусти- мого, необходимо использовать схемотехнические методы фор- сирования переходных процессов в транзисторных ключах. Ключ на биполярном транзисторе с нелинейной обратной связью. Длительность этапа включения транзистора ?вкл можно 3 274 65
, II уменьшить, подавая на базу больший отпирающий ток. Согласно выражению (4 15) /вкл при этом уменьшается, но вследствие роста коэффициента насыщения S одновременно растет дли- тельность этапа рассасывания <р. В результате несмотря на дополнительные потери мощности быстродействие ключа не увеличивается. Одним из выходов в данной ситуации является исключение режима насыщения транзистора и обеспечение тем самым переключения его рабочей точки между активным режи- мом п режимом отсечки. На рис. 4 13,а показана принципиальная схема транзистор- ного ключа, в котором использована нелинейная обратная связь (НОС) через диод VD (параллельная отрицательная обратная б Рис. 4.13 связь по напряжению). Глубина такой НОС зависит от режима работы днода: если диод VD заперт, то влиянием обратной связи на работу ключа можно пренебречь. Если же диод VD открыт, то через его малое сопротивление гд реализуется глубокая обрат- ная связь и коэффициент передачи напряжения в схеме К°и‘с = —Гц/Rf, —> 0 гд R6 и потенциал коллектора транзис- тора фиксируется. На рис. 4.13,6 показаны временные диаграммы переходных процессов при подаче на вход ключа положительного импульса. До момента на входе ключа действует низкий уровень (У® сигнала, транзистор VT заперт, на его коллекторе поддерживает- ся высокий потенциал l/2, который обусловливает обратное сме- щение диода VD. В момент входной сигнал скачком нарастает от нулевого до единичного уровня (У\ Через <зд>вкл в мо- мент открывается эмитгерный переход и в базе транзистора устанавливается ток базы 1&т1 ~ [^1^2 — п2 (^б + ^i) ~ Uo где В ~ + ^1^2 + #2*6 "Ь + ^бГб> ^б‘ 66
По мере нарастания тока коллектора 1К потенциал коллек- тора иг падает, одновременно уменьшается запирающее напря- жение на диоде VD — ~ ^2 = Ч) + Чт/б + 1 ~ ^2> (Ч + ^б) , Ч..п/6-ЧА и] где Iim- В — R2r6 + В ~ R6 + /?! ~ входной ток до момента отпирания диода. В момент времени t3, когда потенциал коллектора оказы- вается близким к напряжению насыщения, диод VD открывает- ся и входной ток 11т перераспределяется между диодом VD и ре- зистором R1: ток резистора R1 уменьшается на величину прира- щения тока диода Д7д. Поскольку ток диода замыкается через коллекторную цепь транзистора при практически постоянном потенциале коллектора, то коллекторный ток с момента t3 на- растает на величину Л/д. Потенциал коллектора открытого транзистора отличается от потенциала U* на величину напря- жения на открытом диоде. Если принять его равным пороговому напряжению диода 7/Од, то приближенно (так как не учитывается небольшое изменение напряжения U* после отпирания диодт) напряжение на выходе - иол = Uo + /бт1г6 + 71пЛ - (/Од. В момент tt устанавливаются постоянные значения токов базы /б1, коллектора /'к и диода. По заднему фронту входного сигнала изменяется на проти- воположное направление тока через диод, восстанавливается его обратное сопротивление и к моменту tt диод выключается. Тем самым размыкается НОС и начинается рекомбинация заряда в базе током 1бт2 = ^1*2 - Uh. п2 («* + «1) ~ (*б + *1 + В дальнейшем переходные процессы в ключе с НОС аналогичны ранее рассмотренным в транзисторном ключе (см. рис. 4.12). В рассмотренной схеме отсутствует задержка выключения из-за избыточного заряда базы транзистора, но имеет место процесс рассасывания носителей, накопленных в диоде. Поэтому на практике для реализации НОС выбирают быстродействующие импульсные диоды или диоды Шотки, работающие без накоп- ления заряда Длительность этапов включения н выключения транзистора в схеме с НОС определяется отпирающим 7Sml и запирающим 16т3 токами базы, которые в свою очередь зависят от сопротивлений резисторов R6, Rv R2h уровней напряжений 1/J, U[, UK п2. Выходное напряжение (7° также зависит от параметров вход- ного сигнала, что в переключательных схемах нежелательно. Схема, в которой резистор R1 заменен диодом VD2, показана на рис. 4.14, а. В этой схеме при отпирании диодов VD1, VD2 на коллекторе транзистора фиксируется потенциал ~ + ^од >— гДе Uо — пороговое напряжение транзистора, t 3* 67
и ^од2 ~' пороговые напряжения диодов VD1 и VD2 соответственно. Напряжение U°2 незначительно превышает напряжение на насы- щенном транзисторе и не зависит от параметров входного сигнала. Если в качестве диода VD1 использовать диод Шотки,име- ющий весьма малое пороговое напряжение (/Ош «0,1 В, то диод VD2 в цепи базы транзистора не требуется (рис. 4.14,6), Диод Шотки,; и коллекторный переход транзистора включены параллельно, но благодаря тому что пороговое напряжение диода (Л>ш существенно меньше порогового напряжения коллекторного перехода (для кремниевого транзистора UOk~ 0,5...0,7 В), диод Шотки открывается раньше и тем самым предотвращает насыщение транзистора. В открытом состоянии на коллекторе транзистора устанав- ливается потенциал который можно определить по эквива- Рис. 4.14 лентной схеме ключа (рис. 4.14,в): (7°= /бг6+ — Ц)ш- На- пряжение (^2 мало (десятые доли вольт), не зависит от входного сигнала и сопротивлений в цепи базы и коллектора. Другим важ- ным достоинством схемы (рис. 4.14,6) является ее высокое быстро- действие. диод Шотки работает без накопления заряда, поэтому отсутствует этап восстановления обратного сопротивления диода. Достоинства транзистора с коллекторно-базовой связью через диод Шотки привели к созданию монолитной структуры диод Шотки —биполярный транзистор, который изготовляется в еди- ном технологическом процессе, называется транзистором Шотки (рис. 4 14,а) и широко используется в интегральной схемо- технике Электронные ключи на полевых транзисторах. Для постро- ения электронных ключей можно использовать полевые транзисторы: а управляющим р-п-переходом, с изолированным затвором и встроенным или индуцированным каналом. В циф- ровой схемотехнике наибольшее распространение получили по- левые МДГЬтранзисторы (металл-диэлектрик-полупроводник) с индуцированным р- или п-каналом. На рис. 4 15,а, б показаны стоковые и стоко-затворные ВАХ МДП-траизистора с индуцированным n-каналом. Если на- пряжение (/, ,,< (7пор, то канал для переноса зарядов не инду- цирован и транзистор заперт. Если (/3 и> (7110р, то в подза- творной области индуцируется n-канал, через него протекает @8
ток, определяемый напряжением С/сн между выводами канала, тпанзистор открыт и его рабочая точка находится в триодной либо пентодной области. На рис. 4.15,в приведено условное гра- фическое изображение МДП-транзистора с индуцированным ка- налом п-тнпа. <'/ Ог’и‘п иСц Un и}1 f 5 Рис. 4.15 а На рис. 4.16,а показана схема простейшего ключа на МДП- транзисторе, включенном по схеме с общим истоком и с линей- ной стоковой нагрузкой /?с. Если нагрузкой ключа является активное сопротивление Ra, его можно, воспользовавшись соот- ношениями для эквивалентного генератора, включить в экви- Рис. 4.16 Статический режим такого ключа определяется нагрузочной линией на семействе стоковых характеристик (рис. 4.15,а). При низком уровне входного сигнала < С7пор МДП-транзистор находится в режиме отсечки и поскольку ток утечки через за- крытый канал /с-весьма мал (/0 ут<10’° А), можно считать высокий уровень выходного сигнала U\ = Un п. Потребление энергии от источника (/н. п в закрытом состоянии ключа Р„от = 0. При высоком уровне входного сигнала £/} > £/пор в транзисторе 69
индуцирован канал и через него протекает ток определяемый точкой пересечения нагрузочной линии со стоковой характеристи- кой С = / ((/„ „)| ,. В зависимости от величины входного С ' ' С» п' *f[ — 111 и 3. I1“U 1 напряжения Ult напряжения питания Ua п и сопротивления^ рабочая точка транзистора оказывается в «триодной» области (крутых участков стоковых характеристик), слева от линии ^с. и ^з. и ^пор’ (4.19) представляющей собой геометрическое место точек nepein6a стоковых характеристик (область 1 на рис. 4.15,о) либо в «пен- тодной» (область II пологих участков на рис. 4.15,о), справа от линии (4.19). На выходе ключа устанавливается низкий потен- циал ^^и.п-АЛ- (4.20) где /с — ток стока, определяемый графически из рпс. 4.15, а. Для аналитического определения рабочей точки открытого тран- зистора (<7С_ н— /с = /с) необходимо совместно решить урав- нение (4.20) и одно из аппроксимирующих стоковые характеристики уравнений [27] для триодной области: ic = v [(Д3_ н — I/ ) Uz н — — 0,5Ц: J или для пентодной области: ic ~ 0,5v (U3 н—(/пор)2, где v — удельная крутизна стоко-затворной характеристики. Переходные процессы в ключах на полевых транзисторах обусловлены переносом носителей с подвижностью р через канал длиной L и перезарядом межэлектродных емкостей транзистора (сток затвор Сс 3 сток — исток Сс_ и), а также емкости нагрузки Са и паразитной емкости монтажа См. Время переноса носителей через канал /пср = 2,2Р/(рС'сл)), где для электронов подвижность рп = 0,04 м2/(В • с.), а для дырок — рр = 0,02 м2/(В • с.), благодаря чему п-канальные МДП-транзисторы обладают большим быстродействием. При длине канала L порядка единиц микрон и напряжении Uc н порядка десятков вольт /пер весьма мало и имеет порядок 10-9 с. Поэтому определяющим быстродействие ключей на МДП-тран- зисторе является процесс перезаряда межэлектродных и внеш- них емкостей транзистора. На рис. 4.16,6 показаны временные диаграммы идеализи- рованного входного сигнала U^t) и выходного сигнала <72(/). Скачок входного сигнала в момент ti обусловливает синфазную помеху 6и\ на выходе за счет передачи части входного сигнала непосредственно на выход через емкостный делитель напряжения из емкости Сс 3 и емкости Со, включающей в себя емкость сток- исток Сс_ н, емкость нагрузки Са и монтажа См: б^ = (1/1-(/?)сс.3/(сс.3 + с0), где Со = Сс. и + См + Си. Далее емкость Сп разряжается, а емкость Сс. 3 перезаря- жается через открытый транзистор и стоковый резистор Ra. 70
В основном скорость процесса на данном этапе определяется проводимостью открытого транзистора, выходное напряжение экспоненциально падает с постоянной времени разряда [92] (Со + Сс. 3)/?с Т₽~ v (1/и. п-t/nop)/?c+ 1 • (4.21) Тогда длительность отрицательного фронта выходного сигнала (интервал времени от до t3, когда сигнал падает иа 0,9 — — U°2)) можно оценить, как По заднему фронту входного сигнала в момент t3 формируется синфазный выброс ~ 6Ul2. Затем транзистор быстро (единицы наносекунд) запирается и далее происходят заряд эквивалентной емкости С„ и перезаряд емкости Сс , который протекает по экспоненциальному закону с постоянной времени заряда: т3 = = (Со -|- Сс э) /?с. Соответственно длительность положительного фронта выходного сигнала ~ Зт3 = 3RC (Со + Сс 3). С позиций интегральной технологии рассмотренный ключ обладает существенными недостатками: сложно в едином техно- логическом цикле изготовлять транзисторы и высокоомные (сто- ковые — /?с) резисторы; велико потребление энергии в открытом состоянии Р° — иг /Р . пот и.п Первый из недостатков устраняется в интегральных схемах заменой линейного резистора /?с нелинейным, в качестве кото- рого используется МДП-транзистор в двухполюсном включении (рис. 4.17,а) с закороченными затвором н стоком. Для транзи- стора VT2 £/сн2= U.M,. Если на семействе стоковых характе- ристик транзистора VT2 построить линию перегибов, разделя- ющую пентодную и триодную области (рис. 4.17,6 — кривая/), для которой справедливо выражение (4.19), и сместить ее по оси напряжений на величину С7пор, получим нелинейную зависи- мость — ВАХ МДП-траизистора в двухполюсном включении 71
(рис. 4.17,6 — кривая 3). На этом же графике приведены ВАХ нагрузочного транзистора VT2 при подаче на его затвор произ- вольного постоянного напряжения (/н< п2 (кривая 2 — если Uw П1 < < Uv. п2 < Uv. п1 + ^пор- кР“вая 4 -еСЛИ ^н. п2<(/и. п1)- ДЛЯ обеспечения ВАХ, близкой к линейной, в качестве нагрузочных изготавливают транзисторы с малой крутизной стоко-затворной характеристики и минимальным ^пор2. Построим ВАХ нелинейного двухполюсника на семействе стоковых характеристик переключательного транзистора VT1 как линию его нагрузки (рис. 4.17,в). Это позволяет графически определить уровни выходного напряжения ключа в выключен- ном и включенном U°2 состоянии, а также ток 1С открытого транзистора VT1 с нагрузкой VT2. Заметим, что в закрытом состоянии, когда оба транзистора закрыты, высокий уровень и\ определен не строго, так как зависит от случайных сопротив- лений утечек транзисторов VT1 и VT2: ^2 — ^и. П1Яут1/(Яут1 + Ryri) и может изменяться в диапазоне (/и> П1 > U\ > U„ П1 — Ппор2. Рассмотренная схема ключа более технологична для интеграль- ной схемотехники, но имеет высокое потребление == ^и. п1^с> когда транзистор VT1 открыт. Уменьшать мощность Рпот простым увеличением сопротивление /?0 можно лишь до определенных пре- делов, определяемых соотношениями для максимально допустимых длительностей фронтов и Очевидно, энергопотребление электронного ключа можно уменьшить, если в качестве нагрузки использовать элемент с управляемым внутренним сопротивлением, который при запирании транзистора V77 должен иметь минималь- ное сопротивление, а при отпирании — максимальное. В схеме на рис. 4.18,а в качестве нагрузки п-канального транзистора VT1 использован р-канальный транзистор VT2, управляемый по цепи затвора входным сигналом иг. В силу симметрии схемы каждый из транзисторов представляет собой управляемую нагрузку для другого транзистора. Разные типы проводимости при управлении одним и тем же сигналом U± обеспечивают взаимно противоположные режимы работы. По- 72
этому говорят, что транзисторы в данной схеме дополняют друг друга, образуют комплементарную структуру- д Вид статической передаточной характеристики комплементарной структуры зависит от соотношения напряжения питания Uu. п и пороговых напряжений л-канального (^Тор1) и Р-канального (tW транзисторов. Если (/„. п > (/пор1 + | <7пор2 |, то переда- точная характеристика (рис. 4.18, в) содержит следующие харак- терные области. 1. U3 Hi = ^i<^nopi — транзистор КТ/ в режиме отсечки, |^3. и21 = — »1 ^порг! — транзистор VT2 в триодном режиме. Выходное напряжение определяется как результат деления напряжения источника питания между большим сопротивлением утечки запертого транзистора VT1 /?ут1 = 10s.,. 1012 Ом и малым сопротивлением VT2 в триодном режиме гт{2~ Ю2 Ом, поэтому на выходе устанавливается высокий уровень = Uн п/?уг1/(/?ут1-{- _|. rj2) ss t/и.п- Типичное значение высокого уровня I/* = 0,9991/н. п- Потребляемый от источника питания ток мал /ЙОТ = 1/и> п/(^ут1 + + Г;2) ~ ^и, п//?уТ1. II. Опор1 <1/1<1/п т2; ^п. т2 — граничное напряжение пере- хода транзистора VT2 из триодного режима в пентодный. В этой области VT1 работает в пентодной, a VT2—в триодной об- ласти характеристик. Комплементарная пара находится в усили- тельном режиме с коэффициентом передачи напряжения Ку = = — /Ч [Гуп | где Гу — дифференциальное сопротивление стока транзистора ИТ/ в пентодном режиме, р1(2)—статический коэф- фициент усиления транзистора КТ/ (КТ2). Ку мал, так как rj2<^ < Ток потребления /пот нарастает. III. 1/п т2 < I/i < Un ti, Un Т1—граничное напряжение пере- хода транзистора VT1 из пентодного режима в триодный. Оба транзистора находятся в пентодном режиме и обеспечивают мак- симальный коэффициент передачи напряжения Ку = —(Pi+PsJX X [г"] ||г"2). Ток потребления продолжает нарастать к середине области III до величины Icl = 1с2 = Ic max (Рис- 4.18, б, в) н затем с ростом ток потребления начинает уменьшаться, так как транзистор VT2 от значения UT = 0,5(7и< п закрывается быстрее, чем открывается VT1. Iv- ^и. Т1 < < УИ. П~ I ^поР2 I — транзистор VT1 из пен- тодного переходит в триодный режим, a VF2 остается в пентодном. Коэффициент передачи напряжения меньше, чем в области III, /(у = — ifn^j й с рОСтом L/1 уменьшается, так как умень- шается дифференциальное сопротивление Гц транзистора КТ/ в триодном режиме. Ток потребления /пот уменьшается за счет запирания транзистора VT2. V. I/, > Ulh п — | (/пор21 —- транзистор VT1 находится в триод- ном режиме, транзистор VT2 — в режиме отсечки и через его опротивление утечки /?ут2 течет весьма малый ток. Выходное
напряжение ключа U2 = Un. aTitl(R.yr2 + г’/) -» О* Типичное значе. ние низкого уровня на выходе 1/^ = 10'4Уи> п. Ток потребления от источника питания ничтожно мал А1от = Uк. п/(^ут2"Ьril) ~ ^и. п^ут2' При использовании источника питания (/н п<6/пор1+ I Цюрг I области II, III, IV передаточной характеристики (рнс. 4.18,в) смыкаются и остаются только области I и V, для которых все сказанное выше остается справедливым. Появляется зона пере, крытая областей I и V, в которой оба транзистора находятся в режиме отсечки и уровень выходного сигнала определяется сопротивлениями утечки запертых транзисторов ^в^И.Ат1/(Яут1 + *ут2)> Рис. 4.19 причем /?ут1 и RyTi изменяются в довольно широких пределах по случайному закону. Неопределенность в зоне перекрытия устраняется при подключении внешней нагрузки. Переходные процессы в ключе на комплементарных МДП- транзисторах определяются перезарядом межэлектродных емко- стей транзисторов VT1, VT2, а также емкости нагрузки Си И паразитной емкости монтажа См (рис. 4.19). Пусть до момента Ul — < Unopl. Тогда транзистор VT1 заперт, a VT2-— в триодном режиме. На выходе ключа имеем высокий уровень сшнала U\ = Uu п, емкости С'н, С^, С^н заря- жены, а С", С", С"и — разряжены. Скачок входного сигнала t/j в момент ti обуславливает синфазную помеху на выходе - t/?)C0> 3/(С-0 + Со"), (4.22) гДе Ссз — Ссз1 + Ссз2> С0 ~ Сои1 + См + Си> С0 = Сси2 + См + Если t/J > UK>п — | I/nop21. то транзистор VT2 оказывается запертым, a VTJ открыт и его рабочая точка по мере уменьшения выходного потенциала перемещается из пентодной области в три- одную. Скорость спада выходного напряжения определяется раз- рядом емкости С'о , зарядом С„ и перезарядом емкости С30 через открытый транзистор VT1, дифференциальное сопротивление ко- торого гtJ по мере уменьшения потенциала U2 также уменьшается. Г4
ПОТОМУ функция изменения U2 (/) на рис. 4. 19, б отлична от поэтому ^ьной и длительность отрицательного фронта выходного сигнала можно определить с помощью соотношения [92] п f 2(7пор1 (. 0-^и.п , *Ф ~~ ^r‘J L ^и. п ~ ^пор! ' У и. п ~ ^пор1/ + 1п(20 ^и- -П-Р1 )], (4.23) \ ^и. п / J где С = Со + со + Сзс По заднему фронту входного сигнала в момент t2 на выходе ключа также формируется синфазная помеха $U2, амплитуда которой определяется из уравнения (4.22). Транзистор VT1 переходит в режим отсечки, транзистор VT2 — сначала в пен- тодный а затем по мере нарастания выходного напряжения Ut (уменьшения напряжения Uc a^ = U2 — Ua п) —в триодный режим. Положительный фронт выходного сигнала также формируется по закону, отличному от экспоненциального и определяется соотно- шением [92J ю_с.п 2 1 ^Р2 1____R ______0,Шил?___ , “ Сг‘'2 С/и. п — I ^пор2 I L ^и. п - I ^пор2 I + + ln(20-^^^-l)l. (4.24) ' ^И. П / Ток потребления гпот(0 также связан с перезарядом эквива- лентной емкости С, поскольку сквозной ток через оба транзи- стора при практически мгновенном задирании одного из них можно не учитывать. Ключи на комплементарных МДП-транзисторах (КМДПТ) обеспечивают высокое быстродействие при весьма малом энер- гопотреблении, которое зависит от частоты переключения. Они обеспечивают максимальное использование напряжения пита- ния ((?2— UK п) и сохраняют работоспособность в широ- ком диапазоне питающих напряжений. Наименьшим энергопо- треблением характеризуются ключи, питаемые от источника напряжения п < (7пор1 1(/пор2 в которых активная состав- ляющая тока потребления /пот а = 0. Малое энергопотребление позволяет использовать ключи на КМДПТ в качестве базовых элементов интегральных схем с высокой степенью интеграции. Отмеченные достоинства таких ключевых схем достигаются усложнением технологии их изготовления и увеличением стои- мости, ио ио мере совершенствования технологии ИМС эти не- достатки становятся все менее существенными. 4.4. ДИОДНО-ТРАНЗИСТОРНЫЕ ЛОГИЧЕСКИЕ ЭЛЕМЕНТЫ Диодно-транзисторные логические элементы (ДТЛ) имеют следующие достоинства: простоту схем, гибкость расширения Функциональных возможностей (объединение выходов в монтаж- ное ИЛИ, увеличение количества входов подключением внеш- них диодов и т. д.). 75
На рис. 4.20,а показана схема типичного «-входового эле- мента ДТЛ, который состоит из диодного ключа (диоды VD11,,. VDlm, резистор R1) и последовательно с ним связанного трап! зисторного ключа (VD2, VD3, R2, R3, транзистор VT). Диодный ключ в положительной логике реализует логическую функцию И, а транзисторный ключ помимо усиления сигнала с выхода диодного ключа по мощности и формированию требуемых уров- ней ло!ического «0» и «!», выполняет также логическую функцию инверсии НЕ. Как видно из таблицы истинности для случая т ~ 2 (рис. 4.20,6), такой элемент реализует логическую функ- цию И—НЕ у = ху /\ хг. Аналогично, для произвольного т т У = A xi. <=1 (4.25) Условное графическое обозначение ДТЛ-элемента, реали- зующего функцию (4.25), показано на рис. 4.20,в, где вход р обеспечивает возможность подключения внешних диодов и рас- ширения функций элемента по И. Особенностью электрической схемы рис. 4.20,а является использование в базовой цепи транзистора диодов VD2, VD3, которые предназначены для увеличения порогового напряжения транзисторного ключа и предотвращения срабатывания его от помех входных сигналов на уровне логического «0». Резистор R2 включается между базой и общей шиной (либо подключается к источнику запирающего смещения) и обеспечивает протекание обратного тока коллекторного перехода /к0 в режиме отсечки, транзистора. Отсюда вытекает ограничение на величину сопро- тивления < ^0т/^к0 max’ Где ^0т> ^кО max — поРоговое напРя" жение транзистора VT и обратный ток коллектора при макси- мальной температуре окружающей среды. Если хотя бы на одном из входов элемента установился низкий уровень входного сигнала U0^. соответствующий входной диод VD1; открыт и через него протекает ток от источника пита- ния UKJl, ограниченный резистором R1. Напряжение на выходе диодного ключа (вывод р) Uопределяется доминирующим (минимальным) входным сигналом U°* и превышает его на вели- чину падения напряжения на открытом диоде Ад1 = УОд1 /дгд; Ur, = <4* + ^Д1 = пйп. Wu} + </ад + /дГд. i=l, т К
Если напряжение URl удовлетворяет условию UR, < + Uo^ + , у ИЛИ i/j* = min {^irl < иод2 + ^одз + и от - У0д1 » и0ц + Т(/7=Упор, где i/0A2> Ц)Дз. ^0т“ пороговые напряжения от- ветственно диодов VD2, VD3 и транзистора VT, то транзистор заперт и яа его коллекторе поддерживается высокий потенциал у _-(/’ = (/и п—/к0/?3. В случае когда ни на один из входов не подается низкий уровень U'i (т. е. либо на входы подается ысокий уровень, либо входы не подключены), ток резистора R1 переключается через диоды VD2, VD3 в базу транзистора, который открывается. Сопротивление Rt выбирается так, чтобы транзистор был насыщен в напхудших условиях (при р = ₽min и максимальном токе нагрузки *2 = ,2тах)' ^н. п ^пор ^и. п ^пор ^и. п ' Цтор) РпНп^З 4 ~ 5/б.н - 3((Уи.п + /?з1-2тах) Резисторы R1 и R3 в значительной мере определяют потреб- ляемую элементом энергию Рпот от источника питания. Они влияют и на быстродействие схемы, так как из выражения (4.26) коэффициент насыщения транзистора ^зРинп (^и. п ^прр) #1 Wh. п + ^3(2max) Рис. 4 21 и в соответствии с соотношениями (4.8), (4.15) и (4.17) опреде- ляет длительность задержки включения Гздвкл, время вклю- чения /вкл, длительность процесса рассасывания tp, а также длительность положительного фронта /ф« 3CttRs. Диоды VD2, VD3 помимо увеличения порогового напря- жения должны обеспечить быструю рекомбинацию заряда в базе транзистора VT под воздейстсием входного сигнала. Для этого заряд диодов VD2, VD3 должен рассасываться дольше, чем заряд в базе транзистора, т. е. большое обратное сопротивление диодов гобр должно восстановиться после запирания транзи- стора. На рис. 4.21 показаны статические вольт-амперные характе- ристики элемента ДТЛ (см. рис. 4.20): а — входные, б — пере- даточные, в — выходные. 77
Входная характеристика ИМС обычно снимается при От- ключенных остальных входах. На рис. 4.21,а показана зависи- мость от входного напряжения Uy на i-м входе тока данного входа iy и тока 1Д диодов VD2, VD3, который приближенно ра- вен току базы транзистора. На входной характеристике можно выделить следующие области: I. (/1;<(/пор— входной диод открыт, через него замыкается ток резистора R1, между входным напряжением и током имеет место линейная связь i1C = гд), где — ^н. п>/(*1 +^д) — справочный параметр ИМС стандартных серий. Ток i соответствует обратному току закрытых диодов, поэтому весьма мал. II. Uy aiUпор—происходят запирание входного диода и од- новременно отпирание транзистора, поэтому входной ток резко уменьшается с ростом Uy, а ток гд соответственно нарастает за счет перераспределения тока резистора R1. III. Ult > I/ — входной диод заперт, поэтому входной ток «логической 1» Z| = /Од весьма мал, ток диодов VD2, VD3 макси- мален и не зависит от величины напряжения на входе. Передаточная характеристика элемента — зависимость вы- ходного напряжения 1/2 от доминирующего входного сигнала £/[ (рис. 4.21, б). Вид передаточной характеристики зависит от типа и параметров нагрузки. Пусть нагрузкой ДТЛ-элемеита являются входные цепи аналогичных элементов и количественно характеризуются коэффициентом разветвления Краз. Соответ- ственно входным на передаточной характеристике также можно выделить три области. В области Z, где Ut < t/nop, транзистор остается запертым и на его выходе поддерживается постоянное напряжение ^=УИ.п-«з(/ко + '1Краз). где Zj — входной ток «логической 1» каждой из нагрузок. В области ZZ « 1/пор, транзистор находится в активном режиме и схема обладает довольно большим коэффициентом уси- ления по напряжению. Коэффициент усиления оконечного кас- када зависит от параметров нагрузки. В случае Краз¥= 0 при достижении на выходе области ZZ входных характеристик нагру- зочных элементов дифференциальное сопротивление нагрузки резко уменьшается, это обусловливаетуменыпение коэффициен- та усиления и иа передаточной характеристике наблюдается соответствующий излом. В области 111 транзистор насыщен и выходное напряжение «логического 0» не зависит от U*, но зависит от параметров на- грузки: Выходные характеристики ДТЛ-элемента— зависимость вы- ходного тока (тока нагрузки) i2 от выходного напряжения 6/2 при U* =в const. На рис. 4.21, в семейство выходных характеристик 78
пре дставлено двумя граничными характеристиками для U* < и U* > Unop. При U* U транзистор заперт и выходная (характеристика по существу описывает ВАХ резистора R3, под- ключенного к источнику питания UH. п. При U* > (/пор транзистор насыщен и выходное сопротивление ДТЛ-элемента определяется как /?°ых = гк> „ || /?э « гк_ и. С ростом тока (втекающего) нагрузки уменьшается коэффициент насыщения и при достижении значения Л = ₽ п — и0ц1 — U0rt — U^/Ri - ,А транзистор из насыщения переходит в активный режим и его выходное сопро- тивление возрастает: Рис. 4.22 где гкэ— выходное сопротивление транзистора в активном ре- жиме. Как видно из выходных характеристик, нагрузочная спо- собность ДТЛ-элемента в статическом режиме зависит от сопро- тивления /?8 и коэффициента усиления транзистора по току Р- Чем меньше сопротивление /?а, тем больше и Краз, но тем выше энергопотребление элемента. Сопротивление Д3 определяет скорость перезаряда нагрузочной емкости Сн и длительность фронта /ф. Из других базовых ИМС ДТЛ-типа необходимо выделить элемент, выполняющий функцию инверсии у = х (НЕ) и эле- мент ИЛИ—НЕ. Первый из них — частный случай элемента И—НЕ при т = 1. Схемные реализации элемента ИЛИ—НЕ показаны на рис. 4.22. Схема на рис. 4.22,о проще, но обладает существенным недостатком: степень насыщения транзистора S, а следовательно, и быстродействие элемента зависит от уровня «логической 1» ll\ на входе, который может изменяться по мно- гим причинам. Такую зависимость можно устранить усложне- нием схемы (рис. 4.22,6). На рис. 4.22,в показано условное гра- фическое изображение элемента т ИЛИ—НЕ. Нагрузочная способность ДТЛ-элемента увеличивается при использовании на его выходе двухтактного усилителя мощности. На рис. 4.23,а, б на примере ДТЛ-элемента двухступенчатой логики И—ИЛИ—НЕ показана схема усилителя мощности, ча- сто используемого в ИМС на биполярных транзисторах. Здесь первый каскад (VT1) имеет два фазе инверсных выхо:а, которые управляют режимом транзисторов оконечного каскада на состав- 79
ном транзисторе VT2, VT3 и транзисторе VT4. Если домини- рующий входной сигнал //* = max {min {//n, t/!2}, min{{/n, £/ц}} < У°Ор> то транзисторы VT1 и VT4 заперты, a VT2, VT3 открыты и на выходе формируется высокий уровень: ^2 = ^и. п ^к01^з ^0т2 Таблица 4.1 Тип ИМС Функциональное назначение ^зд. р> нс» не более ^ПОТ» м^» не более УГО (рис. 4.24) К 555: /“<1 — 0,361 мА; /{<20 Ua2 < 0,5 В; U\ > мкА; /2 2,7 В < 0,1 мА; 141/ЛА7 Два логических элемента 4И—НЕ 20/32 2,2 а ЛА2 8И—НЕ 35 1,1 б ЛАЗ 2И-НЕ 20 4,4 в ЛА4 ЗИ-НЕ 20 3,3 г ЛАЭ 2И—НЕ с открытым кол- лекторным выходом 32 4,4 в ЛАЮ ЗИ—НЕ с открытым кол- лекторным выходом 32 3,3 г ЛЕ1 2ИЛИ—НЕ 20 5,4 д ЛИ1 2И 24 8,8 е ЛИ2 2И с открытым коллек- торным выходом 35 8,8 е ЛИЗ зи 24 6,6 ж ЛИ6 4И 24 4,4 3 ЛЛ1 2ИЛИ 22 9,8 и ЛН1/ЛН2 НЕ 20/32 6,6 к ЛР4 4—4И—2ИЛИ—НЕ 20 1,3 Л ЛР11 2—2И—2ИЛИ—НЕ, 3—ЗИ—2ИЛИ—НЕ 20 2,8 м ЛП5 «Исключающее ИЛИ» 30 10 н 80
Если же У*>^пор> транзисторы VT1 и VT4 насыщены, a VT2, УТЗ___в режиме отсечки. На выходе устанавливается низкий уровень Ui = hrK. и- в обоих состояниях сквозной ток через транзисторы VT2, VT3 и VT4 не протекает, так как в этой цепи е г 3 Рис. 4.24 всегда один транзистор заперт. Благодаря этому выходное сопро- тивление ДТЛ-элемеита в обоих логических состояниях мало, а энергопотребление схемы не возрастает. Сквозной ток через око- ечныи каскад может протекать в переходном режиме «логиче- кого 0» в «логическую 1» на выходе. Для его ограничения в схему лючен резистор R5. Нагрузочная способность таких элементов достигает Краз = 10 и выше. 81
В Табл. 4.1 приведены основные классификационные пара- метры ДТЛ-комбинацнонных элементов наиболее широко ис- пользуемых промышленных серий ИМС [33]. В графе УГО (ус- ловное графическое обозначение) приведена позиция чертежа корпуса на рис. 4.24, иа котором показан тип корпуса и функ- циональное назначение выводов ИМС. 4.5. ТРАНЗИСТОРНО-ТРАНЗИСТОРНЫЕ ЛОГИЧЕСКИЕ f ЭЛЕМЕНТЫ Простейший элемент транзисторно-транзисторной логики (ТТЛ) получают из ДТЛ-элементя (см. рис, 4.20,а) заменой груп- пы входных диодов VD1, а также диодов смещения VD2, VD3 (рис. 4.25, о) многоэмитгерным биполярным транзистором (МЭТ) о числом эмиттеров, соответствующим числу входов т (рис. 4.25,6). Статические характеристики схемы рис. 4.25,в Рис. 4.25 подобны характеристикам ДТЛ-элемента (см. рис. 4.21). Отли- чие состоит в изменении порогового напряжения ^пор *= ^к. н! + ^0т2 + (^И. п ~ ^Отг) ГУ %1» где (Ук В1— напряжение коллектор — эмиттер насыщенного МЭТ, — пороговое напряжение транзистора VT2. Умень- шение порогового напряжения влечет за собой снижение поме- хоустойчивости. Кроме того, входной ток при высоком уров- не входного сигнала заметно больше, чем в ДТЛ-элемепте, так как представляет собой ток транзистора VT1 в инверсном активном режиме. Схема базового ТТЛ-элемента промышленных серий, реали- зующая логическую функцию И—НЕ, показана на рис. 4.26,а. В системе положительной логики МЭТ VT1 с резистором R1 в цепи базы реализуют логическую операцию И, а двухтактный усилитель мощности на транзисторах VT2, VT3, VT5 (VT4 используется как диод) .выполняет функцию НЕ, обеспечивает формирование стандартных логических уровней выходного сиг- нала и согласование ТТЛ-элемента с заданной нагрузкой. Режим работы МЭТ определяется доминирующим входным сигналом U* = mlfl {Ды}, i=l, т, а также входным сопротивлением транзистора VT2. Если потен- циал базы транзистора VT2 U62 меньше порогового напряжения UM 82
(для кремниевых транзисторов Ug'я; 0,7 В), транзистор VT2 на- ходится в режиме отсечки, на его коллекторе поддерживается высокий потенциал (/к2 « п, а на эмиттере — низкий потенциал ys2 = Уб5 « 0. Поэтому транзистор VT5 также заперт, а тран- зисторы VT3, VT4 открыты (в активном режиме), но при отклю- ченной нагрузке ток через VT3, VT4 определяется обратным током коллекторного перехода транзистора VT5 /к0. Входное сопротив- ление транзистора VT2 велико и входной ток мал 7б, = —?ко2ш С увеличением потенциала Uб2> Uо2 транзистор VT2 переходит в активный режим, токи /к2 н /э2 увеличиваются, растет потен- циал эмиттера U3i и падает потенциал коллектора Uк2. Пока а потенциал Uэ2 недостаточен для отпирания транзистора VT2, его входное сопротивление ^вх2 = гб2 + (Ра + 1)(Ф®/Ай + «з) ^зРа [Фт/(^б2 ~ Ц>а) + И остается большим и входной ток /б2 = /к1 незначительно возрас- тает. В момент отпирания транзистора VT5 полное эмиттерное сопротивление /?вх5 = [гб5 + ф5 + 1) <рт//э5] || R3 и, следовательно, входное сопротивление транзистора VT3 ^вх2 = 652+ Фа + 0 (#3 II ^вхз) ж гб2 + гб5 (Ра + 0 резко уменьшается и соответственно увеличивается ток /дз = /к[. Резкое падение входного сопротивления, таким образом, про- исходит при i/62 = Упор fv U02 + Ua5 я> 1,4 В. Поэтому при любой комбинации входных сигналов, если U* < (/пор, ток базы МЭТ *61 ~ (^н. П- ~ ^OlV^l замыкается через один или несколько примосмещеиных змиттерных переходов МЭТ и поддерживает его в режиме насыщения. По- скольку напряжение коллектор — открытый эмиттер в режиме насыщения транзистора UK н « 0, можно считать, что 7762 = 8.3
+ (/к. т. е. входное напряжение усилителя (/б2 равно наименьшему из входных напряжений. Если (/* > б/пор, то все эмиттеры МЭТ смещены в обратном направлении, а коллектор — в прямом и МЭТ работает в инверсном активном режиме. В цепи базы протекает ток 4«^и.П-Увор-Ц)к1)/Я1. (£/Ок1 — напряжение на открытом коллекторе МЭТ), который опре- деляет входные токи «логической 1» /ц. Сумма входных токов т ^11 = 410/ == 4гр +1 ~ 4iaz> 1=1 где а/, 0/ — коэффициенты передачи тока МЭТ в инверсном ак- тивном режиме. Ток обеспечивает состояние насыщения тран- зистора VT2 с коэффициентом насыщения ^^П.П-^5) 6 ~ ^M^.n-f'nop-f'oKl) • На коллекторе насыщенного транзистора VT2 и базе VT3 имеем низкий потенциал, слагающийся из напряжения на открытом эмиттерном переходе транзистора VT5 и остаточного напряжения насыщения транзистора VT2 = U65 + UKt н2 « U65. Выходное напряжение U2 определяется напряжением коллектор — эмиттер транзистора VT5 и в его насыщенном состоянии пропор- ционально току нагрузки /2: ^ = <к.и5Л. где Гк. в5 — сопротивление промежутка коллектор — эмиттер насы- щенного транзистора VT5. Во многих реализациях ТТЛ-элементы содержат во вход- ной цепи защитные диоды VD1, которые предотвращают пробой эмиттерного перехода транзистора VT2 входными сигналами и помехами отрицательной полярности. При напряжении на входе —0,7В открывается соответствующий диод VD1, шунтирует вход и напряжение Уб2 не падает существенно ниже — 0,7 В. Статические входная (а), передаточная (б) и выходные (в) характеристики ТТЛ-элемеита И—НЕ показаны на рис. 4.27. Область I входной и передаточной характеристики ограни- чена изменением доминирующего входного сигнала в диапазоне 0 < Н*< 0,7 В. При Этом входное сопротивление линей- но и входной ток определяется выражением 4 = (U1 + ^1 — ^ип)/^1- Выходное напряжение остается неизменным и определяется выра- жением U1» = П - W(₽3 + 1) - и03 - им, (4.27) 84
-е ___абсолютное значение «вытекающего^ из элемента тока нагрузки. При UH п= 5 В, Zj= 0 из выражения (4.27) получим типовое значение единичного выходного уровня ТТЛ-элемента: = 5 — 2 • 0,7 = 3,6 В. Излом входной характеристики в точке А появляется при наличии в ТТЛ-элеменге защитных диодов VD1 на входе. Область II ограничена входными напряжениями Uo< < 2U0. Так как транзистор VT2 находится в активном режиме, входное сопротивление ИМС становится несколько меньше и определяется параллельно включенными сопротивлениями Ri и > Ri- Поэтому на входной характеристике в точке В наблюдается слабый излом. Рис. 4.27 Изменение выходного напряжения в области II обуслов- лено коэффициентами передачи фазоинверсного каскада на транзисторе VT2—KU2— —RJR3 и эмиттерного повторителя иа транзисторе VT3 — «1. Наличие области II снижает помехоустойчивость ТТЛ-эле- менга на низких уровнях входного сигнала, так как необходимо, чтобы (7®ом< уо- Эта область устраняется и помехоустой- чивость возрастает, если резистор R3 (рис. 4.26,а) заменить нелинейным двухполюсником на транзисторе VT6 (рис. 4.26,6). В этом случае область I входных и передаточных ВАХ расши- ряется до U*<.2U0. На рис. 4.27,а, б соответствующие ВАХ показаны штриховой линией. Область III входной и передаточной характеристик форми- руется при малых (десятки милливольт) приращениях в ок- рестности (7* = (7пор. На входной характеристике наблюдается переключение тока резистора R1 из входной цепи в цепь базы транзистора VT2. Крутизна передаточной характеристики в области III максимальна, так как все транзисторы ТТЛ-эле- мента находятся в активном режиме и коэффициент передачи напряжения максимален. В случае большой нагрузки элемента (/'раз= Ю) на уровне U3— (/пор наблюдается излом передаточ- характеристики, объясняемый той же причиной, что и в Л-элементе. 85
1 Область IV характеризует элемент во включенном состоя- нии. Выходное напряжение (/2 не зависит от входного, но за- висит от тока нагрузки. Выходные характеристики (2 = /2 (У2) (рис. 4.27, в) в зависн. мости от значения параметра U* = const покрывают область между граничными характеристиками, определенными для U* <(70 и U* > (/пор2. Если U* <(/„, то при токе нагрузки /2 = 0 на выходе формируется высокий уровень ll\ согласно выражению (4.27). При уменьшении выходного напряжения U2 растет «вытекающий» из ИМС ток, и ток /2 быстро нарастает (участок /), так как тран- зистор VT3 в активном режиме и его выходное сопротивление п гбз + ^2 мало: «вых = гэ4-(- гэ3+ рз j • При переходе транзистора VT3 в режим насыщения выходное со- противление возрастает (/?вых2 ~ /?2), крутизна характеристик уменьшается и остается постоян- ной вплоть до отрицательных на- пряжений (участок 2). Участок 3 при t/2<0 обусловлен влиянием паразитного диода коллектор—под- ложка транзистора VT5. Увеличе- ние U2 U\ приводит к запира- нию эмиттеров транзисторов VT3 4 выходной ток не зависит от U2 и при 72 = О на уменьшением (/2 и VT4, поэтому на участке ^2 = ^к05 "Ь Лог Если U* (/пор2,транзистор VT5 насыщен нем падает напряжение ~ 0,05 ... 0,1 В. С транзистор VT5 переходит в инверсный активный режим (учас- ток 5), а с увеличением U2 ток (2 нарастает (участок 6). При переходе транзистора VT5 в активный режим его выходное сопро- тивление резко возрастает, а ток |2 стабилизируется (участок 7). В диапазоне изменения входного параметра Un<Z (7*< 2(/0, что соответствует области II передаточной ВАХ, участки I и 2 выходной характеристики смещаются влево на величину напря- жения, соответствующую приращению Л(/2= Д1/*/?2//?3. и ог- раничены экстраполируемыми участками 3 и 4. В режиме мак- симального усиления ТТЛ-элемента (область III передаточной ВАХ) транзистор VT5 находится в активном режиме, поэтому выходной ток, соответствующий участку 4, нарастает вплоть до граничного значения (участок 7). Потребляемый ТТЛ-элементом ток от источника питания также зависит от доминирующего U* = 0 потребляемый ток irl0T и ограничивается резистором в области I (|]от уменьшается, В области II ток /пот тора VT2. В области III in0T входного сигнала (рис. 4.28). При обусловлен только входными токами R1 (см. рис. 4.26, а). С ростом U* так как уменьшается входной ток. нарастает за счет открывающегося транзис- досгигаег максимума, когда все 66
транзисторы элемента открыты. В области IV потребляемый ток. i определяется базовой и коллекторной цепью транзистора VT2 пот U — 3Ua U п и. п о н.п ^пот = /?! + R, + R3 • Следует отметить, что наибольший внутренний ток потреб- ления протекает в переходном процессе, когда транзистор VT3 отпирается, a VT5 запирается с задержкой на рассасывание за- ряда в базе. Для ограничения сквозного тока через оконечный каскад в коллекторную цепь транзистора VT3 включен рези- стор R4. Переходные процессы в ТТЛ-элементе обусловлены накоп- лением И рекомбинацией неосновных носителей в базах транзи- Рис. 4.29 сторов, а также перезарядом межэлектродных, монтажных и на- грузочной емкостей. На рис. 4.29,о показаны временные диа- граммы для входного и выходных импульсов при емкости на- грузки Сн= 300 пФ и сопротивлениях нагрузки Rn— 1 кОм и оо (рис. 4.29.6) Задержка включения на переднем фронте выходного импульса определяется крутизной фронта входного импульса 'зд. вкл = G - h = $ (С/„ор - иЪ/М - (/?). Отрицательный фронт выходного сигнала формируется в про- цессе разряда емкости нагрузки Са коллекторным током транзис- тора VT5, При /?н = оо U2 падает линейно во времени и дли- тельность фронта = t2-tl = (£/* _ (/’) Сн//к5 =((/* - U2) Си/ф6/б5). (4.28) где ^65 = nR3 U9 (R2 R3)]/(R2 • R3 + /?2гб5 + ^агбб) ИЛИ при Г65 « Rt, R3 : [65 « Ua< ; < 87 z
Длительность задержки выключения / ВЬ1К определяется крутизной отрицательного фронта выходного сигнала а также процессом рассасывания избыточного заряда в базе транзистора VT5-. _ ю , Z93 + Psz65 зд. вык г, ___,л ^ф1 + п / . > (4.29) и пор v 1 где тн5—среднее время жизни неосновных носителей в базе на- сыщенного транзистора VT5-, 7э3 = (U„. п~ 2t/0) (Р3 + 1)//?2- ток эмиттера транзистора VT3 на этапе рассасывания. Длительность выключения ТТЛ-элемента /ф2 определяется процессом заряда емкости нагрузки Сн через открытые транзис- торы VT3 и VT4: /ф2 л Зтзар = ЗСи/?вых, (4.30) где ^ВЫХ = Л64 + № + Гбз!/(₽3 + 1). Подключение сопротивления нагрузки приводит к умень- шению длительности фронта 'ф2, так как Лн образует дополни, тельную цепь заряда, одновременно увеличивается фроит tl° вследствие увеличения перепада выходного напряжения ДббР. Важнейшими классификационными параметрами промыш- ленных -серий ИМС являются длительности задержки распро- странения 4д. р и Ср- В случае ТТЛ-элемента, нагруженного на Сн, они определяются соотношениями (по уровням Дпор вход- ного и выходного сигналов): 10 01 ^ор-^ ^-^пор . ЗА- Р Z*l Ui-Ul + “ Р6/б5 ’ (4'3 } nJ — t/° С.р = 'зд. выв + <Ах1п ... . (4.32) U2 ипор Как видно из соотношений (4.28) — (4.30), увеличение быстродействия ТТЛ-элементов связано с уменьшением сопро- тивлений внутренних резисторов, что приводит к росту потреб- ляемой элементами энергии, причем произведение рпот. ср'зд. р. ер = 0.25 (<т + Р'от) С р +С р) ~ const характеризует в целом технологию ИМС. На рис. 4.30,а показаны схема логического элемента 2— 2И—2ИЛИ—НЕ и его условное графическое обозначение (рис. 4.30,6). Здесь логическая функция И реализуется много- эмиттерными транзисторами УТ г и VT1" аналогично рассмот- ренной выше схеме И—НЕ. Функция ИЛИ реализуется вклю- ченными параллельно транзисторами VT2' и VT2". Если хотя бы один из них открыт, через резисторы R2, R3 протекает ток, который создает для транзистора VT3 запирающий, а для VT4 отпирающий потенциал на базе и на выходе элемента устанав- 88 III
ливается «логический 0». Если же VT2' и VT2” одновременно ваперты, то на выходе устанавливается уровень и\. Количе- ство входов по И может быть различным по каждой из групп, ио Рис. 4.31 каждый из транзис- а Рис. 4.32 ИМС i обычно Коб и«:4. В частном случае, когда торов КП', VT1” имеет один эмиттер, получается элемент односту- пенчатой логики ИЛИ—НЕ. Количество входов (групп) по ИЛИ ограничено (Коб. илн С 4) соображениями быстродействия Я и температурной стабильности, так как параллельное включе- ние транзисторов VT2', VT2" приводит к увеличению эквива- лентной емкост ной нагрузки фа- зоннверсного каскада, а через резистор R2 протекает суммар- ный тепловой ток /к0 транзис- _ торов VT2. в схеме на рис. 4.30, о показаны выводы А, В, которые мож- использовать для подключения дополнительных внешних 89
Рис. 4.33 схем, расширяющих логические возможности элемента. Схема широко используемого варианта расширителя (экспандера) показана на рис. 4.30,в. Из схемных вариантов ТТЛ-элементов следует выделить элемент на транзисторах и диодах Шотки (ТТЛШ), схема кото- рого показана на рис. 4.31,а. Высокое быстродействие ТТЛШ- элемента достигается ненасыщенным режимом работы транзи- сторов. Благодаря этому можно увеличить ток /б5 и сократить в соответствии с выражением (4.28) длительность фронта tfy, 90
в результате уменьшается время задержки выключения ^ЗД1ВЬ;к. так как в выражении (4.29) исключается второе слагаемое. 4 При использовании составного транзистора VT3, VT4 согласно выражению (4.30) уменьшается длительность положи- тельного фронта /ф|> поскольку уменьшается выходное сопро- тивление в состоянии «логической 1» на выходе: D1 I _1_ _1 + 1 л %ых=У’эз + ''б4+ Рз+1 } 1 + +гэ4™ рзр4 ’ 91
Таблица 4.2 Тип ИМС Функциональное назначение *зд. р- ис> ие более Лют» М-Д» не более У го (РИС. 4ЛЗ) К155: /Jc |—1,6 | мА; 71 < 40 U°2 <0,4 В; (/’> мкА; /а < 50 мкА; 2,4 В ЛА1 2— 4И-НЕ 22 11 а ЛА2 8И-НЕ 22 6 б ЛАЗ/ЛА12 2И—НЕ 22 22 а ЛА4 ЗИ—НЕ 22 16,5 г ЛА6 4И-НЕ 22 21 а ЛА7 2И—НЕ с сикрытым кол- лекторным выходом —- 22 а ЛА8 2И—НЕ с открытым кол- лекторным выходом —- 22 0 ЛАЮ ЗИ—НЕ с открытым кол- лекторным выходом 45 16,5 г ЛА11/ЛА13 2И—НЕ с открытым кол- лекторным выходом 24/22 22/54 в ЛАЮ 2И—НЕ с открытым кол- лекторным выходом 45 71 в ЛЕ1 2ИЛИ—НЕ 22 27 ГЦЛ i/Nf ЛЕ2 4ИЛИ—НЕ с расширени- ем и стробированием 22 19 в ЛЕЗ 4ИЛИ—НЕ со стробиро- ванием 22 19 и ЛЕ4 ЗИЛИ—НЕ 15 26 Л ЛЕ5 2ИЛИ—НЕ — буферное устройство 12 57 к ЛЕ6 2ИЛИ—НЕ — магист- ральный усилитель 12 57 к ЛИ1 2И 27 33 м ЛИ5 2И с открытым коллек- торным выходом 120 65 н ЛЛ1 2ИЛИ 22 38 О ЛЛ2 2ИЛИ с открытым кол- лекторным выходом 35 68 п ЛН1/ЛН5 НЕ 22/23 33/51 р ЛН2 НЕ с открытым коллек- торным выходом 55 33 р ЛНЗ НЕ с повышенным кол- лекторным напряжением 23 51 р ЛН6 НЕ с тремя логическими состояниями выхода 37 77 с ЛР( 2—2И—2ИЛИ—НЕ 22 14 У ЛРЗ 2—2—2—ЗИ—4ИЛИ— НЕ с расширением по ИЛИ 22 9,5 ф ЛР4 4—4И—2ИЛИ—НЕ с расширением по ИЛИ 22 14 X ЛП5 «Исключающее ИЛИ» 30 т 92
Продолжение табл. 4.2 Тип ИМС Функциональное назначение *зд. р» НС’ не более 7пот’ мА- не более УГО (рис. 4.33) К531: /°<| — 2| мА; /J < 50 мкА; 7» <0,1 мА; (/г <0,5 В; и\ > 2,7 В ЛД1П/ЛА16П ЛА2П 4И—НЕ 8И-НЕ 5/6,5 7 18/44 10 а /а б ЛАЗП/ЛА12П ЛА4П 2И-НЕ ЗИ—НЕ 5/6,5 5 36/80 27 в/в г ЛА9П 2И—НЕ с открытым кол- лекторным выходом 7,5 36 в ЛА17П 4И—НЕ с тремя логиче- скими состояниями вы- хода 9 5,5 50 ц ЛЕШ 2ИЛИ—НЕ 45 ли» Jtv ЛЕ7П БИЛИ—НЕ 6 45 ч ЛИШ 2И 7,5 57 м лизп ЗИ 7,5 42 IU ЛН1П НЕ 5,0 54 р ЛН2П НЕ с открытым коллек- торным выходом 7,5 5,5 54 16 р ЛР9П 4—2—3—2И-4ИЛИ— НЕ н ЛР10П 4—2— 3—2И—4ИЛИ— НЕ с открытым коллек торным выходом 8,5 5,5 11 22 ы ЛРНП 2—2И—2ИЛИ-НЕ э ЛП5П «Исключающее ИЛИ» 10,0 75 т К533: /" < |—0,36| мА; l{ < 20 мкА; l\ <0,1 мА; Uг < 0,4 В; f/1^ 2,5 В ЛА1 4И—НЕ 20 2,2 а ЛА2 8И—НЕ 20 1,1 б ЛАЗ 2И—НЕ 20 4,4 в ЛА4 ЗИ—НЕ 20 3,3 г ЛАЭ 2И—НЕ с открытым кол- 32 4,4 в ЛЕ1 лекторным выходом 2ИЛИ—НЕ 20 5,4 ли» Jtv ЛИ1 2И 24 8,8 м ЛИЗ ЗИ 20 6,6 IU Лив 4И 24 4,4 щ ЛЛ1 ЛН1 ЛН2 2ИЛИ 22 9,8 О НЕ 20 6,6 р НЕ с открытым коллек- 32 6,6 р ЛРЦ торным ВЫХОДОМ 2—2И—2ИЛИ—НЕ, 20 2,8 ю ЛП5 3— ЗИ—2ИЛИ—НЕ «Исключающее ИЛИ» 30 10 т
В соответствии с выражениями (4.31), (4.32) уменьшаются дли- тельности /°£.р, <зд.р. В схеме на рис. 4.31,а нелинейный двухполюсник на тран- зисторе VT6 с резисторами R3', R3" обеспечивает большое дина- мическое сопротивление в эмиттерной цепи транзистора VT2 и температурную стабилизацию транзистора VT5 в режиме от- сечки. Благодаря большому сопротивлению такого двухполюс- ника коэффициент передачи элемента в области II (рис. 4.27,6) передаточной ВАХ Ки2Л} 0 и повышается помехоустойчивость ТТЛШ-элемента. Для подключения к общей информационной шине исполь- зуется специальный ТТЛ-элемент, у которого помимо дв\х обычных состояний «О» или «1» выходная цепь может находить- ся в третьем состоянии с отключенным выходом. Для реализа- ции такого режима в схеме рис. 4.31,6 используется дополни- тельный вход х3. При х3='1, у — хгх2, а при х3= 0 оба плеча оконечного двухтактного усилителя заперты и выход у от ин- формационной шины оказывается отключенным. В цифровых устройствах возникает необходимость реали- зовать «монтажное ИЛИ», переключать компоненты, питаемые дополнительными источниками напряжения (элементы индика- ции) или компоненты с токовым управлением (электромагнит- ные реле). Для этих целей предусмотрены ТТЛ-элементы, име- ющие в качестве выходного каскад с открытым коллектором (рис. 4.32). В случае подключения к выходу такого элемента индуктивной нагрузки (обмотка реле) необходимо обеспечить защиту коллектора транзистора VT3 от пробоя напряжением самоиндукции. Для этой цели обычно служит демпфирующая це- почка Rm, VDII1. В табл. 4.2 приведены основные классификационные пара- метры комбинационных ТТЛ-элементов широко используемых промышленных серий [33], а на рис. 4.33 показана коммутация таких элементов с внешними выводами корпуса. 4.6. ЭЛЕМЕНТЫ ЭМИТТЕРНО-СВЯЗАННОЙ ЛОГИКИ Цифровые элементы эмиттерно-связанной логики (ЭСЛ) основаны на переключателях тока и отличаются от других типов ИМС наибольшим быстродействием и большой потребляемой мощностью. Большое быстродействие ЭСЛ-элементов обуслов- лено тем, что биполярные транзисторы в этих схемах работают без насыщения, т. е. могут находиться либо в активном режиме, либо в режиме отсечки. Вторым важным фактором, обеспечива- ющим увеличение быстродействия, является использование в элементах низкоомных резисторов, обеспечивающих быстрый перезаряд паразитных емкостей, но ценой увеличения потреб- ляемой энергии, снижения перепада логических сигналов, а зна- чит, и помехоустойчивости ЭСЛ-элементов. Схемным средством увеличения быстродействия является использование на выходах эмиттерных повторителей, обеспечивающих перезаряд емкостей в цепях нагрузки через малые выходные сопротивления. Одно- временно улучшается и статическая нагрузочная способность (Краз< 15). Основой ЭСЛ-элемеита является переключатель тока на двух транзисторах с эмиттерной связью (рис. 4.34) и двумя фа- 94
„„„РОСНЫМИ выходами t/2i и ^гг- Структурно такая схема 30 ставляет собой мост, к одной из диагоналей которого через ПРе чник стабильного тока 70 приложено питающее напряжение С другой диагонали (коллекторы транзисторов VT1, VT2) и’мается выходной сигнал. На базу транзистора VT2 подан постоянный потенциал Uon от источника опорного напряжения. Если Ri& Rz= и на базУ транзистора V77 подан потенциал у = 7/оп< foRK' т0 ПРИ совпаДении параметров транзисторов мост уравновешен, т. е. <к1 = iK2== О.5/о и U2^= U22= 0,5 RKI0. В этом случае оба транзистора находятся в активном режиме и представляют собой транзисторный усилительный каскад с эмиттерной связью н симметричным (фазоииверсным) выходом. В цифровой схемотехнике такой каскад используется в переклю- Рис. 4.34 нательном режиме. Для этого опорное напряжение выбирается из соотношения логических уровней управляющего сигнала + (4.33) На диаграммах рнс. 4.34,6 показаны зависимости токов коллекторов fK1, «к2 и потенциалов на коллекторах U21, U22 от управляющего напряжения 1/±. Если на вход подай низкий уровень UOn, то транзистор VT1 заперт, a VT2— в актив- ном режиме и через него и резистор R2 замыкается ток /0. На выходах устанавливаются потенциалы: ~ ^к01^К’ ^22 = При подаче на вход сигнала высокого уровня (/{> Uon тран- зистор VT1 переключается в активный режим и через него про- текает ток 70, а транзистор VT2 переходит в режим отсечки, па выходах переключателя тока устанавливаются уровни: ^21 ~ Uж = ~^к02^к’ В результате на выходе U2l формируется противофазный, а на Т0„Х0Де ^22— синфазный выходные сигналы. При этом коллек- рные токи в любых состояниях ненасыщенного ключа «к1 + 95
+ ?к2« Zo= const. Как видно из диаграмм рис. 4.34,<5, уровни входного сигнала принципиально не совпадают с уровнями «о» и «1» выходного сигнала, поэтому для использования таких пере- ключатепей тока в цифровых элементах необходимы схемные средства согласования входных и выходных потенциалов. На рис. 4.35 показана схема базового логического элемента ЭСЛ-типа. Здесь переключатель тока построен на транзисторе VT2 и группе параллельно включенных транзисторов VT1 по числу логических входов ЭСЛ-элемента. Стабилизация общего эмиттерного тока /0 транзисторов VT1 и VT2 осуществляется высокоомным резистором Опорное напряжение (70П опреде- ляется резистивным делителем напряжения Rl, R2 и через эмиттерный повторитель на транзисторе VT5 подается на базу транзистора VT2. Для температурной компенсации напряжения t/on в базовой цепи транзистора VT5 включены диоды VD1 и VD2. Эмиттерные повторители на выходах ЭСЛ-элемента (транзисторы VT3, VT4) обеспечивают усиление выходного сиг- нала по мощности и согласование уровней входных и выходных сигналов, снижая уровни сигналов на выходах ЭСЛ-элемента на величину 0,7 В ниже, чем потенциалы коллекторов тран- висторов VT1, VT2. Эмиттерные резисторы R4 подключаются в случае необходимости внешней перемычкой. Это дает возмож- ность обьединять выходы нескольких ЭСЛ-элементов в «монтаж- ное ИЛИ» на одном общем резисторе R4. Резисторы R5 предна- значены для надежного запирания транзисторов VT1 на неис- пользованных входах. Для улучшения помехозащищенности элемента обычно разделяют шины «земля» так, что к одной шине подключены внутренние логические элементы, а к другой — эмиттерные повторители. В этом случае импульсные помехи в мощных цепях эмиттерных повторителей не влияют на режим работы переключателя тока. Принцип работы базового ЭСЛ-элемента основан на работа переключателя тока на транзисторах VT1 и VT2. Вследствие иеидеальности источника тока /0 уровень в некоторой степе- ни зависит от величины доминирующего входного напряжения £/* = max {t/j;}, i = l, т. 86
,, и напряжении питания Ua n = —5,2 В dz 5 % в системе иоложи- Р пой логики «логических 0 и 1» выбираются соответственно _______1,7В, (71=—0,9 В. Согласно выражению (4.33) опорное напряжение устанавливается на уровне Uon = —1,3 В. Если на входы элемента подано напряжение «логического 0» U* = ^__1 7 В, то все транзисторы VT1 закрыты, так как потенциал 'б'го эмиттера U3 = U оп — ибз2 = — 1,3 — 0,75 = —2,05 В и по- ложительное смещение (7бэ1 =—1,704-2,05 = 0,35В недостаточно 1Я отпирания кремниевого транзистора. На выходе уг элемента стаиавливается уровень «логической Н» 1/21, обусловленный про- теканием через резистор /?к1 тепловых токов /к0 входных тран- зисторов VT1 и тока базы транзистора VT5 с учетом падения напряжения на эмиттерном переходе: U21 = ^К1 — ^бэЗ = — (ZK0l + 4oi + !л01 + W *К1 ~ ^бэЗ ~ = — 0,9 В. (4.34) Одновременно на выходе у2 устанавливается уровень «логи- ческого 0», так как общий эмнттерный ток /0 = (170п — U 6з2— __U протекает через открытый транзистор VT2: Л)^к2 ^бэ4’ (4.35) где (/бэ4 ~ —0,75 В. Если сопротивление резисторов выбирается из условия обеспечения требуемою быстродействия, то сопротивление R3 взбирается так, чтобы озеспечить напряжение «логического 0» (/!= -1,7 В. Если хотя бы на один вход элемента подан высокий уровень, т е. U* > —0,9 В, открывается соответствующий транзистор VT1 и весь эмнттерный ток замыкается через него. Транзистор I Г2 переходит в режим отсечки, так как на общем эмиттере устанавливается потенциал U3 = Uf — иб.л = —0,9 В — 0,75 В = —1,65 В. Соответственно на выходе yt устанавливается низкий уровень ^=-/<>^4-^3 = -1.7 В, а на выходе у2 —высокий уровень: = i/K2 4- U63i = - (/к02 4- /б4) Як2 - U63i ~ -0,9 В. (4.36) На выходе у, сигнал формируется инверсным, а на выходе сиг” синФазным по отношению к доминирующему входному Таким образом, реализуются логические функции выходов: У1 = *1 + х2 + *з> У2 = Х1 + хг + хз = У1- Рис- 4.35,6 показано условное графическое изображение базового элемента. мент- асСрОтР'!м статические характеристики базового эле- а- Входная характеристика по i-му входу 4 274 \ 97
(рис. 4.36,о) снимается при условии, что остальные входы от- ключены. На входной характеристике можно выделить четыре характерные области: 1 — входной транзистор заперт, его вход- ное сопротивление максимально и наклон характеристики опре- деляется сопротивлением резистора /?5 (рис. 4.35,а) в цепи базы- II — область перехода входного транзистора из режима отсечки в активный прн входном напряжении, близком к опорному У ; соответственно транзистор VT2 переключается из активного ре. жима в режим отсечки, но благодаря эмиттерной связи входное сопротивление мало и входной ток быстро нарастает; II/ _ входной транзистор VT1 в активном режиме, а транзистор VT2 заперт, поэтому входное сопротивление схемы велико ^5 II (Pl +01 и входной ток нарастает медленно за счет тока резистора /?5 и роста потенциала общего эмиттера Us; IV — входной тран- зистор VTI находится в режиме насыщения, его входное сопро- тивление ^Ля + ЯщПЯэ мало по сравнению с поэтому входной ток вновь быстро нарастает. Поскольку входные сигналы соизмеримы с напря- жениями на открытом р-и-переходе, входные характеристики существенно зависят от температуры окружающей среды и коле- баний напряжения источника питания 0и п (рис. 4.36,6). Передаточные характеристики элемента по двум его 'выходам У21 (Ух) и У22 (^1) (рис. 4.37, о) содержат те же четыре области. Прн этом выходные напояжения со смещением на приблизительно постоянную величину (Убэ3 « Убэ4 « —0,75 В) повторяют измене- ния потенциалов на коллекторах транзисторов VT1 и VT2. Накло- ны характеристик i/21 (6\), UKl (У4) и U22 (Ух), Ук2(У4) в об- ласти II определяются коэффициентами усиления каскада с эмит- терной связью: К(Л ~ ^21/^1 = ~ -— 0,5Р1#к1/Лцэ; ~ ^22/^1 = (Pl + 1) а2^к2^С/4/^11Э 98
й —входное сопротивление транзисторов VT1, VT2 в схеме общим эмиттером, /ф3 « « 1 — коэффициенты передачи напряжения эмиттерных повторителей на транзисторах VT3, VT4. Обычно | — 4...8 и в пределах области II заметно НЗМеИаклон характеристик Uzl и UKl (UJ в области III опре- деляется коэффициентом передачи причем | Ку11 '• В области IV входной транзистор насыщен, поэтому входное напряжение поступает непосредственно на базу транзистора VT3 эмиттерного повторителя и через него с коэф- фициентом передачи « 1 — на выход. График зависимости потенциала общего эмиттера U3 от входного сигнала вместе с пе- редаточными характеристиками позволяет проанализировать изме- ^рРЯЖ€НИЯ коллектор — эмиттер каждого нз транзисторов Уровни выходного напряжения U*v {/^ , как следует из выражении (4.34), (4.36), не зависят от величины напряжения пи- тания t/H п> но зависят от температуры окружающей среды. Обратный ток коллектора I/iU растет с ростом температуры по экспоненциальному закону, а напряжение на открытом эмиттер- нам переходе (1б_ э уменьшается по линейному закону (на 2мВ/°С), поэтому их взаимная компенсация в выражениях (4.34), (4.36) -равномерна. Поскольку сопротивления /?к1, /?к2 имеют порядок с°теи ом (260.,.300 Ом), в диапазоне рабочих температур ЭСЛ-эле- -нтов влияние температурных изменений токов /к01, /к02 значи- обиЬН° сла^ее и в основном температурный дрейф высоких уровней условлен изменениями напряжений 0б э3, Иб э4. Поэтому с ростом температуры уровни Ul22 Растут практически по линейному 4* 99
закону. Аналогично зависят от температуры и низкие уровни 17“ U°22 согласно выражению (4.35), где первое слагаемое определяется термокомпенсированным (диоды VD1, VD2) током эмиттера I . Таким образом, перепады выходного напряжения ЭСЛ-элемента AU21 — U^i — I/®!, ^22 = ^22 — ^22 практически не зависят от температуры. Однако они зависят от напряжения источника пита- ния — Ua_ п (рис. 4.37, б), так как от него зависит опорное напряжение Uon — n + ^VD! + ^VD2> + ^2) и ток /0. Выходные характеристики ЭСЛ-элемента /21 < 22(^22) при L'j = const (рис. 4.38,6) по существу представляют собой Рис. 4.38 выходные характеристики эмиттерных повторителей на тран- зисторах VT3, VT4 (см. рис. 4.35,а) при двух значениях потен- циалов на их базах U63, U6i (рис. 4.38,а). Вид выходной харак- теристики зависит от того, подключен ли резистор R4 в эмиттер- ную цепь (характеристики 1, 3) или эмиттер свободен (характе- ристики 2, 4) (рис. 4.38,6). Характеристики низкого уровня вы- ходного напряжения (7, 2) формируются при потенциале на базе выходного транзистора L7^(4) = —0,95 В, а характеристики высо- кого уровня (3,4)— при Т/б3(4) = —0,15 В. На характеристиках можно выделить характерные области: / — выходной транзистор заперт, выходное сопротивление велико: /?2ЫХ = /?4 и выходной ток 4 « /э0 + (f/2 - п)/#4 ~ (^ - ^и. П)/^4> где ZgQ — обратный ток эмиттерного перехода. В частности, при отключенном резисторе R4 /?gbix -*• <» и 4 = /э0 « 0; // — выход- ной транзистор в активном режиме, выходное сопротивление эмит- терного повторителя мало: ^к + гб\ р + 1 ь ~ *4 (4.37) 100
где r — <рт/13 — дифференциальное сопротивление эмиттерного пе- рехода. Соответственно выходной ток 4 = + (^2 — ^2х. х)/^вых> где IR4 = (у2х. X - UH. п)/^. у2х. X - выходное напряжение хо- лостого хода, которое в зависимости от состояния элемента при- нимает значения низкого (f/2x х = f/g = —1,7 В) или высокого (^2х. х = = -0,9 В) УР°ВНЯ- Колебания температуры окружающей среды и напряжения источника питания обусловливает смещение выходных характе- ристик в соответствии с изменениями передаточной характери- стики. Поэтому выходная характеристика для высокого уровня Рис. 4.39 выходного сигнала не зависит от напряжения питания, тогда как характеристика низкого уровня смещается по оси напряже- ний пропорционально приращению напряжения Ua п (рис. 4.38,в) [61]. Переходные процессы в ЭСЛ-элементе при подаче на его вход импульса возбуждения (рис. 4.39,о) могут быть сведены к перезаряду эквивалентной коллекторной емкости Ск соответ- ствующего транзистора переключателя тока и эквивалентной емкости нагрузки Сн на выходе эмиттерных повторителей (рис. 4.39,6). При формировании положительного фронта выход- ного сигнала происходит заряд емкости нагрузки Сн через малое выходное сопротивление (4.37) эмиттерного повторителя с уче- том сопротивления нагрузки. Отрицательный фронт соответ- ствует разряду конденсатора Сн через резисторы /?и и R4 при запертом эмиттерном переходе повторителя, поэтому длитель- ность разряда больше. Длительность фронтов выходных сигна- лов по уровням 0,1 н 0,9 амплитуды выходного сигнала опреде- ляются соотношениями [60]: 41 =ж 2,2 + [Сн (гб + RK) (1 - а) || /?4 || /?„]*; (4.38) - 2.2 ]/^ + 0.132 (4.39) V К К \ I^Onl ) 101
Длительности задержки распространения сигнала „ Р ЗД. D слабо зависят от температуры и наиболее существенно зависят от емкости нагрузки Сн (рис. 4.39, в). Из схемных модификаций ЭСЛ-элементов следует отметить схему с повышенной стабильностью опорного напряжения U и переключаемого тока 1д (рис. 4.40). Включение транзистора VT6 в эмиттерную цепь обеспечивает постоянство тока /0 неза- висимо от величины входного сигнала, поэтому передаточная характеристика t/2i(^i) (см- рис. 4.37,а) в области 111 прак- тически горизонтальна. Для температурной стабилизации опор- ного напряжения используется группа диодов VD3 и диод Р'Д’- Стабильность разности логических уровней U*— U° = Из — обеспечивается диодно-резистивным ограничителем l?K, VD1, VD2, 102
t «—I j 4 f— S — а «-Ц fl— 1 fS—j 'Ш Рис. 4.42 В схемах ЭгСЛ-элементов (рис. 4.41) согласование уровней сигналов перенесено с выходов на вход, пред- ставляющий собой m-входовый эмит- терний повторитель. Быстродействие Э2СЛ-элемента выше, так как вход- ная емкость каскада с общим коллек- тором меньше, чем каскада с общим эмиттером. Эквивалентная емкость коллектора инвертирующего тран- зистора VT2 также меньше н не зависит от числа входов. Выходное сопротивление Э2СЛ-элемента Рвых = /?к больше( чем у ЭСЛ-элементов, но коэффициент разветвления Краз не уменьшается, так как входные сопротивления нагружающих элементов больше. Высокий уровень логического сигнала прак- тически равен потенциалу «земли», благодаря чему уменьша- ется влияние помех и облегчается стыковка с логическими эле- ментами ДТЛ- и ТТЛ-типа. В табл. 4.3 приведены классификационные параметры наи- более распространенных комбинационных логических ЭСЛ-эле- ментов, которые вместе с приведенными на рис. 4.42 компонов- ками элементов в стандартных корпусах позволяют произвести предварительный выбор микросхем. 103
Таблица 4.3 Тип ИМС Функциональное назначение Чд. р. НС. не более S о Е У ГО (рис. 4.42) К500: /J > 0,5 мкА; с 265 мкА; t/j <—1,63 В’ > -0, 98 В ллно Два логических элемента ЗИЛИ 2,9 38 1 — — а ЛЛ210 ЗИЛ И 2.5 38 а ЛЕ106/ЛЕ123 ЗИЛИ—НЕ, 4ИЛИ—НЕ 2,9 21 б ЛЕ111/ЛЕ211 ЗИЛИ—НЕ 2,9 38 в ЛС118 3—ЗИЛИ—2И 3,4 29 г ЛСП9 4_3_3—ЗИЛИ—4 И 3,4 29 д ЛК117 2—ЗИЛИ—2И/2—ЗИЛИ—2И—НЕ 3,4 26 г ЛК121 3—3—3—ЗИЛИ-4И/3—3-3— ЗИЛИ—4И-НЕ 3,4 26 Ж ЛМ101 2ИЛИ/2ИЛИ—НЕ 2,9 26 в ЛМ102 2ИЛИ-НЕ 2,9 26 и ЛМ105 2ИЛИ/2ИЛИ—НЕ, ЗИЛИ/ЗИЛИ—НЕ 2,9 21 к ЛМ109 4ИЛН/4ИЛИ—НЕ, 5ИЛИ/5ИЛИ—НЕ 2,9 14 Л ЛП107 2ИЛИ/2ИЛИ—НЕ 3,9 28 м 4.7. ЛОГИЧЕСКИЕ ЭЛЕМЕНТЫ НА МДП-ТРАНЗИСТОРАХ Свойства, электрические параметры и характеристики логи- ческих элементов на МДП-транзисторах полностью определя- ются свойствами электронных ключей, иа которых такие эле- Рис. 4.43 менты построены. Базовый лотческий элемент (рис. 4.43) И—НЕ строится на последовательно включенных МДП-тран- зисторах, число которых определяется требуемым числом вхо- дов т, с общей линейной (рис. 4.43,о), нелинейной (рис. 4.43,6) нагрузкой, а также на основе комплементарных пар (рис. 4.43,в)- Их условное графическое изображение показано на рис. 4.43,г. 104
В приведенном на рис. 4.43 случае т = 2 и выход элемента олкиочается к общей шине только при одновременном отпира- ии транзисторов VT1 и VT2 высокими уровнями входных на- ппяжений Un, UV1 Сопротивление группы последовательно соединенных транзисторов определяется наименее открытым из них, на затвор которого подан наименьший из входных уровней. Поэтому для рассматриваемых схем доминирующим является напряжение ____ (/* = min {17ц}, 1=1, т и если U*> Unop , то вся группа из т входных транзисторов образует общий канал для протекания тока. Для логических элементов с линейной и нелинейной нагрузками это ток от источ- ника питания через /?с или нелинейный двухполюсник на тран- зисторе VT3 (рис. 4.43,6). В элементе на КМДП-транзисторах сквозной ток исключен, так как транзисторы с объединенными затворами в статике всегда находятся в противоположных режи- мах. Поэтому открытым состоянием n-канальных транзисторен VT1, VT2 последовательной структуры соответствуют состоя- ния отсечки р-канальных транзисторов VT1', VT2' параллельной структуры, и наоборот. Транзисторы VT1' и VT2' в КМДП-эле- меиге можно рассматривать как коммутируемую нагрузку, про- водимость которой при I/ > t/nop ничтожно мала. Таким образом, если транзисторы VT1. VT2 открыты, то на выходе уста- навливается низкий уровень U®. При всех остальных комбина- циях входных сигналов хотя бы один из последовательно вклю- ченных транзисторов заперт, выход логического элемента отклю- чается от шины «земля» и через сопротивление нагрузки (Яа, транзистор VT3 или транзисторы VT1', VT2' в схеме рис. 4.43) подключается к шине источника питания £/и. п. На выходе уста- навливается высокий уровень напряжения U1^ Un п (для схем 4.43,я и в). Допустимое число входов обычно Хоб«: 4, так как последо- вательное включение транзисторов обусловливает рост общего сопротивтения последовательной группы в открытом состоянии, что влечет за собой увеличение уровня «логического О» и уменьшение логического перепада на выходе элемента, а также увеличение длительности фронта выходного сигнала. Это в меньшей степени относится к элементу на КМДП-транзисторах, для которых ограничение коэффициента объединения обуслов- лено усложнением топологии ИМС и снижением быстродействия из-за увеличения в Ко0 раз эквивалентной емкости параллель- ной группы транзисторов. В логических элементах ИЛИ—НЕ с линейной (рис. 4.44,о), нелинейной (рис. 4.44,6) нагрузкой и на основе КМДП-элемен- тов (рис. 4.40,в) электронные ключи объединяются в параллель- ную группу по числу входов т. Сопротивление группы парал- лельно соединенных транзисторов определяется наименьшим 3 параллельных звеньев, т. е. транзистором, на затвор которого подано наибольшее из входных напряжений. В этом случае до- минирующим является напряжение (/’ = max{(/1(}, I = 1,т. сли Ц > (/пор, то общее сопротивление группы транзисто- ров мало и выход логического элемента подключается к шине 105
«земля». Через открытые транзисторы и стоковую нагрузку (/?с, транзистор VT3) от источника питания п протекает ток /®от. На выходе устанавливается низкий уровень сигнала U%, величина которого определяется соотношением сопротивле- ния в цепи стока и сопротивления группы открытых транзисто- ров. Только при одной комбинации входных сигналов, когда на все входы элемента поданы низкие уровни сигналов (либо входы свободны) и t/ , ВЫХ°Д элемента через стоковую нагруз- ку (резистор Rc, транзистор VT3 или открытые транзисторы VT1',VT2' элемента на КМДП-транзисторах) подключается к ши- не источника напряжения 17н п. На выходе формируется высокий уровень напряжения и\. Для рассматриваемых на рис. 4.44 схем при т входных логических переменных выходная величина опре- деляется как у = V i = 1> и. Ив случае логического элемента Рис. 4.44 ИЛИ—НЕ допустимое количество входов т ограничено в основном увеличением эквивалентной выходной емкости Сэкв = тС^ и уве- личением длительности фронтов выходного сигнала. Благодаря высокому входному сопротивлению МДП-тран- висторов логические элементы на их основе, на!ружаемые на подобные элементы, имеют в статическом режиме достаточно большую нагрузочную способность, которая ограничена сниже- нием быстродействия с ростом эквивалентной емкости натрузкп Си. Постоянная времени перезаряда выходной емкости зависит также и от сопротивления в цепи тока /?0 (4.21), но с уменьше- нием растет ток потребления и падает логический перепад напряжения выходного сигнала. Для обеспечения большого коэффициента разветвления Краз без снижения быстродействия или увеличения потребляемой мощности от источника питания применяют специальные бу- ферные усилители с инвертированием (рис. 4.45,а) или без ин- вертирования (рис. 4.45,6) сигнала. В двухкаскадиом буферном усилителе первый каскад (транзисторы VT1, VT2) представляет собой инвертор с высокоомной нагрузкой в цепи стока (VT2), но с малой емкостью нагрузки. Второй каскад на транзисторах VT3, VT4 выполнен по двухтактной схеме и управляется про- тивофазными сигналами со входа и с выхода инвертора. Поэто- му сквозной ток источника питания (/и>п через транзисторы VT3, 106 -1
. исключен и они в открытом состоянии имеют весьма малое У?4 ивление. В результате при малом собственном потребле- СОПРтока (в основном за счет первого каскада) буферные усили- НЙИ обеспечивают перезаряд эквивалентной емкости нагрузки СЛ через малые сопротивления транзисторов VT3, VT4 в откры- я ----------- при этом нагрузочная способность без потери "раз = 20... 30. элементы двухступенчатой логики (рис. 4.46) ТОМ СОСТОЯНИИ. 1_, . быстродействия возрастает до Л, Логические = 1 поятся в виде комбинаций последовательных и параллельных Cnvnn МДП-транзисторов. В схеме рис. 4.46,а каждая из пар последовательно включенных транзисторов VT1, VT2 и VT3, Рис. 4.46 VT4 в системе положительной логики реализуют операцию логического умножения и ток Г (Г) протекает, если Xf х2= 1 (*з* хи~ 1). Если через нагрузочный транзистор VT5 проходит какой-либо из токов Г, I" или их сумма, на выходе устанавли- вается низкий потенциал, т. е. логический элемент реализует Функцию 41—ИЛИ—НЕ у = xtx2 + х3х4 и его условное графиче- ское обозначение показано на рис. 4.46, б. Вариант этой схемы с закороченными стоками транзисторов VT2 и VT4 (штриховая линия) реализует логическую функцию ИЛИ—И—НЕ у = (х1 -ф- х3) Д Л *i) (рис. 4.46, в). В цифровой схемотехнике используются логические эле- менты, реализующие операцию сравнения двух логических временных. По определению для этого должна быть вычислена aTon У ~ Х1Х»> которая может быть реализована как МДП-транзнсторах одного типа проводимости (рис. 4.46,г), 107
так и на КМДП-транзисторах (рис. 4.46,6). Транзисторы VT6 и VT7 (рис. 4.46,г) реализуют функцию логического сложения х'= х2, результат перемножается с промежуточным резуль- татом х’= XiXq, получаемым на выходе первой ступени на тран- зисторах VT1, VT2. На выходе у по аналогии со схемой рис. 4.43,6 получаем у = х'х" = (%! + х2) xtx2 = хххг + Х1х3. В схеме рис. 4.46,3 при х,= х>= 0 заперты транзисторы VT2, VT4, а при Xj— х2= 1 — транзисторы VT1 и VT3. В обоих случаях ток через нагрузочный транзистор VT5 не течет и на выходе у устанавливается высокий уровень («логическая 1») Прн других комбинациях входных сигналов открыта пара тран- Рис. 4.47 зисторов VT1, VT4 или VT2, VT3 и на выходе устанавливается «логический О». Коммутация цепей с аналоговыми сигналами и реализация динамических межкаскадных связей обусловливает необходи- мость использования двунаправленных аналоговых ключей с цифровым управлением (рис. 4.47). Собственно ключ построен на транзисторах VT3, VT4 (рис. 4.47,а). Инвертор на транзи- сторах VT1, VT2 обеспечивает получение противофазных сигна- лов управления V и V, с помощью которых в л-канальном VT3 и р-каиальном VT4 транзисторах одновременно индуцируется канал при '/ = 1 либо оба транзистора при V = 0 заперты. Проводимость каждого из транзисторов VT3, VT4 во включен- ном состоянии зависит не только от напряжения затвор — под- ложка, но так/ке пропорциональна напряжению между затво- ром и исюком, т. е зависит от величины коммутируемого на- пряжения U х. С ростом Ux проводимость n-канальною транзистора С„ уменьшается, а р-канального Gp, начиная с U* = 77[]Ор_ „ । растет (рис. 4.47,6). При Ux п — U п выключается тран- зистор V ТВ и проводит только p-канал. Проводимость ключа С = 4- Gn в некотором диапазоне Ux (область 1!) практически постоянна и аналоговые сигналы передаются с минимальной по- грешностью. Чем больше сопротивление нагрузки такого ключа, тем меньше пщрешность передачи. Двунаправленные ключи можно эффективно использовать для управления зарядом и разрядом емкостей динамических 108
ементов 14]. В динамических элементах взаимосвязь между 6 ютродействием и энергопотреблением уменьшена благодаря Фиксации логических сигналов в виде напряжения заряженной или разряженной емкости. Перезарядом емкостей управляют синхроимпульсы, которые открывают соответствующие ключ» а МДП-транзисторах, запертые в паузах между синхроимпуль- сами. Поскольку входное сопротивление МДП-транзистора по це- пи затвора и сопротивление капала в режиме отсечки весьма велико, заряд и напряжение на емкости в течение определенного времени сохраняются и являются носителем информации. Тран- зисторы динамических элементов открываются лишь на корот- кий промежуток времени, поэтому их можно выполнить низко- омными для обеспечения требуемого быстродействия. В простейшем динамическом элементе — однотактном дина- мическом инверторе (рис. 4.48,а) конденсатор Со служит для Рис. 4.48 оперативного хранения информации. В емкость Со включаются межэлектродные и паразитные емкости подключаемых выводов транзисторов. В моменты действия синхроимпульсов Ппор транзисторы VT1, VT2 открыты и емкость Со в зависимости от состояния транзистора VT3 либо разряжается через открытые транзисторы VT2 и VT3, либо заряжается’от источника питания 0я п через транзистор VT1, если VT3 заперт входным сигналом (71- Для обеспечения перепада напряжений на емкости Со близкого к величине напряжения питания (/ип необходимо, чтобы суммарное сопротивление открытых транзисторов VT2, VT3 было приблизительно в 20 раз меньше сопротивления от- крытого транзистора VT1. Низкоомные каналы транзисторов VT2, VT3 реализуются за счет увеличения занимаемой транзи- сторами площади на подложке. В схеме 4.48,6 управляющий транзистор VT3 может занимать вдвое меньшую площадь при не- изменных размерах транзистора VT1 и величине перепада на- пряжения на емкости Сп, поскольку перепад напряжения опре- деляется соотношением сопротивлений двух транзисторов VT1 и VT3. В такой схеме не только разряд, но и заряд емкости Со происходит через два транзистора, поэтому быстродействие эле- мента несколько снижается. Временная диаграмма работы динамических инверторов фис. 4.48,в) показывает, что фронты выходного сигнала U2(t) нхронизированы импульсами Uc. Энергопотребление динамических элементов можно еще У еньшить, если исключить одновременное включение цепей 109
заряда и разряда Со (транзисторы VT1, VT2 в схеме рис. 4.48,a, Такой режим работы реализуется в динамических элементах с двухфазной синхронизацией (рис. 4.49) [14]. В двухтактном динамическом инверторе на МДП-транзисторах (рис. 4.49,а) сигнал «логической 1» представлен высоким потенциалом и?— Рис. 4.49 = UH п— 1/Пор1. а «логический 0» — серией прямоугольных импульсов с частотой синхронизирующих серий и скважностью Q » 2. Это не все1да приемлемо и в схеме на КМДП-транзисто- рах (рис. 4.49,6) «логический 0» представлен постоянным низким Рис. 4.50 потенциалом U2~ 0. Соответствующие временные диаграммы выходных сигналов U2(f) показаны па рис. 4.49,в. На основе двухтактного динамического инвертора реализу- ются динамические логические элементы И—НЕ, ИЛИ—НЕ (рис. 4.50). В них вместо управляющего транзистора VT3 ис- пользуется группа из соответственно последовательно (И—НЕ) или параллельно (ИЛИ—НЕ) включенных транзисторов.Суммар- ное сопротивление открытых транзисторов VT2 и щтранзисто- 110
i 5— ti— »— If— fi- ll— (>-10 is 10 1t — Ю 10 — 11 11 и 3 последовательной fi- ll— fo- il— rs-\s ~~13 ров должны удовлетворять условию г 12 + г13т < ^с2/(3^о)> группы (рис. 4.50, a) (4.40) I i i a 9 S 9— e & i —it — it к Рис. 4.51 & & —ю tk где rt — дифференциальное сопротивление МДП-траизистора в триодном режиме, tCi— длительность синхроимпульсов серии, управ- ляющей разрядом емкости Со. Соотношение (4.40) накладывает ограничение на число вхо- дов т элемента И—НЕ либо па минималь- ные размеры его транзисторов VT2, VT3. С этой точки зрения базовые динамические элементы ИЛИ—НЕ предпочтительнее, тем более, что они избавлены от главного недостат- ка статических элементов ИЛИ—НЕ на МДП- транзисторах — большого энергопотребления. Основными достоинствами логических эле- ментов на МДП и КМДП-транзисторах яв- степень интеграции (до 105 элементов на крИс- ляются высокая _____ ..__________________________ „г.._ талле), низкое энергопотребление в статическом режиме, высо- кая помехоустойчивость, сохранение работоспособности под Действием дестабилизирующих внешних факторов (изменение сипТаЮ!ЦНХ напРяжений, температуры окружающей среды, интен- Кд/пп™ иониз1,рующего излучения). К недостатку МДП- и Д’ЛИ-технологии можно отнести ограничение быстродействия, на^СЛ°ВЛеННое пропорциональной зависимостью рассеиваемой Кл л°гическом элементе активной мощности от частоты пере- 111
Таблица 4.4 Тип ИМС Функциональное назначение (зд. р- не> не более ^пот» мкА, не более УГО (рис. 4.51) К561: /J = 0,05 мкА; /1=0,05 мкА; /2 С 0,5 мА; i/H.n=3...15 В; //“«0,ООП/И„; ^>0,999^^ ЛА7 Четыре логических эле- 80 0,1 а ЛА8 мента 2И—НЕ 4И-НЕ 120 0,1 б ЛАЭ ЗИ-НЕ 100 0,1 в ЛЕ5 2ИЛИ—НЕ 90 0,1 г ЛЕ6 4ИЛИ—НЕ 90 0,1 д ЛЕЮ ЗИЛ И—НЕ 100 0,1 ЛН1 НЕ с блокировкой и за- 180 1,о ж ЛН2 претом НЕ 90 0.5 в ЛП2 «Исключающее ИЛИ» 150 1,0 и ЛС2 И—ИЛИ(4) 130 10 к В табл. 4.4 приведены классификационные параметры для логических элементов наиболее распространенной и рекомен- дуемой к применению серии ИМС на основе КМДП-технологии. Коммутация внешних выводов корпуса для приведенных в табл. 4.4 ИМС показана на рис. 4.51. Глава 5 КОМБИНАЦИОННЫЕ ФУНКЦИОНАЛЬНЫЕ УЗЛЫ 5 1. ПРЕОБРАЗОВАТЕЛИ КОДОВ, ШИФРАТОРЫ, ДЕШИФРАТОРЫ /Ьункциоиальные узлы комбинационного типа характеризуй чогся однозначным соответствием выходных сигналов допусти- мым комбинациям сигналов на входе и не зависят от последователь- ности их смены. Для построения комбинационного функциональ- ного узла должны быть заданы все множество кодов (слов) и со- ответствующий им набор выходных кодов либо система уравнений, описывающая зависимость каждого разряда выходного кода о г независимых входных переменных. Комбинационные схемы строятся либо на основе логических элементов, либо на основе постоянных запоминающих устройств (ПЗУ), в которые записы- вается таблица преобразования входных слов в выходные К ком- бинационным функциональным узлам относятся преобразова- тели кодов, (частным случаем которых являются шифраторы и де- шифраторы), мультиплексоры, демультиплексоры, устройства сдвига чисел, комбинационные сумматоры, цифровые компара- торы и др. Преобразователи кодов предназначены для перевода чисел из одной формы представления в другую. Например, при вводе 112
ин-фюрмации в ЭВМ необходимо преобразовывать десятичные числа в двоичные, а при выводе информации на индикаторы или печатающее устройство —двоичные или двоично^десятичные коды в коды управления знакогенератором, светодиодными или жидкокристаллическими индикаторными панелями, механизмом печати. Таблица 5.1 Десятичное число Л Код S421 Л' Код 7421 Код 5421 Код Айкенс 2421 Код Г рея Код «с избыт- ком» N 4- Дополнение до 9; «5 — Л/* Дополнение до 10; <10 — /V» го а о я „ о о * 0 0300 0000 оооо 0000 0010 ООП 1001 1010 00000 1 0001 0001 0001 0001 0001 0100 1000 1001 00001 2 0010 0010 0010 0010 ООН 0101 0111 1000 00011 3 ООН ООН ООН ООП 0010 0110 оно 0111 00111 4 0100 0100 0100 0100 оно 0111 0101 оно они 5 0101 010! 1000 юн 0111 1000 0100 0101 11111 6 оно 0110 1001 НО.) 0101 1001 ООН 0100 11110 7 0111 1000 1010 1101 0100 1010 0010 ООН 11100 8 1000 1001 юн 1110 1100 1011 0001 0010 11000 9 1001 1010 1100 1111 1101 1100 0000 0001 юооэ Отправным пунктом для построения преобразователя кодов является таблица соответствия, в которой записывается полный набор входных и соответствующий набор выходных слов. Если входные и выходные слова записаны двоичными символами, то синтез преобразователя кода сводится Таблица 5.2 Десятичное число Код 421 х2х,х„ Код Грея У2У1У0 0 0 0 0 0 0 0 1 0 0 1 0 0 1 2 0 1 0 0 1 1 3 0 1 1 0 1 0 4 1 0 0 1 1 0 5 1 0 1 1 1 1 6 1 1 0 1 0 1 7 1 1 1 1 0 0 к нахождению для каждого разряда выход- ного слова булевой функции, устанавлива- ющей связь данного разряда с входными наборами двоичных переменных. Нахожде- ние такой связи и минимизация булевого выражения осуществляются с помощью карт Карно (диаграмм Вейча). На заклю- чительном этапе полученная функция пре- образуется к виду, удобному для реализа- ции в заданном (выбранном) элементом базисе. В табл. 5.1 приведены наиболее распро- страненные в цифровой схемотехнике дво- ичные коды 136]. В обозначениях кодов 8121, 7421, 5421, 2421 указан десятичный вес р, двоичной единицы х( соответствую- щего разряда. Код Грея образован последовательнос- тью двоичных чисел, в которой два любых соседних числа (первое и последнее число также считаются соседними) отличаются только одним разрядом. В коде Джонсона переход к последующему числу осуществляется последовательной заменой 0 на 1, начиная справа, а после уста- новки во всех разрядах 1 — заменой 1 на 0. Коды N -f- 3,9 — W, ИЗ
и 10 — W используютси в арифметических устройствах для выпол- нения операций сложения и вычитания двоично-десятичных чисел. Пусть необходимо построить преобразователь двоичного кода 421 в 3-разрядный код Грея. Запишем таблицу соответствия (табл. 5.2). Каждый разряд yt получаемого на выходе кода является независимой функцией входных наборов которою необ- Рис. 5.1 ходнмо найти и минимизировать. Для этого воспользуемся кар- тами Карно, записав в клетки карты значения у,- для каждого из наборов: (5.2) (5.3) Соответственно тождественным выражениям (5.1) — (5.3) можно получить различные варианты преобразователя (рис. 5.1,о, б, в). Выбор наилучшего варианта реализации производит "разра- ботчик, руководствуясь техническими соображениями. Анало- 114 и
гично, используя ту же табл. 5.2, можно выполнить обратное преобразование кода Грея в код 421: “ >Wo + Wo + Wo + Wo~ = ~2>У]У0 + У^О> +'/2(Г1й0 + Г|И0) “ =^1/, ®/01 +и2(/1 ©у0> -уг©у^ ®уд +y2Ki = у2®у. На рис. 5.2 показан один из возможных вариантов преобразова- теля кода Грея в двоичный код 421. Визуализация двоично-десятич- ных чисел часто произгоднтся с по- мощью семисегмснгпых панелей на основе жидких кристаллов или све- тодиодов (рис. 5.3,а), широко ис- пользуемых в микрокалькуляторах электронных часах и т. д. Если сег- менты обозначены буквами, как по- казано на рис. 5.3,6, то табл. 5.3 ус- Рис. 5.2 Таблица 5.3 Таблица 5.4 Десятичное число Код 3421 1 DCBA Семисегмент- ный код abcdefg Десятичное число Входной КОД X» ЛГвХ7 Хв Х6 X 4xs xt х0 Код 8421 . УМЛУч 0 0000 1111110 * 0000000000 0 0 0 0 1 0001 0110000 0 000000000 1 0 0 0 0 2 0010 1101101 1 0 1 0 0 0 0 1 3 ООН 1111001 2 0 1 0 0 0 10 4 0100 0110011 3 0 1 0 0 0 11 5 0101 1011011 4 0 1 0 0 10 0 6 оно 1011111 5 0 1 0 0 10 1 7 0111 1110000 6 0 1 0 0 110 8 1000 1111111 7 0 1 0 0 111 9 1001 1111011 8 0 1 0 10 0 0 9 1000000000 10 0 1 115
Танавливает соответствие между двоично-десятичным числом и требуемыми для отображения десятичной цифры набором сег- ментов. Сегмент а определяется наборами кода 8421 следующим образом: Аналогично получим булевы выражения для остальных сегментов: b = АВ + АВ + С + D = АВ • А В • С • D; с = А + В -ф С + D = А • В • С • Т); d=AB+BC ^АС + АЗС + Т) = АВ ВС - А - С AFC О; е = ~АВ + АС Н-~AD = ~АВ АС • AD; f=ABA-AC+BCA-D = AB - АС • ВС • D; g= АВ + ВС + ВС -A- D = АВ . ВС • 7)С • D. Рис. 5.3 Схема преобразователя кода 8421 в код семисегмептного индика- тора, реализованная на элементах И—НЕ, показана на рис. 5.4. Частным случаем преобразователя кодов является шифра- тор — устройство, обеспечивающее выдачу определенного кода в ответ на возбуждение одного из входов. Шифраторы широко используются для преобразования десятичных цифр и буквен- ных символов в двоичный код при вводе информации в ЭВМ и другие цифровые устройства. Рассмотрим пример построения шифратора для преобразо- вания десятичных чисел в код 8421 согласно табл. 5.4. Входными являются двоичные переменные х0, ... , х9, которые формиру- ются при нажатии соответствующей клавиши устройства ввода. 116
Переменные являются независимыми и позволяют построить 210= 1024 входных комбинаций, но если налагается ограниче- ние, запрещающее нажатие двух и более клавиш, то из 1042 остается 11 допустимых входных комбинаций. Соответствующий данному ограничению входной код называют кодом «1 из п» или унитарным. В табл. 5.4 нажатой клавише соответствует «югическая 1», а ненажатой — «логический 0». Две первых входных комбинации порождают один и тот же двоичный код 0000. Отличие между ними состоит в том, что при нажатии кла- виши «0», как и при вводе других цифр, в устройстве должна формироваться команда ввода и запоминания очередной деся- тичной цифры. Как видно из табл. 5.4, двоичная переменная уя принимает значение «1», если «1» появляется на входе Рис. 5.4 или на входе х3, или х6, или х, , или хя При всех остальных входных комбинациях у0= 0, т. е. в терминах алгебры логики Уо = *т + + х, + г, + х, = Xj . х3 • г5 . х, • хя. (5.4а) Аналогично запишем для других выходов: У1 = *2 + хз + X6 + X. = • х3 Л • л 7; (5.46) Уг = х^ + х5 + хв + х, = х, • х5 • хв • х,; (5.4в) Уз = *s + Ч = xs • х9. (5.4 г) В соответствии с приведенными равенства мп (5.4) шифратор можно реализовать в базисе ИЛИ—НЕ (рис. 5.5,а, б) либо в ба- зисе И—НЕ (рис. 5 5,s, г). Такне шифраторы относятся к преобразователям кода «1 из п» в двоичный код 8421. Часто ограничение на количество на- жимаемых клавиш оказывается неприемлемым и требуется построить шифратор так, чтобы он при одновременном нажатии нескольких клавиш реагировал только на самый старший (или младший) из них. Преобразователи кода данного вида называют приоритетными шифраторами. Они реализуют преобразование кода «X из пи в код 8421. 117
В таблице соответствия для приоритетного шифратора, в котором входная переменная с максимальным номером имеет максимальный приоритет (табл. 5.5), значения входных пере- менных справа от диагонали из ’«1» не должны определять вы- ходной код. Рис. 5.3 Приоритетный шифратор можно построить иа основе про- стейшего шифратора, преобразующего согласно выражениям (5.4) код «1 из 10» в код 8421, если предварительно преобразо- вать входной код «X из 10» в код«1 из 10». Обозначим выходные переменные преобразователя «1 из 10» через х9, ..., xv Входная Таблица 5.5 п fif if?fhfifafiftfa * 000000 0 000 0 0 0 0 0 000000000 1 0 0 0 0 1 о 0 1 % 0 0 0 1 2 0 0 1%^: 0 0 10 3 0 0 1 % % 0 0 11 4 0 о 1 X- X 0 10 0 5 0 0 1 X- * 0 10 1 6 0 0 1 x X 0 110 7 0 0 1% X 0 111 8 0 1 % % 10 0 0 9 1ХЖХХХХХХХ 10 0 1 118
переменная fe имеет максимальный приоритет, поэтому не за" висит от других входных переменных: х9= fe. Любая другая выходная переменная X/ принимает значение «1», если ft = 1 при условии, что ни на один из «старших» входов/у, j = (« + 1), 9 не подана «логическая 1», т. е. ха~ fs " xt>< х, = f7 • (хв + х8); *« = /«• (^Г+^Г+^т); (5.5) Х1 — /1 • (х9 + Хв + ••• 4- Х2>- ) Схема (рис. 5.6) реализует преобразование (5.5). Ее достоин- ством является равномерная задержка распространения сигна- лов по всем входам, а недостатком — необходимость применения многовходовых схем ИЛИ—НЕ. Если не предъявляются жесткие требования по быстродействию, например, для клавиатурного ввода, преобразователь кода «X из 10» в «1 из 10» можно выпол- нить по итерационному принципу (рис. 5.7) [91]. В такой схеме сигнал приоритетного запрета распространяется от старшего входа к младшему через последовательно соединенные элемен- ты ИЛИ, поэтому в целом длительность преобразования в код «1 из 10» определяется временем установки выхода хх, запазды- вание на котором максимально. Для получения схемы приоритетного шифратора достаточ- но выходы х9, .... х0 преобразователя «X из 10» в «1 из 10» под- 119
ключить к соответствующим входам преобразователя кода *1 из 1О'> в код 8421. Обратное преобразование двоичного кода в код «1 из пи выполняют преобразователи кода, называемые дешифраторами. Наиболее широко дешифраторы используются в устройстве х вывода информации из ЭВМ и других цифровых устройств га внешние устройства визуализации и документирования алфа- витно-цифровой информации Для этого нужно подать сигнет на 1 из п, например, катодов газоразрядного гпдикатора или элементов выборки символов печатающего устройства Таблица 5.6 Таблица 5.7 Вход- ной код 21 Xj х0 Входной Вводной К0Д 8421 код #1 из 4» ВчходиоЛ код <1 из 10» Уб . у. >000000000 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0000000001 0 0 0 1 1 0 1 1 0001 0001 0 0 1 0 0 010 0100 0011 1000 0100 г и и и 0 10 1 0 110 0 111 10 0 0 10 0 1 Синтез структуры дешифратора, как и любого другого пре- образователя кодов, начинается с записи таблицы соответствия входных и выходных кодов. Пусть необходимо прюбразовать двоичный код 21 в код «1 из 4» Тогда табл <5 б пол ноет но определяет значения выходов для всех входных наборов. Далее следует для каждой выходной функции сосгавить карту Карно и получить ее минимизированное выражение В рассматриваемом примере это бессмыст енно, так как для каждой функции у( карта Карно содержит только одну «1», поэтому соответствующий ей минтерм и является минимальной формой. Тогда на основании табл. 5.6 еапишем: Ро = <о*1~ *о4- хД Hi = *-Л = *о + *г; Уг = V1 = хо + '/з = *o*t = *о + «1- (5-6) Выражения (5.6) можно реализовать в элементном базисе И—НЕ (рис 5 8,й, 6} либо базисе ИДИ—НЕ (рис 5 8,в) Если число входов т и число выходов п дешифратора связаны соотношением п = 2"1. то выходы определены для всех двоичных наборов и дешифратор называется полным [97] В случае п < 2т дешифратор называе|ся неполным. Пример неполного дешифра- тора — преобразователь двоичного кода 8421 в код «1 из 10» согласно табл. 5.7. 120
Поскольку 6 из 16 возможных входных наборов не опреде- лены, имеется возможность произвольным доопределением карты Карно минимизировать ряд выходных функций дешифратора. Например, функции у« = х3х2х1х0и ys — х3х2х1х0 можно упростить к виду: Аналогично упрощаются функции у3, ..., у9. Учитывал, что функции у0 и yt не упрощаются, в чем легко можно убедить- ся, построив для них карты Карно, окончательно запишем ло- i щ 5 8 гические функьчи, которце должен реализовать синтезируемый десятичный дешифратор. Уо = *sViX<> = *о> У1 — т^о = + а “Ь Х1 “Ь «о! ц2 == Х2Х1Х„ у2 + -Т, + Хо; у, = Х2Х1Хв = Г2+ Г) + хо', 1/4 = Х2Х1ХО х% "Ь *1 ""Ь А0> Уъ = Vi*o = *2+ *i + ч; ув ~ vJo = х2 + %! + хв; 121
У 7 — X3XlXt> — х2 + Х1 + *0> Уб — Х3ХО — Х3 + х0> Уб ~ Х3Х1 ~ Х3~]~ Х1* Соответствующий десятичный дешифратор реализован на основе логических элементов ИЛИ—НЕ (рис. 5.9). Отметим, что в минимизированном варианте дешифратора не допускается Рис. 5.9 Рис. 5.10 подача на его вход кодов 8421, не вошедших в табл. 5.7. Так, если на вход дешифратора на рис. 5.9 подать код 1011, то одно- временно на двух выходах у3 и </9 устанавливаются «логические 1». Таким образом, если на т входах дешифратора могут пода-- ваться любые из 2"' комбинаций п не допускается одновременное возбуждение более чем одного из его п < 2т выходов, упроще- ние схемы описанным методом недопустимо и каждая из выход- ных функций должна быть определена полным набором вход- ных переменных. В гаком неполном дешифраторе (в качестве примера на рис. 5.10 показан вариант на элементах И—НЕ) «лишние» входные комбинации не возбуждают ни один из его выходов: р0= уг= ... = у3= 0. Рассмотренные выше схемы дешифраторов относятся к типу линейных и для них характерно одноступенчатое дешифрирова- ние входных m-разрядных кодов с помощью m-входовых логи- 122
ческих элементов. Линейные дешифраторы обеспечивают пре- образование кода с минимальной задержкой и используются в наиболее быстродействующих цифровых схемах. Однако с рос- том разрядности входного кода т быстро нарастает нагрузка каждого из входов и количество корпусов ИМСдля реализации дешифратора. Линейная структура обычно используется для построения дешифраторов при т <: 4. Если число входов m > 4, то с целью уменьшения коли- чества корпусов ИМС дешифраторы выполняются по многосту- пенчатой схеме. Первой ступенью пирамидального дешифратора Рис. 5.11 является простейший линейный дешифратор с числом выходов лг= 4 (см. рис. 5.8,6). Каждая последующая ступень, управ- ляемая дополнительной входной переменной, позволяет удвоить количество выходов и получить на= 8, л3= 16 и так далее, т. е. fe-ступенчатый полный пирамидальный дешифратор имеет число выходов N = 2А+1, причем k = т — 1 (при т — 2 линейный и пирамидальный дешифраторы совпадают). В качестве иллюстрации построим полный пирамидальный дешифратор для случая т=4 (рис. 5.11). Задержка распро- странения сигнала в пирамидальном дешифраторе в k раз больше, чем в линейном. Другой недостаток таких дешифраторов состоит в неравномерной нагрузке входов, которая возрастает с ростом количества ступеней. Количество необходимых двухвходовых логических элементов И—НЕ (либо ИЛИ—НЕ) для реализации 123
Рис. 5.12 4’VtWA ic гг v г1 ПГТ Xj Х| Xf Рис. 5.13 пирамидального ^-стуиеичаюго дешифратора на п — 2^ +1выходов с учетом инверторов определяется соотношением М------ И (ИЛИ) 2^+2 и практически удваивается с добавлением очередной ступени. При одновременном использовании элементов И—НЕ или ИЛИ— 124
125
Таблица 5.8 Тип ИМС Функциональное назначение *ЗД. Р’ ИС ^пот» мА УГО (рис. 5.14) К155 (ТТЛ): С,х<°>4 В; <1х > 2,4 В; 4 «1,6 мА; 4 «0,04 мА ИД1 Двоично-десятичный дешифратор с т ;0 высоковольтным ВЫХОДОМ. t/BbIX < «2,5 В, 4ЫХ «60 В 25 а идз Дешифратор двоично-десятичного кода в десятичный 36 56 б ИД4 Сдвоенный дешифратор 32 40 в ИД8 Дешифратор для управления мат- рицей 7x5 на светодиодах /ВЫх « « 10 мА 100 65 г ИД9 Дешифратор для управления мат- рицей 7X4 на светодиодах 100 65 д ИД 10 Двоично-десятичный дешифратор < 15 В, /вых « 80 мА Вв|Л оЫл '-бо 70 е иди Дешифратор на 3 входа и 8 выхо- дов для управления шкалой с за- полнением 50 140 ЭЮ ИД12 Дешифратор на 3 входа и 8 выхо- дов для управления шкалой со сдвигом одной точки 50 60 ЭЮ ИД13 Дешифратор на 3 входа и 8 выхо- дов для управления шкалой со сдвигом двух точек 50 70 'Ш' Jtv ИВ1 Приоритетный шифратор 20 60 3 ПП5 Преобразователь двоичного кода для 7-сегментного индикатора — 11 и ПР6 Преобразователь двоично-десятич- ного кола в двоичный 40 104 к ПР7 Преобразователь двоичного кода в десятичный 40 104 Л 126
ПроЛо лхение табл. 5.8 Тип ИМС Фуикц.'ональпое назначение **зд. Р’ Лют* нс мА У ГО (рлс. 5Л4) КбОО(ЭСЛ); С,х = -1,63 В; (/^=-0,98 В; /вх = 0,5 мкА; 7ВХ =0,3 мА ИД161 ИД162 ИВ165 3-разрядный дешифратор низкого уровня 3-разрядный дешифратор высокого уровня Шифратор с приоритетом 6 6 18 125 125 140 Л1 н О К531 (ТТЛШ); (7° < 0,5 В; U* > 2,7 В; ' ' С СИЛ C4D1A. /°х<2 мА; 7ВХ < 0,05 мА ИД7 ИД14 3-разрядный дешифратор Два 2-разрядпых дешифратора 12 12 74 90 п р К555 (ДТТЛП1): У°ВЬ1Х С 0,5 В; 1?вых > 2,7 В; /";,<0,4 мА; /вх<0,04 мА ИД4 Сдвоенный дешифратор 30 10 в ИД7 3-разрядный дешифратор 40 10 п ИД10 Двоично десятичный дешифратор с открытым коллектором 50 10 е ивз Шифратор с приоритетом 19 70 С К561 (КМОП): 17° «0,8 В; t/‘ > 4,2 В; № < 50 нА ' ' оЫ л ‘ ВЫЛ 'пл ИД1 Двоично-десятичный дешифратор 580 0,01 т ИД4 Дешифратор двоичного кода 7-сегментпого индикатора ДЛЯ 1200 0,01 У ИД5 Дешифратор двоичного кода 7-сегментного индикатора Для ф НЕ с чередованием ступеней на них (рис. 5.12) требуемое коли- чество двухвходовых вентилей уменьшается вдвое; k М— --------- I -4-й 4- у 2Z+1. И + или ~ А, 127
В случае если число входов т > 5, полные дешифраторы целесообвазно строить по матричной структуре. При четном tn количество строк и столбцов матрицы равно 2m/2 и матрица вы- ходных вентилей получается квадратной. При нечетном т вход- т -1 m-j-1 ные переменные разделяют на —и . В обоих случаях для выбора строк и столбцов (рис. 5.13), в узлах которых под- ключаются двухвходовые вентили, используются линейные или пирамида тьные дешифраторы. Такого типа дешифраторы назы- вают прямоутольнымн. Таким образом, прямоугольный дешифратор содержит две ступени независимо от величины т и обеспечивает высокое быстро- действие. Для реализации прямоугольного дешифратора с ли- нейным дешифратором в его первой ступени необходимо Л1 - = _ 2т/2 (2 4-2т/2) элементов при четном т и М_ ---— 2"1 4- ' 1 ' г и+или 1 _j_ 2<т—_|_2<"‘+,)/2 элементов при т нечетном. В прямоугольном дешифраторе на рис. 5.13 выходы являются инверсными, т. е. на всех его выходах, кроме одного, определяемого входным кодом, устанавливаются «логические 1» и лишь на одном выходе — «логи- ческий 0» На основа комбинации любого пз дешифраторов с п выходами и n-входового шифратора можно построить преобрзз вагель i сходного кода в заданный. Для этого достаточно соответ- ствующим образом соединить выходы дешифратора со входеми ш тфратора. В табл. 5 8 приведены параметры шифраторов и дешифрато- ров наиболее широко применяемых серий 11МС. На рис. 5 14 показано функциональное назначение выводов ИМС из табл. 5 8. 5.2. МУЛЬТИПЛЕКСОРЫ И ДЕМУЛЬТИПЛЕКСОРЫ В цифровых устройствах часто возникает задача передачи цифровой информации от т различных устройств к п приемни- кам через канал общего пользования. Для этого на входе канала у ста на впитается устройство, называемое мультиплексором, ко- торое согласно коду адреса Ат подключает к каналу один из источников информации, а на выходе канала устройство де- мультиплексор обеспечивает передачу информации к приемни- ку, имеющему цифровой адрес Ап Мультиплексор и демульти- пт ексор [74] включают в себя д.-шифратов адреса. Сигналы де- шифратора управляют логическими вентилями, разрешая nej е- дачу информации только через один из них. Логика функциони- рования мультиплексора для т = 4 описывается табл. 5.9, где х0... х3— выходы независимых источников информации. Ло- гика функционирования демультиплексора для случая п — 4 и тлюстрируется табл. 5.10, где уи, ..., у3— входы приемньков информации Простейший лтультиплексор, реализующий заданное табл. 5.9 лпеобразиванче, можно построить на лотических элементах И, ИЛИ и линейном дешифраторе (рис. 5.15,а). В такой структуре выход мультиплексора X устанавливается с задержкой адресных сигналов в трех логических ступенях Г-ыстродействие мульти- iineKcooa можно увеличить, если совместить дешифратор адреса и информационные вентили (пис. 5.15,6). Вход С (рис. 5.15,«) обеспечивает передачу информации в канал только в разрешен- ные сгроб-нмпульсом моменты времени. 128
Таблица 5.9 Таблица 5.10 Вход Адрес Выход Вход Адрес Выход У»УгУ1Уо 0 0 *0 0 0 0 0 0 х 0 1 xi 0 1 0 0x0 1 0 X 1 0 0x00 1 1 Аз 1 1 х 0 0 0 Аналогично можно построить демультиплексоры (рис. 5.16,а, б, в) в соответствии с табл. 5.10. "г Увеличение количества переключаемых источников и при- емников цифровой информации достигается многоступенчатым включением мультиплексоров и демультиплексоров. Если вы- ходы мультиплексоров первой ступени подключить ко входам мультиплексора второй ступени, образуется так называемое мультиплексорное дерево (рис. 5.17,а). Аналогично строится демультиплексорное дерево (рис. 5.17,6). Рассмотрим некоторые схемотехнические применения муль- типлексоров. Можно использовать мультиплексор в качестве преобразователя параллельного m-разрядного двоичного кода в последовательный. Для этого достаточно на входы мультиплек- сора подать параллельный код и затем последовательно изме- нять код адреса в требуемой последовательности. При этом во избежание появления ложного сигнала на выходе мультиплек- сора сгроб-импульс на время переключения адреса должен от- ключать выход от входов. Диалогично демультиплексор, выходы которого подключаются ко входам запоминающего регистра, можно использовать для преобразования последовательного кода в параллельный. Мультиплексоры можно использовать также для построения логических функций нескольких переменных в виде дизъюктвв- 5 274 129
ной нормальной формы. Пусть в качестве примера необходимо реализовать логическую функцию / (Х1> •••, *5) = *3Л’2Г1 ~t~JC4X2Xl ~Ь + Х5Х1Х1’ (5.7) Рис. 5.16 У,у* ' У/a Ун' ' !h Hi ’ ' Л Уа ' ' Уа g Рис. 5.17 Данная логическая функция определена только пятью независи- мыми переменными. Если все пять переменных подать на адрес- ные входы соответствующего мультиплексора, имеющего 28= 32 информационных входа, то для получения на выходе у любой функции пяти переменных достаточно подать «логические 1» на 130
информационные входы, адрес которых совпадает с миитермами синтезируемой функции. На остальных входы аналогично входу (рис. 5.18) необходимо подать «логические 0», исключив тем самым соответствующие комбинации из выходной функции. Та- кой метод приемлем, если функция т переменных содержит близкое к 2т количество минтермов. В противном случае схема получается избыточной. Мультиплексор можно использовать более эффективно, если аргументы функции подавать не только на адресные, но и на информационные входы. Для этого apiy- менты синтезируемой функции f (х,...хт) разделяются на ин- формационные входы Г); и адресные входы А}- так, чтобы адрес- ными входами управляли переменные, наиболее часто входя- щие в минтермы функции. Алго- ритм синтеза логического устрой- ства на основе мультиплексора включает в себя следующие опе- рации [38]: исходная функция при- водится путем тождественных пре- образований к СДНФ; для полу- ченной СДНФ строится карта Карно; на карте Карно выде- ляются области, элементы кото- рой имеют одинаковые адреса; для каждой адресной области опреде- ляется минимальная форма отно- сительно переменных, подаваемых на информационные входы; со- гласно полученным минимальным формам реализуется схема управ- ления каждым информационным входом мультиплексора. Проиллюстрируем алгоритм на рассматриваемом примере (5-7). Дополним каждый минтерм недостающими аргументами! *3*2*1 = (х6 + х5) (х4 + — XjXiXjXaXj + XeX4X3X2X4 4- + Х:,х 4х3х2х4 +’xixix3x.lx1. Дополняя аналогично каждый минтерм, получим из выражения (5.7) СДНФ: f (X) = Х5Х4Х3Х2Х4 + X^jXjX^Xj -ф *5*4*з*2*1 + Х6Х^Х^Х2Х4 + + *6*4*3*2*1 + xixix3xixl + х6х4х'3Г2х1 + *5*4*3*^X1 + + *6*4*а*2*1 + XjjX^XgXjXj + Х5Х4Х3Х2Х! + х6х4х3х2х4. (5.8) Для функции (5.8) построим карту Карно, распределив для наглядности адресные переменные по строкам и столбцам (рис. 5.19,а). На карте Карно выделены области Do, Dlt Da, D3, опреде- ляющие функции управления соответствующим информацион- ным входом мультиплексора. Минимизируем функции управления = *з» T?i = *3 + *4, О2 = 0, Da = х4х6. (5.9) Реализуем функции (5.9) на логических элементах И, ИЛИ, НЕ (рис. 5.19,6). 5* 131
Если в распоряжении пользователя имеется 3-адрсспый мультиплексор, то для его восьми информационных входов карта Карно (рис. 5.20,а) разбивается на 8 областей £>0, ..., D,, для которых получаем следующие функции управления: Do= Df,— 1, Оз= Х4Х5, D%— D4— De= 0. Рис. 5.19 а Рис. 5.20 Таблица 5.11 * ~ Лют» УГО Тип ИМС Функциональное назначение зд. р» НС мА (рис. 5.21) К155 (ТТЛ): С,х <0,4 В; 2,4 В; /®х < 1,6 мА; /*х < 0,04 мА КП1 Мультиплексор на 16 каналов со стробированием 30 68 а КП2 Сдвоенный мультиплексор на 4 канала со стробированием 30 60 б КП5 Мультиплексор на 8 каналов 35 43 в КП7 Мультиплексор на 8 каналов со стробированием 52 48 г 132 и
Продолжение табл. 2.1 Тип ИМС Функциональное назначение ^ЗД. р- НС ^пот» мА У го (рио, 5.21) К500 (ЭСЛ): = -1,63 В; (А х = -0,98 В; /вх=0,5 мкА; 4х = 0-3 мА ИД164 Мультиплексор на 8 каналов со стробированием 8 125 0 К531 (ТТЛШ): U° «0,5 В; > 2,7 В; » ' выл выл /вх <2 мА; /вх <0,05 мА КП2 Сдвоенный мультиплексор на 4 канала со стробированием 9 70 б КП7 Мультиплексор па 8 каналов со стробированием 18 70 г КПП (14) Четыре двухвходовых мульти- плексора со стробированием 12 70(61) е (ж) К555(ДТЛШ): С,х « °,5 В; U1^ » 2,7 В; /вх <0,8 мА; /вх <0,06 мА КПП (14) Четыре двухвходовых мульти 21 14(12) е(ж) плексора со стробированием 'вых = 6 КП12 Два четырехвходовых мульти плексора со стробированием 32 14 б КП13 Четыре двухвходовых мульти- плексора с запоминанием /вых = = 6 мА 32 20 в КП15 Мультиплексор на 8 каналов со стробированием 45 10 и К561 (КМОП): (/°вых <0,5 В; > 4,2 В; 1°™ <0,05 мА КП1 Сдвоенный мультиплексор иа 4 канала со стробированием 400 0,01 К КП2 Мультиплексор на 8 каналов со стробированием /вых = 10 мА 400 0,01 д 133
MS 6 $ J 2<Я*56 TpJ — Ih Иг II, II, HI, Bl, BI, BI, II, >1„ BI„ Bln Bln Bln U„ f{/ 23 Ik 21 Ik 1f 16 f7 16 Ik f* fJ 12 a 6 9-3,28 у Bl, CI, Big DI< lie Ph Dig BL 9 «г» и Рис. 5.21 Реализация функции (5.7) на 3-адресном мультиплексоре (рис. 5.20,6) требует дополнительно лишь один логический эле- мент И. В табл. 5.11 приведены основные параметры наиболее ши- роко применяемых мультиплексоров, демультиплексоров и ана- логовых коммутаторов, а на рис. 5.21 — функциональное назна- чение выводов микросхем. 134
5.3. КОМБИНАЦИОННЫЕ УСТРОЙСТВА СДВИГА Сдвиг цифровых данных необходим при нормализации чисел, при выполнении арифметических операций над ними и т. п. Если за один рабочий такт требуется сдвиг всего лишь на одни разряд влево или вправо, то такую операцию, совместив ее с фун- кцией хранения информации, удобно выполнять с помощью сдви- гающих регистров на триггерах. В случае, когда за один такт Таблица 5.12 Таблица 5.13 Адрес Выходы Уз У2 У1 Уо Адрес Выход сдвигателя У? У» 0 0 Х2 X} Xq 0 0 ООО 0 х3 х, Xj хо 0 1 х2 Ху XQ Х_1 0 1 ООО xs х9 х, хп 0 1 0 х_г Х_2 1 0 0 0 х3 х2 Ху х0 0 0 1 1 *0 ^-1 Х-2 Х~3 1 1 0 х3 х2 Ху х0 0 0 0 выполняется сдвиг на произвольное количество разрядов в лю- бом направлении, удобнее воспользоваться комбинационным логическим устройством на основе мультиплексоров [74]. Коли- чество требуемых мультиплексоров равно разрядности выходно- го двоичного числа. Ц, I I I I I I I А> 4j| By Bt В, Bg В., B.f B.j кус J г в % U i Рис. 5.22 Исходными данными для построения сдвигающего устройства является таб- лица, устанавливающая связь кода адре- са мультиплексора с подключаемым к каждому из его выходов разрядом сдвигаемого числа. Разрядность адреса мультиплексора р определяет макси- мально возможный сдвиг числа за один такт ASmax= 2р— 1. Пусть требуется построить комбинационное устройство, реализующее сдвиг согласно табл. 5.12. Для двухразрядного адреса максимальный сдвиг ASmax= 3, т. е. входное слово должно содержать т — 2р-\- ASmax = 7 разрядов. Обычно для простоты управления на все мультиплексоры подаются одина- ковые коды адреса (хотя это ограничение не обязательно). В ком- бинационном устройстве сдвига (КУС) (рис. 5.22,о) сдвиг числа производится влево и при этом старшие разряды теряются. Для 135
сдвига числа на ASmax разрядов без потерь старших разрядов необходимо дополнительное Сдвигающее устройство (рис. 5.23), обеспечивающее сдвиг числа согласно табл. 5.13. Данный ва- риант сдвигающего устрой- ства на основе мультиплек- сорной структуры на рис. 5.22,6 обеспечивает сдвиг числа влево, как показано в табл. 5.13, либо вправо, если на адресные входы сдвигателя подать сигналы с инверсных выходов ре- гистра (счетчика) адреса. При построении арифме- тико-логических устройсгв (АЛУ), а также такто- Рис. 5.23 вых генераторов и генераторов последовательностей импульсов часто необходимы кольцевые сдвигающие регистры, обеспечи- Рис. 5.24 ваюгцие циркуляцию некоторого числа и формирование на вы- ходах кольцевого регистра требуемых последовательностей им- пульсов. Эти же функции можно реализовать комбинационным кольцевым сдвигающим устройством, на вход ко- Таблица 5.14 ное число, являющееся Адрес Выходы сдвигателя операндом АЛУ или про- Л2Л1Л„ у, у, у. у, у, уг Ух Уа граммирующее работу та ктово го ге иер ато р а. В качестве примера при- ООО Хд Хд Хд Хд Хд Хд ведем схему 8-разрядного 0 0 1 Xg Х5 ^4 %0 %7 комбинационного коль- 0 10 Хд Хд Хд Хд Хд Хд Хд Хд цевого сдвигателя (рис. 0 11 Хд Хд Хд Хд Хд Хд Хд Хд 5.24), реализующего таб- 10 0 Хд Хд Хд Хд Хд Хд Хд Хд лицу истниности (табл. 10 1 Х% Xj Xq Ху Хд X^ x4 Xg 5.14). 110 Xg Xg Xg re A-6 Xg Xs Xg Помимо высокого 111 Xg Xg Xg Xg Xg Xg Xg Xg быстродействия, опреде- ляемого в основном вре- менем срабатывания де- шифратора мультиплексора, комбинационное устройство сдвига обеспечивает дополнительные функциональные возможности: од- ношаговый сдвиг информации в любом направлении на Д$ 136
разрядов (AS < Smax); возможность переключения входной информации независимо от установки кода адреса, т. е. опера- тивной смены операндов сдвигателя. 5.4. КОМБИНАЦИОННЫЕ СУММАТОРЫ Сумматор — устройство, предназначенное для выполнения операций сложения и вычитания над многоразрядными числами (операндами). Многоразрядный сумматор состоит из однораз- Рис. 5.25 рядных, реализующих сложение одноразрядных чисел. Одно- разрядный сумматор, на вход которого поступают два однораз- рядных числа А( и В,, а на выходе формируются также одно- разрядные числа суммы 3, и переноса Р,-, называют полусумма- тором (рис.'5.25,й). Если одноразрядный сумма- тор реализует сложение трех одноразрядных чи- сел А(, Bt и Q (перенос из младшего разряда), то такой сумматор называют полным. В много- разрядном сумматоре только самый младший раз- ряд можно выполнить по схеме полусумматора, а остальные разряды выполняют функции пол- ного сумматора. Ниже рассмотрены схемы сум- маторов для двоично кодированных чисел [90]. Таблица истинности для полусумматора (табл. 5.15) позволяет записать функцию вычисления суммы Si и переноса единицы переполнения Р/ в старший разряд: Таблица 5.15 А, В о 1 1 о О О О 1 3, = AiBt + AiBi = Ai ф Вс, Pi = Afii. (5.10) Согласно выражениям (5.10) полусумматор можно реализо- вать в базисе элементов И—НЕ (рис.5.25,б), ИЛИ—НЕ либо на основе логического элемента ИСКЛЮЧАЮЩЕЕ ИЛИ и конъ- юнктора (рис. 5.25,я). Возможны другие реализации полусумма- тора на основании тождественных преобразований (5.10) Sf = Afii А,В( = (Л{ + Bi) AiBi = At + Bi Л(- + Bt; Pi = А{В{ = A{ + B[. Соответствующие реализации показаны на рис. 5.26, (а, б). 137 siр. о о О 1 О 1
Выбор схемы для реализации полусумматора определяется имеющейся в распоряжении разработчика элементной базой с учетом требований по быстродействию, энергопотреблению и технологичности. Для обеспечения максимального быстродей- Рис. 5.26 ствия предпочтительнее схемы с минимальным количеством ло- гических ступеней между входом и выходом. С этой точки зрения Рис. 5.27 для функций S; и ные варианты: кажущаяся простота полусумматора + на рис. 5.25, в не дает ожидаемого в, преимущества по быстродействию, Р,., так как внутренняя структура ИМС р.$,: ИСКЛЮЧАЮЩЕЕ ИЛИ по существу повторяет фрагмент схемы на рис. 5.25,6. Работа полного сумматора опи- сывается табл. 5.16. Карта Карно Pi дает минимальную форму и ее тождествеи- (5.12) Соответственно выражениям (5.11), (5.12) на рис. 5.28 приведены различные реализации полного сумматора и его условное графическое изображение. Схема сумматора (рис. 5.28,в) аналогична схеме на рис 5.28,6 по структуре и парамет- рам, ее можно построить на основе двух полусумматоров (см. 138
Таблица 5.17 Таблица 5.16 Bl Pl-1 0 0 110 0 1 1 0 10 10 10 1 00001111 Si Pl 01101001 00010111 Уменьшаемое At Вычитаемое В,- Заем нз I — 1 V Разность Di Заем из iV t 00110011 0 10 10 10 1 00001111 01101001 01001101 рио. 5.25,в). Сумматор по схеме на рис. 5.28,я, обладающий минимальной вадержкой распространения сигнала и, следова- тельно, максимальным быстродействием, может с успехом при- Рис. 5.28 Рис. 5.29 меняться при построении БИС многоразрядного сумматора. Вариант полного сумматора на элементах И—ИЛИ—НЕ, реали- зуемый на ИМС промышленных серий, показан на рис. 5.28,г. Условное графическое обозначение одноразрядного сумматора показано на рис. 5.28,3. Аналогично определим функции переключения полного вычитателя (называемого также субтрактором) — устройства, реализующего операцию вычитания двух одноразрядных чисел в формированием сигнала заема из старшего разряда. В соот- ветствии с таблицей истинности вычитателя (табл. 5.17), 139
(рис. 5.29) запишем выражения для разности D( и функции ваема V/'. Сравнивая выражения для суммы S( и переноса Р( полного сумматора с выражениями для разности D( и заема вычитате- ля, видим, что выражения для S; и D( идентичны, а для Р{ н V[— отличаются. Если в выражения для и Р{ вместо В/ Рис. 5.30 подставить Bi, а вместо — V/.j, то S/= Of, Р/ == V{. Это озна- чает, что вычитание можно заменить суммированием, предста- вив вычитаемое в обратном коде с учетом необходимой инверсии функции заема. Это особенно важно для построения сумматора, выполняющего сложение чисел с произвольными знаками. Если же необходимо производить операцию только вычитания, можно использовать одну из схем на рис. 5.30. Схема на рчс. 5.30,а выполняет операцию вычитания с минимальной за- держкой сигнала в грех логических ступенях, но для ее реали- зации требуется больше логических элементов и с большим ко- личеством входов. Схема, показанная на рис. 5.30,6, выполнена только на двухвходовых элементах И—НЕ, но имеет большую НО
задержку (6 логических ступеней). В этом случае формирование значения разности D( осуществляется в соответствии с выраже- нием (5.13), а заема — (5.14). Совмещение операций сложения и вычитания требует до- полнительного сигнала F, хстанавливающего режим работы сумматора. В сумматоре (рис. 5.31) при F = 0 производятся суммирование чисел и формирование переноса в следующий раз- ряд, а при F =: 1 — вычи- тание Bi из At и формиро- вание заема из старшего разряда. Сложение многоразряд- ных чисел можно реализо- вать последовательно либо параллельно. При последо- вательном суммировании требуется одноразрядный полный сумматор, на вход которого в течение тактово- го интервала последователь- но, начиная с младшего раз- ряда, подаются соответст- вующие разряды слагаемых н результат переноса от сложения на предыдущем такте (рис. 5.32,а). Результат суммирования поразрядно с выхода сумматора передается в линию связи либо запоминается в бу- ферном сдвигающем регистре суммы. Операция суммирования заканчивается через количество тактов суммирования Z2 Ns =max {Рл, /?й}+ 1, Рис. 5.32 определяемое разрядностью /?д, RB слагаемых чисел А и В. До- полнительный такт необходим для реализации переноса от сум- мирования старших разрядов. Последовательный сумматор тре- бует минимальных затрат оборудования, не зависящих от раз- рядности суммируемых чисел. Однако длительность операции суммирования пропорциональна разрядности операндов, по- этому последовательный сумматор может использоваться в отно- сительно медленнодействующих цифровых устройствах. В параллельном m-разрядном сумматоре используется т полных сумматоров (рис. 5.32,6), т. е. затраты оборудования пропорциональны разрядности операндов, но операция сумми- рования выполняется за один такт Т2. Длительность такта Г2 141
и сложность реализации параллельного сумматора зависят от способа реализации переносов. В простейшем случае выхо- ды и входы цепей переноса соединяются последовательно (рис. 5.32,6) и длительность такта пропорциональна количеству разрядов сумматора и времени реализации переноса в одном разряде <зд.р.п.: + "!/зд. р. п- где длительность суммирования в одноразрядном суммато- ре. При большом количестве разрядов и последовательной реали- зации переноса длительность суммирования (5.15) оказывается недопустимо большой. Увеличение быстродействия параллель- ного сумматора достигается за счет одновременного формирова- ния сигналов переноса во всех его т разрядах. Для этого в сум- матор включается специальная схема ускоренного переноса, аргументами которой являются промежуточные переменные пол- ного сумматора. Для построения схемы параллельного переноса введем обозна- чения AiBl = Gl, А{ Bi = Hi п перепишем соотношения (5.11) и (5.12) в виде 5( = Л(фВ;®Р(.1=Я/®/>(.]; (5.16) AiBi (А{ ф В;) Р{_! = G(- HiPi.b (5.17) На основании выражения (5.16) результат суммирования на выходах многорядного сумматора: $2 = ® Рр, ............................ (5.18) ~ Нт ® Рт-1< где Ро— возможный перенос при каскадном соединении сумма- торов (для самой младшей секции Ро= 0), a Plt .... Рт опреде- ляются выражением (5.17); Pi = G1 + ДА: р^ = + H^Pi ~ g2 -f- Д2®1 + H2HiP0: р3 = G3 + Н3Р3 = G3 + H3G2 + H^G, + H3H2 Hfs, (5.19) Pi = Gi + H,P3 = + PWt + HiH3HiG1 + ВДДАЛ; .. ............................ Pm — Gm + HmPlrl_i. Из выражения (5.19) видно, что схема параллельного пере- носа тем сложнее, чем старше разряд сумматора, но в любом разряде длительности операции переноса /яд р п одинаковы и определяются задержкой сигнала в четырех логических ступенях (И, ИЛИ): 7 р>п=4/зд. . Тогда сигнал суммы, который за- паздывает по отношению к сигналу переноса, как видно из выра- жения (5.18), формируется с задержкой 7'2 = 6/зд р и. Поскольку сложность схемы параллельного переноса быстро нарастает с увеличением номера разряда сумматора, часто мно- горазрядные сумматоры разбивают на секции, внутри которых реализуется параллельный перенос, а между секциями перенос реализуется либо последовательно, либо по алгоритму, описы- ' Ваемому соотношениями вида (5.19). 142
На рис. 5.33,0 показана схема 4-разрядноГо сумматора с параллельным переносом. Перенос из старшего разряда Р4 используется для передачи на вход следующей секции (рис. 5.33,6), т. е. между секциями перенос реализуется по по- следовательной схеме. В случае большого количества секций между ними также можно реализовать ускоренный перенос. а Рис. 5.33 6 Перепишем выражение для Р4 из формул (5.19) для первой сек* ции в виде Р4 = 64 + Hfiz + + Я4Я3Я201 + Я4/73Я2/71Р0 = с' н* = 0? Тогда аналогично перенос из второй секции: П* Г* 1 ZJ* Г>* Г* t и* Г'* I LT* LT* Г> Pl = + #2 РГ = С2 + #2 G1 + Hi Нг Ро и т. д. Секции можно сгруппировать по 4 и для 4 секций (т. е. 16 двоичных разрядов), формируется групповой перенос. Тогда структуру параллельного переноса между секциями можно выполнить как на рис. 5.34. Ускоренный перенос между разрядами 4-разрядных секций также можно выполнить по при- 143
веденной схеме. Если многоразрядный сумматор можно разде- лить на группы по 4 4-разрядные секции, то ускоренный перенос между группами можно организовать аналогично. В микрокалькуляторах, устройствах регистрации и пре- образования цифровой информации, представленной в десятич- ной системе исчисления, широко используются арифметические устройства с двоично-десятичным кодированием операндов, с представлением десятичных чисел в коде N + 3 («код с избыт- ком 3») либо в коде Айкена (2421). са Рк_, из тетрады младшего (k — 1)-го десятичного разряда. На выходах k-й секции должен быть получен результат суммы Sk4Sk3Sk2Skl и переноса Рк в тет- раду (к-|-1)-го десятичного разряда. Как видно из табл. 5.18, суммирование двоично кодированных операндов дает сумму '^к4‘-’'кЗ‘->'к2‘^к1 и перенос Р'&, которые, начиная с 10-й строки, не со- ответствуют суммированию десятичных чисел. В строках 16... 19 требуется коррекция только суммы, а в строках 10...15 — и суммы и переноса. Коррекция должна преобразовать сумму Sk4Sk3Sk2Skl в сумму Sft4Sk3Sk2Sk| и перенос Р'к в Рк. Коррекция переноса осуществляется логическим суммированием Рк = Р'к + Ркор, где Ркор — корректирующее слагаемое, принимающее значение «логи- ческой 1» на наборах S’k4S;(3S^2SkI в 10... 15 строках табл. 5.18, т.е- 144
Таблица 5.18 Номер строки Рк к4'^кз^к2'^к1 Рк SK4SK3 SKo SKi 0 0 0 0 0 0 0 0 0 0 0 Правильний 1 0 0 0 0 1 0 0 0 0 1 результат 2 0 0 0 10 0 0 0 10 суммы и не- 3 0 0 0 11 0 0 0 11 реноса 4 0 0 10 0 0 0 10 0 5 0 0 10 1 0 0 10 1 6 0 0 110 0 0 110 0 0 111 0 0 111 8 0 10 0 0 0 10 0 0 9 0 10 0 1 0 10 0 1 10 0 10 10 1 0 0 0 0 К оррекцня 11 0 10 11 1 0 0 0 1 суммы 12 0 110 0 10 0 10 и перекоса 13 0 110 1 1 0 0 11 14 0 1110 1 0 4 0 0 15 0 1111 1 0 10 1 16 1 0 0 0 0 10 110 Коррекция 17 1 0 0 0 1 10 111 суммы 18 1 0 0 10 1 10 0 0 19 1 0 0 11 1 10 0 1 Таким образом, десятичный перенос определяется соотношением Рк — Pk + Si<4 (5кЗ + 5к2) — Необходимость в коррекции результата суммирования возни- кает при Рк = 1. При этом для получения правильного результата суммы необходимо к промежуточному результату 5к45кз5'к25'к1 добавить код О11О2=61о, т. е. использование двоичных суммато- ров для суммирования двончио-десятичных чисел требует выпол- нения суммирования в два этапа: !• ^кИкЗ^кг^к! + fik4fik А-2Вк1 = Рк5'к45к3^к25к1 > 2- ^к45'кЗ‘5к25'к1 + Р|< (0110)а = 5к45к35к25к1 • Данный алгоритм реализует схема для одного (рис. 5.35,о) и для т (рис. 5.35,6) десятичных разрядов. Применение в ней двоичных сумматоров с ускоренным переносом позволяет полу- чить быстродействующий двоично-десятичный сумматор. Если какое-либо одно или оба слагаемых имеют отрица- тельный знак, то операцию суммирования чисел со знаком мож- но заменить суммированием чисел и знаковых разрядов, причем модули чисел, имеющих отрицательный знак, представляю! в обратном коде. Принято обозначать положительное число зна- ковым разрядом Z = 0, тогда отрицательное число представ- ляется значением Z = 1. Обратный код десятичного числа полу- 145
чается заменой каждой цифры (N) дополнением ее до 9 (9 — N) согласно табл. 5.1. Если при суммировании знаковых разрядов возникает перенос, то к младшему разряду суммы прибавляется 1. Такой перенос называется циклическим и для его реализации требуется дополнительное время. Если знак суммы Zs= О, то полученное на выходах сумматора число является оконча- тельным результатом (пример 1). Если Zs = 1, то результат является отрицательным и его модуль представлен в обратном коде. Окончательный результат получается после преобразова- ния модуля на выходах сумматора в обратный код (9 — Д’) и присвоения ему отрицательного знака (пример 2). Пример 1. , Л10 = +6184 +д19 = -4527 Зм = +1657 = 0 0110 0001 1000 0100 —прямой код • В2~10 = 1 0101 0100 0111 0010 — обратный код 32_го = 10 0001 ОНО 0101 ОНО—промежуточный результат 1 --------------------> — циклический перенос 32_10 = 0 0001 ОНО 0101 0111 —результат Пример 2. Л10==—6184 В10 = +4527 310 = —1657 , Л2_10 = 1 ООП 1000 0001 0101 —обратный код ' Д2_ю — 0 0Ю0 0Ю1 0010 0Н1 — прямой код 32_10 = 1 Ю00 0011 0100 0010 —обратный код За_1в =а 1 0001 ОНО 0101 0111 — прямой код (результат). Таким образом, если рассмешенные выше двоично-десятнч- яые сумматоры дополнить преобразователями кода из N в «9— 146
N» для модуля каждого слагаемого и модуля результата, а так- же замкнуть цепь циклического переноса, получим двоично- десятичный алгебраический сумматор. В соответствии с табл. 5.1 преобразователь кода 8421 в код «9—N» описывается выражениями Л?-" = Д" Д39-N = Д^Д + AUN2 = А"а"А*А"-, 1 1 0 0 1*04 0104' Af~N^A" ДЭ-^Д^ДзХ Рис. 5.36 и реализуется схемой (рис. 5.36,а). Для этих же целей можно использовать 4-разрядный двоичный сумматор в сочетании с эле- ментами ИСКЛЮЧАЮЩЕЕ ИЛИ (рис. 5.36,6). В этом случае при Z — 0 па выходе сумматора- преобразователя получаем число в коде 8421, а при Z — 1 — в коде «9 — Л'». Используя преобразова- тель кода (рис. 5.36,6), можно по- строить алгебраический сумматор (рис. 5.37), в котором преобразо- вание кода 8421 слагаемых в код «9 — Nd производится автомати- чески под управлением собствен- ного знакового разряда каждого ' из операндов. Аналогично резуль- . тат суммирования в зависимости от знака суммы передается без ' изменения (Zs — 0) либо преоб- разуется из кода «9 — Nd в код 8421 с помощью преобразователя кода (рис. 5 36,6). В многораз- рядном сумматоре выход переноса старшей декады необходимо подключить ко входу переноса сум- матора знаковых разрядов для организации циклического пе- реноса (рис. 5.38). Выход переноса полного двоичного сумма- тора знаковых разрядов подключается ко входу переноса самой младшей декады. Следует обратить внимание на возможность получения неправильного результата при появлении переноса 147
из старшей декады сумматора в связи с переполнением разряд- ной сетки. Так, при сложении двух положительных чисел (ZA = ZB — 0) результат также должен быть положительным, но при переполнении разрядной сетки возникает сигнал пере- носа и согласно выражению (5.11) формируется Zs = 1, озна- чающее, что сумма чисел имеет отрицательный знак. Пользова- телю либо устройству управления цифровым автоматом необ- ходимо передать сигнал о возникновении аварийной ситуации. Для этого формируется сигнал положительного переполнения Р* — zazb^s = + ZB + ZS’ Рис. 5.38 Аналогично при сложении двух отрицательных чисел ре- зультат должен быть также отрицательным, а в случае отрица- тельного переполнения результат получается положительным. Сигнал отрицательного переполнения определяется как Р = ^A^B^S = % А + % В + % S' Таблица 5.19 Тип ИМС Функциональное назначение ^зд. р« нс ^пот» УГО (рис. 5.39) К155(ТТЛ): (/’ <0,4 В; 7/в > 2,4 В; ' ' ВЫХ ВЫл * /°вх < 1,6 мА; /вх < 0,015 мА ИМ1 ИМ2 Одноразрядный сумматор 2-разрядный сумматор 80 40 35 58 а б имз 4 разрядный сумматор 55 128 в ИП2 8-разрядиая схема конт- роля четности и нечет- ности числа единиц 68 56 г НПЗ Арифметико-логическое устройство 50 150 д ИП4 Схема ускоренного пере- носа 22 72 е 148
Продолжение табл. 5.19 Тип ИМС Функциональное назначение ^зд. р» нс ^пот» МА УГО (рис. 5.39) К500 (ЭСЛ): 77® = —1,63 В; U* = —0,98 В; ' ' ВЫЛ ВЫЛ ’ ' 7®х < 0,5 мкА; 7ВХ < 0,35 мА ИМ 180 Сдвоенный сумматор-вы- читатель 7 90 Ж ИП179 Схема ускоренного пере- носа 6 90 8 ИП181 Арифметико-логическое устройство 10 145 и К531 (ТТЛШ): г/“ых < 0,5 В; 7/*ых > 2,7 В; 7®х < 2 мА; 1ВХ <0,05 мА ипз Арифметико-логическое 12 220 д ИП4 устройство Схема ускоренного пере- носа 10 109 е ИП5 9-разрядная схема конт- роля четности 21 105 к К555 (ДТТЛШ): UUB!:!X «0,5 В; UlBUX > 2,7 В; /®х < 1,6 мА; <0,06 мА <1М6 ИМ7 4-разрядный сумматор 4-разрядный последова 24 34 Л м ИПЗ тельный сумматор-вычи- татель Арифметико-логическое 62 34 д ИП4 устройство Схема ускоренного пере- 22 20 е ИП9 носа Умножитель X и У н К561 (КМОП): (7° . < 0,8 В; (/* >4,2 В; <50 нА ‘ ' В Li* X В1,1 X Ьл ИМ1 4-разрядный сумматор 1100 0,02 0 ипз Арифметико-логическое устройство 1100 од д ИП4 Схема сквозного переноса 700 0,1 е ИП5 Умножитель ХхУ-фАф-В 1500 0,01 п СА1 12-разрядная схема конт- роля четности 900 0,05 р 149
Тогда общий сигнал переполнения определяется выражением Р — *Ь ~ %a7-b^s^д%в^s • (5.20J Схема, реализующая функцию (5.20), может входить в со- став ИМС сумматора либо должна быть собрана дополнительно. В табл. 5.19 приведены параметры сумматора наиболее широко используемых серий ИМС, а пя рис. 5.39 показано функциональное назначение выводов ИМС из табл. 5.19. Комбинационные сумматоры благодаря высокому быстро- действию применяют в различных устройствах обработки ци {)• 150
ровой информации. В частности, на их основе строятся устрой- ства перемножения чисел. Для перемножения двух чисел А и В можно просто число А сложить с самим собой В раз. Это можно выполнить комбинационными сумматорами, но гораздо быстрее и экономичнее простое суммирование заменить суммированием со сдвигом. Как видно из примера, частные произведения одно- значно определяются множимым и очередным битом множителя. Частное i-e произведение либо равно множителю, если В; = 1, либо оно равно нулю, если В( — 0. Каждое последующее частное произведение сдвинуто на один разряд по отношению к предыду- щему.* Окончательное произведение получается последователь- ным суммированием частных произведений. Функциональная схема, реализующая данный алгоритм на основе полных комби- национных сумматоров, показана на рис. 5.40. Операнды пол- ных сумматоров Ai Bj получают с помощью 2-входовых схем И аналогично £г= АуВу. Пример 3. 110 1 — множимое *0101 — множитель 1101 . 0000 + 1101 0000 — частные произведения 1 0 0 0 0 0 1 — произведение + А*Ва Ва В3 В2 By Л3В1 Л2В1 АуВу ^3^2 А2В2 АуВ2 АаВ3 А3В3 А2В3 АуВ3 А3Ва AtBt АуВ, By S3 S5 Sa S3 St St Основное достоинство комбинационного перемножителя — высокое быстродействие (длительность умножения 8-разрядных двоичных чисел — менее 100 нс), которое не связано с тактовой 151
Рис. 5,40 44s, а3в3

синхронизацией устройства, а определяется лишь задержками сигналов в логических элементах. Высокое быстродействие достигается ценой значительных аппаратурных затрат: для реализации перемножителя m-разрядного множимого и п-раз- рядного множителя требуется т X п логических элементов 2И и п X (т — 1) полных одноразрядных сумматоров. Комбинационные перемножители эффективно можно исполь- зовать при построении цифровых фильтров, для выполнения не- обходимых вычислений быстрого преобразования Фурье, в мик- ропроцессорных системах. Глава 6 ПОСЛЕДОВАТЕЛЬНОСТНЫЕ СХЕМЫ 6 1. ТРИГГЕРЫ К последовательностным схемам относятся различные типы логических элементов с двумя или более устойчивыми состояниями и устройства на их основе, функции выходов кото- рых определяются не только комбинацией действующих на входах внешних сигналов, но и в отличие от комбинационных схем некоторыми внутренними сигналами (состояниями), учиты- вающими предыдущие входные воздействия: регистры памяти, счетчики импульсов, накапливающие сумматоры, оперативные запоминающие устройства и т. д. Наиболее часто в качестве базовых элементов последовательностных схем используются логические элементы с двумя устойчивыми состояниями, ко- торые в сочетании с двоичными комбчнашонными схемами обра- зуют элементный базис двоичных цифровых устройств. В мно- гообразии бистабильных логических элементов особенно ши- рокое применение нашли триггеры, рассмотрению принципов построения и применения которых посвящена данная глава. Триггерами называют спусковые пли регенеративные уст- ройства с двумя возможными устойчивыми состояниями, в ко- торые они могут устанавливаться управляющими входными сигналами. Существует большое количество разновидностей триггеров, которые различаются по виду входных и выходных сигналов, а также по способу управления состояниями записи информации в триггер [38]. По виду входных сигналов различают триггеры с импульс- ным и потенциальным управлением. В цифровых вычислитель- ных устройствах в основном применяются триггеры с потенци- альным управлением. По способу записи информации триггеры подразделяются на асинхронные и синхронные (тактируемые). В асинхронных триггерах момент переключения определяется моментом смеиы кодовой комбинации на информационных входах. В синхрон- ных триггерах смена состояний осуществляется в строго опре- деленные моменты времени действия специальных тактирующих импульсов. Синхронизация триггеров производится по уровню тактирующего сигнала либо по его положительному или отри- цательному фронту. По виду выходных сигналов различают статические и дина- мические триггеры. В статических триггерах устойчивые состо- яния идентифицируются по уровням постоянных напряжений 153
на их выходах. Состояния динамических триггеров определяются по наличию или отсутствию на выходах непрерывной серии им- пульсов. Наибольшее распространение в цифровой схемотех- нике получили статические триггеры. Функционально триггер можно представить в виде элемен- та памяти со схемой управления (рис. 6.1). Элемент памяти хранит информацию о результате предыдущего воздействия на триггер. Схема управления реализует правила реагирования триггера на различные входные сигналы и их комбинации. В ко- нечном счете схема управления вырабатывает сигналы, кото- рые обеспечивают хранение информации в запоминающем эле- менте, подтверждение состояния либо переключение запомина- ющего элемента в новое состояние. В двоичном триггере для смены состояний запоминающего элемента достаточно вырабо- Рис. 6.1 тать сигналы установки в единичное состояние (Установка «1») и в нулевое (Установка «0»). Отсутствие сигналов установки соответствует режиму хранения информации, а их одновремен- ное действие приводит к неопределенному результату, поэтому такое управление обычно не используется. По реакции триггера на входные управляющие воздействия различают следующие виды входов [60}: S — вход для установки (Set — установка) триггера в со- стояние «1» (на основном или прямом выходе триггера Q устанав- ливается сигнал «лотческая 1», т. е. Q — 1); R — вход для сброса (Reset — сброс, возврат) триггера в состояние «0» (Q = 0); D — вход для установки триггера в состояние «1» при D — 1 или «0» при D = 0 с задержкой (Delay — задержка) переключе- ния выходов Q, Q по отношению ко входу D; Т — вход переключения (Toggle — релаксатор) триггера в противоположное состояние аналогично счету по модулю 2, поэтому вход Т называют счетным; J, К — входы для установки (Jerk — включение) и сброса (Kill —отключение) триггера в состояния соответственно «1» и «0» аналогично входам S и R; отличие состоит в том, что одно- временное возбуждение входов S и R обусловливает неопреде- ленность перехода триггера в одно из двух возможных состо- яний, а одновременное возбуждение входов J и К вызывает од- нозначно смену состояния триггера аналогично входу Т', С — вход синхронизации (Clock — часы) для точного за- дания моментов переключения состояний триггера; 154
V — вход для разрешения или запрета реагирования триггера на соответствующие управляющие входы. Обычно триггеры содержат лишь часть из перечисленных типов входов, причем некоторые из них являются кратными. По совокупности управляющих входов различают: /?3-трнггеры с раздельными входами установки в состо- яние «О» и «1»; /?3-триггеры бывают асинхронными и синхронны- ми, если кроме Sufi имеется вход С; D-триггеры с записью информации по одному входу D в мо- менты времени, определяемые синхроимпульсами С; Т-триггеры со счетным входом; JK -триггеры — универсальные триггеры, в которых вхо- ды J и К в отдельности реализуют раздельное управление, а совместно — счетный режим. Кроме названных типов существует много разновидностей триггеров с комбинированным управлением названными ти- пами входов с синхронизацией или без нее, с блокировкой ка- ких-либо информационных входов или без нее. Триггеры, син- хронизируемые уровнем синхроимпульса, могут в течение действия синхроимпульса многократно переключаться управ- ляющими сигналами. В паузе между синхроимпульсами их состояния не изменяются независимо от управляющих сигналов. Триггеры, синхронизируемые фронтом, изменяют состо- яния лишь в момент переключения уровней синхроимпульса из «О» в «1» (положительный фронт) или из «1» в «О» (отрицатель- ный фронт). При любых постоянных уровнях синхроимпульса триггер сохраняет состояние при всевозможных изменениях управляющих сигналов. Следовательно, синхронизируемый фронтом триггер за время действия синхроимпульса любой дли- тельности может переключиться только один раз. Триггеры описываются совокупностью статических н ди- намических параметров. Важнейшим из статических параметров, аналогично логическим элементам, являются коэффициент объединения по входу — Ко(-, коэффициент разветвления по выходу — Краз, входные н выходные уровни напряжения «О» — U°L, U\ и «1» — Ult U\, входные и вы- ходные токи «О» —/j и «1» — /[, l\. Основными динамическими параметрами триггера являются: /раз — разрешающее время, определяемое как минимальный период следования входных сигналов, при котором триггер сохра- няет работоспособность; разрешающее время определяет максималь- ную частоту переключения fmax = 1//раз; /Од’10 — длительность задержки распространения сигнала, из- меряемая на выходах триггера по отношению к каждому из входов; /вх—минимальная длительность входного сигнала, при кото- рой триггер адекватно реагирует на управляющее воздействие. Технические реализации триггеров отличаются типом ис- пользуемых активных компонентов и способом их включения. Прежде всего это относится к собственно запоминающему эле- менту триггера. Для обеспечения переключения состояний запо- минающего элемента с максимальной скоростью в нем исполь- зуется так называемый регенеративный режим, который имеет место, если в схеме действует положительная обратная связь 155
либо используются электронные компоненты с участком отрица тельного динамического сопротивления иа ВАХ (негатроны). В системе с положительной обратной связью регенератив- ные процессы возникают при условии, что модуль результиру- ющего коэффициента передачи в замкнутом контуре в широком диапазоне частот I Ki = П I > 1. (6.1) где Kt — коэффициенты передачи напряжения (тока) звеньев контура. Отношение (6.1) называют условием баланса ампли- туд. Оно выполняется, если затухание сигнала на пассивных компонентах компенсируется усилением активных компонентов, В качестве активных компонентов можно использовать уси- лительные каскады на биполярных и полевых транзисторах, Рис. 6.2 операционных усилителях, электронные ключи на транзисто- рах и комбинационные логические элементы. Из негатронов для построения триггеров используются туннельные диоды, дини- сюры, тиристоры и их транзисторные эквиваленты. Триггеры на транзисторах. Простейший триггер на тран- зисторах можно получить, соединив последовательно в кольцо два инвертирующих транзисторных ключа (рис. 6.2,а). В резуль- тате получаем симметричный триггер о коллекторно-базовыми связями (рис. 6.2,6) (точнее, это пока лишь только запоминающая ячейка триггера без цепей управления). Отметим, что рези- сторы R2 и источники базового смещения — Uan2 в случае кремниевых биполярных транзисторов не обязательны. Коэф- фициент усиления по напряжению каждого ключа при активном режиме работы транзисторов VT1, VT2 mr/?.] 8/?к Kut-KU2------/?г + гэф+ 1) ~ -R1 +RK • <6-2) Очевидно, условие баланса ампли!уд (6.1) в этом случае выполняется легко соо1ветствующпм выбором параметров 0, /?к, /?! [12] К — KV,KU2 « >1. (6.3) Поскольку каждый ключ инвертирует сигнал или (для гармонического сигнала) сдвигает фазу на Дф1>а= а:180о, 155
суммарный сдвиг фазы в замкнутом контуре для широкого диапа- вона частот Д<р2= Дф1+ Д«р2= 0° (±360°), (6.4) что соответствует положительной обратной связи (баланс фаз). Одновременное выполнение условий (6.3) и (6.4) соответ- ствует неустойчивому режиму работы схемы, когда любая флук- туация или помеха вызывает лавинообразный переход схемы в состояние, в котором хотя бы одно из условий самовозбужде- ния (6.3), (6.4) не выполняется. Обычно это связано с переходом активного компонента в граничный режим, в котором Kyi “= 0 и К — 0. Для биполярного транзистора это режим насыщения или отсечки. В рассматриваемой схеме (рис. 6.2) подключение источника коллекторного питания + (/ип1 и базового смещения — UHu2 вызывает регенеративное переключение схемы в одно из двух состояний, в которых один из транзисторов насыщен (например, VT1), а другой (VT2) находится в режиме отсечки. Низкий потенциал U® с коллектора насыщенного транзистора через резистор Ri коллекторно-базовой связи управляет режимом транзистора VT2 и обусловливает режим отсечки, если для VT2 выполняется условие (4.33). На коллекторе запертого транзистора VT2 устанавливается высокий потенциал [12] Uz = (б'и. П1 — Iko^x) » (6.5) который при выполнении условия (4.7) поддерживает транзи- стор в режиме насыщения. Данное состояние устойчиво, так как для обоих плеч триггера = Л'с,2 = 0 и самовозбуждение невозможно. Для принятых на рис. 6.2,6 обозначений описан- ное состояние соответствует нулевому состоянию триггера (Q = 0, Q = 1). Схема триггера на рис. 6.2 топологически и электрически симметрична, поэтому триггер аналогично сколь угодно долго может находиться в единичном устойчивом состо- янии (Q = 1, Q = 0), в котором транзистор VT1 заперт, a VT2— насыщен. В каждом из состояний один из ускоряющих конденсаторов (С', С") ключей триггера оказывается заряженным приблизи- тельно до напряжения (6.5), а другой, подключенный к кол- лектору насыщенного транзистора, практически разряжен. Для переключения состояния тритгера необходим внешний управляющий сигнал, переводящий транзисторы VT1, VT2 из граничных режимов в активный режим. Схема запуска триг- гера должна сформировать кратковременный импульс напряже- ния или тока, подаваемый непосредственно на базу транзистора или через коллекторно-базовую связь (запуск в коллектор). Запускающий импульс должен отпирать запертый транзистор или переводить ранее насыщенный транзистор в активный ре- жим, т. е. запирать его. Чаще запуск триггера осуществляется запирающими импульсами, поскольку при этом меньше нагру- жен источник входных импульсов. В случае п-р-п-транзисторов для переключения триггера запирающими импульсами необ- ходимы запускающие импульсы отрицательной полярности. 157
Рассмотрим переходные процессы в триггере (см. рис. 6.2) при переключении его из нулевого состояния в единичное. Пере- ключение инициируется импульсом тока от источника (рис. 6.2,6), имитирующего действие схемы управления. В мо- мент ta (рис. 6.3) импульс тока с амплитудой подается в базу насыщенного транзистора VT1. Ток базы 16 скачком уменьшает- ся на величину меняет знак и начинается рассасывание заряда неосновных носителей в базе транзистора VT1. В течение этапа рассасывания выходные напряжения триггера остаются Рис. 6.3 неиз- менными. Этап рассасывания заканчивается в момент tr пе- реходом транзистора VT1 из насыщения в активный режим. Длительность процесса расса- сывания зависит от степени насыщения транзистора [24]: (5-1). где S = (Р -f- l)/(/?i -[- та ~ + О- В момент начинает на- растать напряжение (721 иакол- лекторе транзистора VT1. По- ложительное приращение At/31 через конденсатор С переда- ется иа базу транзистора VT2 и запирающее напряжение U62 по модулю уменьшается, под- готавливая переход транзисто- ра V72 из отсечки в активный режим. Этап подготовки зани- мает интервал до момента вре- мени [24] ^п = ^2-^«(0.1.--0,2)т2 и заканчивается переходом тран- зистора VT2 в активный ре- жим. Как видно из рис. 6.3, на этапе подготовки происходят синхронное увеличение напряжений 1/21 и 1^62 и уменьшение тока 1к1. С момента /2 оба транзистора находятся в активном режиме, поэтому процесс переключения лавинообразно ускоряется дей- ствующей положительной обратной связью. Этап регенерации завершается в момент переходом транзистора VT1 в режим от- сечки и затем транзистора VT2 в режим насыщения. Ток базы i‘62 на этапе регенерации нарастает до значения 16т » /к1 (/2) за счет реактивной составляющей (ток конденсатора С"), после чего по мере заряда ток С" спадает до постоянного значения 116т. Дли- тельность этапа регенерации определяется частотными свойствами транзистора [24]: ^рег — — = та — 1/®а и составляет обычно доли микросекунд. 158
После этапа регенерации следует этап установления тока кол- лектора 'и напряжения на коллекторе открытого транзистора VT2 U22, в течение которого в базе транзистора накапливается заряд неосновных носителей. В момент завершается переход транзистора VT2 в режим насыщения. Длительность этапа уста- новления /УСТ = /4-/3^^ I" [S/(S- 1)], где + R^Ri + Rk) ~ ии. Л - 'Л. 112 (R1 + RK) ’ ₽*k (*i + *s) — коэффициент насыщения открытого транзистора триггера. Переходный процесс опрокидывания триггера продолжает- ся этапом восстановления, длительность которого определяется процессом разряда конденсатора С через резистор R1', а также через открытый транзистор VT2 и резистор R2’. Процесс восста- новления завершается в момент /5 и его длительность оценивает- ся соотношением Очевидно, разрешающее время рассмотренного триггера ^раз = 4~ 4" ^рег 4" ^уст 4" существенно зависит от параметра транзистора та и от емкости ускоряющего конденсатора С'= С"= С, который повышает чувствительность триггера к запускающим импульсам. В силу симметрии триггера переходные процессы при об- ратном переключении триггера импульсом тока J12 в базу транзистора VT2 аналогичны приведенным на рис. 6.3. Согласно принятым обозначениям выходов источник тока JX1 имитирует вход S, a J12 — вход R. В качестве активных элементов для реализации триггера можно использовать другие схемные варианты ключей как на биполярных, так и на полевых транзисторах (рис. 6.4). Триггер (рис. 6.4,а) собран на вентилях ИЛИ—НЕ рези- стивно-транзисторных логических элементов, триггеры (рис. 6.4, б, в, г) — иа двух элементах ИЛИ—НЕ на основе n-МОП тех- нологии, непосредственно связанных транзисторных элементов (НСТЛ) и КМОП элементов соответственно. Общей для данных триггеров является логическая структура. Для управления состояниями триггеров используются по одному из входов двух- входовых логических элементов ИЛИ—НЕ. Вторые входы ре- ализуют триггерные связи. В режиме хранения информации на входах R и S устанавливаются уровни «логического 0». Для установки триггера в состояние «О» («1») на входы должна быть подана комбинация R = 1, S = О (R — О, S = 1). Комбинация R = S = 1 является в информационном смысле запрещенной, так как при этом на обоих выходах триггера устанавливаются одинаковые уровни Q = О, Q = 0 и обратные связи в триггере не влияют на состояния выходов. Такой режим называют раз- рывом триггерных связей. Он возникает при подаче на управ- ляющие входы /?3-триггера сигналов, являющихся доминиру- ющими для составляющих триггер логических элементов. 159
7?г-триггеры на интегральных ми .росхемах. Приведенные варианты транзисторных трип-еров (рис. 6.4) обладают анало- гичной логической структурой (рис. 6.5,о) и условным графиче- ским изображением (рис. 6.5,6) и их состояния описываются кар- той Карво (рис. 6.5,в), аргументами которой являются входные сигналы триггера на n-м такте и предыдущее состояние триггера Qn-t- Записанная по карте Карно МДНФ прещенных состояний «логическими 1» ское уравнение /?5-трнггера Qn =® + RnQn-f с доопределением за- дает характеристичен (6.6) На рис. 6.5,г приведены временные диаграммы переключе- ния состояний триггера. а Рис, 6.5 Аналогично характеристическое уравнение в форме МКНФ для той же карты Карно с доопределением запрещенных состоя- ний «логическими 0» имеет вид Qn^RnkSn + Q^). (6.7) Преобразуем тождественно уравнение (6.6), воспользовав- шись правилом двойного отрицания <?„ = - ^Qn_v (6-8) 150
Характеристическое уравнение в форме (6.8) показывает способ реализации ftS-трнггера на элементах И—НЕ (рис. 6.6,о). На рис. 6.6,6 приведено его условное графическое изображение, а на рис. 6.6,г — временные диаграммы работы. На условном графическом изображении (рис. 6.6,6) кружки на входах R, S показывают, что данный ftS-триггер управляется Инверсными сигналами, т. е. в режиме хранения информации иа входы подаются S == 1 и /? — 1, управление осуществляется подачей на соответствующий вход уровня «О», а запрещенной является комбинация входных сигналов S — R = 0. Уравнения (6.6) — (6.8) и карта Карно описывают только статические режимы работы /?5-триггеров. Наиболее полное .представление об их работе дают временные диаграммы (рис. 6.5,г, 6.6,3), которые описывают не только значения выход- а Рис. 6 6 ных уровней Q и Q в любой момент времени, но и длительности фронтов /ф, /ф, задержек и последовательность переключения логических элементов. Из временных диаграмм видно, что дли- тельность переключения /пер и минимальная длительность входного сигнала /вх mln данных асинхронных /?3-триггеров определяются средним временем задержки сигнала логическими элементами ^зд. р. ср = °’5 (^ЗД. р + ^ЗД. р) и / __/ __9/ пер вх. min зд. р. ср' Разрешающее время асинхронного /?3-триггера, определяемое как минимально допустимое запаздывание сигнала на входе R от- носительно входа S (<раз$) либо сигнала на входе <S относительно R (^раз«) разЗ ‘раз/? ° зд. р. ср' При рассмотрении временных диаграмм (рис. 6.5,з, 6.6,з) следует обратить внимание на то, что моменты переключения выходных уровней определяются строго сменой логически» состояний информационных входов, что характерно для асин- хронных /?5-триггеров. На временных диаграммах символом („) помечены интерва- лы воздействия на входы /?5-триггеров запрещенными комбина- циями управляющих сигналов. При этом в триггере на элемен- тах ИЛИ—НЕ устанавливаются выходные уровни Q = О, 5=0, а в триггере на элементах И—НЕ — Q = 1, Q = 1. Состояние, принимаемое ^S-триггером по окончании действия запрещенной комбинации, зависит, от того, какой из управля- 6 874 161 .
ющих сигналов длится дольше, а при строго одновременном пе- реключении сигналов на входах R и S — от случайного разбро- са параметров логических элементов. Для устранения неоднозначности реакции /?5-триггера на одновременное воздействие сигналами установки на входы R и S необходимо оговорить состояние, в которое /?5-триггер должен перейти. По реакции на запрещенную для /?5-триггера комбинацию входных сигналов различают S-триггеры (переклю- чаются в единичное состояние), /^-триггеры (переключаются в нулевое состояние) и 5-триггеры (от Exclusive, сохраняют предыдущее состояние) [14]. Рис. 6.7 Функционирование /^-триггера описывается согласно карте Карно уравнением чЛЛ °л-1\ 00 01 11 10 0 0 0 0 (1_ и 0 0 Схема, реализующая уравнение (6.9) в базисе И—НЕ, при- ведена иа рис. 6.7,«, а временные диаграммы работы 7?-триггера на рис. 6.7,6. Из-за связи входа логического элемента DDI с выходом DD2 при одновременном управляющем воздействии 5 = R = 1 выход DD2, на котором устанавливается R — 0, блокирует ос- тальные входы элемента DD1 и сигнал S = 1 триггер не воспри- нимает. Поэтому комбинация S = R = 1 устанавливает триг- гер в состояние «О». Как видно из временных диаграмм (рис. 6.7,6), Дополнительные логические элементы на входе увеличивают вре- мя переключения /^-триггера и минимальную длительность вход- ного сигнала: t t = Ч/ ‘пер ‘вх min u зд. р. ср- Поскольку логические элементы DDI, DD2 обусловливают практически одинаковую задержку управляющих сигналов, разрешающее время для триггера на элементах DD3, DD4 прак- тически не меняется: f ___ 9/ ‘раз зд. р, ср- 162
Функционирование асинхронного S-трнггера по определе- нию описывается картой Карно и уравнением. (6.W) для выхода Q-триггера и соответственно для выхода "ф, на котором при Rn= Sn= 1 необходимо обеспечить уровень «логического О». На рис. 6.8,а показана схема S-триггера в базисе И—НЕ, реализующая уравнения (6.10), (6.11). Связь между выходом элемента DD1 и входом элемента DD2 обеспечивает приоритет входу S, так как при Sn= 1 па вход DD2 поступает уровень $п= 0, который для логического элемента И—НЕ является доминирующим и исключает влияние других входов (в нашем случае входа R). На рис. 6.8,6 показаны временные диаграммы переключения S-триггера. Состояния асинхронного Е-триггера описываются картой Карно и получаемым из нее характеристическим уравнением для прямого Q и инверсного Qn выходов триггера (6.13) Схема, реализующая уравнения (6.12), (6.13) в базисе логи- ческих элементов И—НЕ, карта Карно и временные диаграммы переключения Е-триггера показаны на рис. 6.9. Дополнитель- ные инверторы DD5, DD6 при Sn= Rn— 1 блокируют сигнала- ми Sn= 0 и /?п= 0 логические элементы DD1, DD2, на выходах 6* 163
которых при этом поддерживаются уровни «логической 1», что соответствует режиму хранения ранее записанной инфор- мации. Вследствие задержки блокирующих сигналов инверторами DD5, DD6 на выходах вентилей DD1, DD2 формируются си- гналы помехи 6.4, 6В, аналогично помехам в асинхронных триг- герах типа R и S. Помехи на управляющих входах при опреде- DD2 DM а Рис. 6.8 ленных условиях могут привести к ложному срабатыванию дан- ных триггеров. Для устранения ложных срабатываний трипе- ры R-, S- и £-типа синхронизируют серией импульсов С, за- держанных относительно информационных сигналов R и S. Для этого в схемах (рис. 6.7, 6.8, 6.9) должен быть предусмотрен дополнительный вход С (показан штриховой линией). Таким ихронных R-, S- и Е-триггеров, которые обладают более высокой надежностью, но и большим разрешающим временем вследствие необходимой дополнитель- ной задержки синхроимпульсов на время возникновения по- мехи. Помимо борьбы с помехами режим синхронизации A?S-триг- геров широко используется при построении тактируемых циф- ровых устройств. Для обеспечения синхронизируемого режима работы асинхронные RS-триггеры должны быть дополнены схе- мами синхронизации. На рис. 6.10,а и 6.11,о показаны схемы, на рис. 6.10,6 и 6.11,6—условные графические изображения, на рис. 6.10,в и 6.11,6 — карты Карно и на рис. 6.10,а и рис. 6.1-1, г — временные диаграммы синхронных .RS-триггеров* 1'64
реализованных соответственно в базисе логических элемен- тов ИЛИ—НЕ и И—НЕ. Схемы синхронизации построены на логических элементах DD1, DD2 и формируют сигналы R*, S*, управляющие состоянием асинхронных RS-григгеров, на логических элементах DD3, DD4. Информация, поступающая на входы S и R, как видно из временных диаграмм, восприни- мается только в моменты действия синхроимпульсов С длитель- ностью Всякие изменения уровней на информационных Рис. 6.11 входах R и S (R, S) в интервале ta передаются на вход асин- хронного триггера и вызывают иесинхронизированные переклю- чения состояний, что характерно для схем синхронизации уров- нем Для нормальной работы в тактируемом режиме необхо- димо предотвратить переключения входов R, S в интервале синхроимпульса длительностью /с. Запрещенной является комбинация входных сигналов Rn= Sn = Сп~ 1. обусловли- вающая в триггере (рис 6.10,а) установку на прямом и инверс- ном выходах уровней QZI = 0, = 0, а в триггере (рис. 6.11,а) — Qn= 1> Qn~ 1> что соответствует разрыву триггерных связей. 165
В /?5-триггерах, синхронизируемых фронтом, информа- ционные сигналы Sufi могут переключаться в любые моменты времени, но в триггер записывается состояние, соответству- ющее комбинации входных сигналов S и fi непосредственно перед соответс1вующим положительным (или отрицательным) фронтом синхроимпульса. Схема синхронизации фронтом прин- ципиально включает запоминающий элемент, который в тече- ние времени tc действия синхроимпульса обеспечивает управ- ление состоянием асинхронного /?5-триггера. В синхронизи- руемых фронтом /?£-триггерах (рис. 6.12) схемы синхронизации триггеров фронтом построены на логических элементах DD1, .... DD4 типа ИЛИ—НЕ (а) или И—НЕ (в). /?$-трипер иа эле- ментах ИЛИ—НЕ синхронизируется отрицательным фронтом (на элементах И—НЕ — положительным фронтом). В паузе между синхроимпульсами на входе синхронизации имеем С — 1 Рис. 6.12 (рис. 6.12,0) н на выходах элементов DD3, DD4 поддерживаются уровни «логического 0», обеспечивающие режим храпения для асинхронного триггера DD5. Входы S и fi на состояние DD3, DD4 влияния не оказывают, так как уровень С — 1 является доминирующим. Пусть непосредственно перед отрицательным фронтом синхроимпульса С на информационных входах устано- вилась комбинация входных сигналов S = 1, fi — 0. Тогда при переключении входа С из «1» в «О» на трех входах элемента DD3 имеем «О», на его выходе устанавливается уровень «1», который подается на входы DDI и DD4, блокируя переключение их внешними сигналами. Поэтому в течение времени tQ действия уровня С = 0 триггер не реагирует на переключения управля- ющих входов 5 и fi. Аналогично происходит установка триггера в состояние «О». При одновременной установке S = 1 и fi — 1 по отрицательному фронту синхроимпульса происходит состяза- тельное переключение триггера на элементах DD3, DD4 в одно из двух возможных состояний, которое автоматически переписы- вается в триггер DD5. 4 Аналогично построен и функционирует синхронизируемый положительным фронтом /?5-триггер на элементах И—НЕ (рис. 6 12,в). Полярность синхронизирующего фронта иа услов- ном графическом обозначении (рис. 6.12,6, а) показывают косой линией на входе С. Длительность переключения / и минимальная длительность входного сигнала /вх т1|] для синхронизируемых уровнем и фронтом 166
/?5-триггеров одинаково завысят от длительности рср состав- ляющих их элементов: /пер = /вх mln = 3/зд р ср. D-триггеры. К D-триггерам относятся последовательност- ные бистабильные устройства с одним информационным входом D и входом синхронизации С, которые в моменты действия син- хронизирующего уровня или фронта устанавливаются в состоя- ние, определяемое логическим уровнем сигнала на входе D, а в паузе между синхроимпульсами находятся в режиме хране- ния информации [24]. Принципиально осуществимы и асин- хронные D-триггеры, которые, однако, не представляют прак- тического интереса. Рис. 6.13 Функционирование синхронного D-триггера в статических режимах описывается картой Карно и характеристическим уравнением = сп°п^ + сп°п~' = Wn- Г Сп°п-у (6.14) Реализации синхронных D-триггеров, удовлетворяющих уравнению (6.14), показаны на рис. 6.13. Если на синхронизи- рующий вход D-триггера (рис. 6.13,а) подан уровень С = 1 (или С = 0 для рис. 6.13,г), являющийся доминирующим для логических элементов DD1, DD2, на их выходах устанавлива- ются уровни S*= /?*== О (S*= R*= 1), которые не зависят от состояния информационного входа D и обеспечивают режим хранения асинхронного триггера на логических элементах DD3, DD4. При С = О (С = 1) информационный вход однознач- 167
но определяет состояние выхода элемента DD1, который, в свою очередь, обусловливает инверсный уровень на выходе элемента DD2. Если прн этом 0=1 (0 = 0), триггер устанавливается в единичное (нулевое) состояние, т. е. в триггер записывается информация, поданная на вход О до установки синхронизиру- ющего уровня С = 1. Следовательно, информация на выходах О-триггера появляется с задержкой относительно информацион- ного входа О, обусловленной задержкой синхронизирующего импульса С относительно информационного сигнала О, а также временем переключения логических элементов DD1, DD4 (рис. 6.13,в, е). На рнс. 6.13,6, д приведены условные графиче- ские обозначения для D-триггеров на элементах соответственно ИЛИ—НЕ и И—НЕ. Рис. 6.14 Для обеспечения нормального функционирования синхро- низируемых уровнем D-триггеров необходимо исключить пере- ключение состояния информационного входа О на интервале синхронизации С. В противном случае имеет место так назы- ваемое сквозное управление, т. е. несинхронизируемое управле- ние состоянием триггера непосредственно информационным входом. Несинхронизируемое управление исключается в случае синхронизации D-триггера фронтом синхроимпульса. D-триггер, синхронизируемый фронтом, показан на рис. 6.14, а, б [89]. Он построен на трех элементарных тригге- рах, из них 2 триггера на элементах DD1, ..., DD4 образуют схему синхронизации основной запоминающей ячейки на эле- ментах DD5, DD6. Из временных диаграмм работы О-триггера (рис. 6.14,в) видно, что в паузах между синхроимпульсами С — 0 и на выходах R*, S* поддерживаются единичные уровни независимо от состояния входа О. Это соответствует режиму хранения информации в основном триггере. Переключение уровня на входе О влияет только на логические состояния вы- ходов А и В триггеров схемы синхронизации: если D — О, то В = 1 и Л = О, а в случае D = 1 имеем В = О, А — 1. При этом один из триггеров схемы синхронизации находится в устойчивом состоянии, а другой — в режиме разрыва триггер- ных связей при уровнях «логической 1» на обоих выходах. На- пример, если D = 0, то на выходах триггера на элементах DD3t DD4 устанавливаются одинаковые уровни 2?*= В = 1. 168
По положительному фронту синхроимпульса С=0-> 1 (рис. 6.14,в) триггер, находившийся до этого в режиме разрыва триггерных связей, переходит в нормальное устойчивое состо- яние н на входах основного триггера формируются взаимноин- версные логические уровни. Если D = 0, то /?*=%0, S*== 1 И триггер устанавливается в состояние «О»; если 0=1, то /?*= 1, S*= 0 и происходит установка в состояние «1». Из диаграмм (рис. 6.14,в) видно, что при С = 1 переключе- ние состояния информационного входа D ие влияет на состояние данного D-триггера. Это объясняется тем, что при установке основного триггера в состояние «О» сигналом /?* = О одновре- менно блокируется логический элемент DD4 и иа его выходе В = 1 независимо от состояния входа D. При установке основ- ного триггера в состояние «1» сигналом S*= 0 блокируются ло- гические элементы DD1 и DD3, поэтому переключение состоя- ний D и В не влияет на состояние основного триггера, т. е. сквозное управление в таких D-трнггерах отсутствует. Состояния D-триггера, синхронизируемого фронтом, описы- ваются также уравнением (6.14). Длительность процесса пере- ключения и минимальная длительность синхроимпульса /Bxmin зависят от среднего времени задержки распространения сигнала через логические элементы ^пер ^зд. р. ср’ ^Bxmln ^зд. р. ср’ Применение триггеров в схеме синхронизации обусловли- вает регенеративный режим переключения ее в новое состоя- ние, поэтому D-триггер (рис. 6.14) часто называют «защелкой». D-триггер типа «защелка» можно аналогично реализовать на элементах ИЛИ—НЕ. Еще один способ устранения сквозного управления в D- триггерах состоит в использовании двухтактных структур MS- типа (Master — хозяин, ведущий, Slave — раб, ведомый). Двухтактный D-триггер строится на основе двух синхронизи- руемых противофазными уровнями D-триггеров (рис. 6.15,а, б). Благодаря синхронизации противофазными синхроимпульсами С и С запись новой информации в триггеры М и S ступеней прин- ципиально разделена во времени, чго исключает сквозную пере- дачу информации со входа D на выходы Qs, Qs. При С — 0 триггер вспомогательной ступени М находится в режиме хранения информации, а в выходной триггер (ступень S) уровнем С = 1 разрешена перезапись содержимого триггера М. Переключение синхроимпульса С = 0—► 1 и С = 1-> О (рис. 6.15,в) изменяет режим работы триггеров М и S: триггер М переходит в режим записи информации со входа D, а триггер S — в режим хранения информации, записанной на предыду- щем шаге. Во вспомогательном триггере М возможен режим несинхронизируемой записи в течение интервала синхроимпуль- са С — 1. По окончании синхроимпульса (С — 1-> 0) информа- ционный вход D блокируется и в основной триггер S переписы- вается окончательно установившееся состояние QM. Разрешающее время по входу синхронизации при минимально допустимой длительности синхроимпульса /BXtnin = 3/зД< р. ср t =71 ‘раз "зд. р. ср- 169
Исключение режима сквозного управления позволяет ис- пользовать синхронизируемые фронтом и двухтактные ©-тригге- ры в счетном режиме, для чего соединяются информационный вход D и инверсный выход Qs-триггера (штриховая линия на рис. 6.15,а). В табл. 6.1 приведены параметры RS- и ©-триггеров основ- ных промышленных серий, а на рис 6 16 показаны назначение и нумерация выводов соответствующих ИМС. Т-триггеры — последовательностные регенеративные биста- бильные устройства с одним управляющим входом Т, которые каждым входным сигналом переключаются в противоположное состояние. Работа такого триггера описывается уравнением, -TnQ„^ Гп°п-^Гп®°пА из которого следует, что Г-триггер реализует операцию сложе- ния по модулю 2. Поэтому Т-триггеры, режим их работы и управ- ляющий вход называют счетными. Асинхронный Т-трИггер (рис. 6.17, а, б) помимо основного вапоминающего элемента /?5-триггера на логических элементах DD5, DD6 содержит схему управления, включающую линии за- держки DD1, DD4 и логические вентили DD2, DD3. Линии за- держки обеспечивают в течение времени т (рис. 6.17,в) поддер- жание на входах элементов DD2, DD3 логических уровней, соответствующих предыдущему состоянию Т-триггера. Для обе- спечения работы Т-триггера без сбоев необходима задержка переключения логических уровней на DD2, DD3 на величину т, превышающую длительность счетного импульса 2/зд ср. 170
Таблица 6.1 Типономиизл Функциональное назначение Лют» мА, не более 'зд. р> нс- не более УГО (рис. 6.16) К561ТР2 Четыре ^S-триггера 2,0 300 а К155ТМ2 Два Р-триггера 30 40 б ТМ5 Четыре Р-триггера 53 25 в ТМ7 Четыре D-триггера с прямы ми и инверсными выходами 53 25 г ТМ8 Счетверенный Р-триггер — 35 д К531ТМ2П Два Р-триггера 50 13,5 б КМ8п Четыре Р-триггера 96 22 е ТМЭп Шесть Р-триггеров — 22 ж К561ТМ2 Два Р-триггера 0 003 150 8 К561ТМЗ Четыре Р-триггера 0,003 700 и К500ТМ130 Два Р-триггера 35 4,0 н К500ТМ131 Два D-триггера 56 4,5 О К500ТМ231 Два Р-триггера 65 3,3 О К500ТМ133 Четыре D-триггера типа «защелка» 75 5,4 п К500ТМ134 Два Р триггера 55 5,5 р К500ТМ173 Четыре Ртршгера с вход- ным мультиплексором 66 6,2 с К155ТЛ1 Два триггера Шмитта с ло- гическим элементом 4И—НЕ на входе 32 27 к ТЛ2 Шесть триггеров Шмитта с инверсными выходами 60 22 Л тлз Четыре двухвходовых триг- гера Шмитта 40 22 м К555ТЛ2 Шесть триггеров Шмитта с инверсными выходами 21 22 л В то же время для предотвращения режима генерации Т-триггера необходимо обеспечить tT < 3/зд> р ср -j- т, т. е. 2^зд. р. ср < < ^зд. р. ср 4" т- (6.15) В качестве элемента задержки можно использовать, в част- ности, интегрирующее /?С'-звено (на рис. 6.17,в соответству- ющие диаграммы QT, Qx показаны штриховой линией). Ограни- чения (6 15) на длительность счетных импульсов и необходи- мость использования линий задержек ограничивают область применения Г-триггеров типа рис. 6.17. Режим генерации Т-триггера можно принципиально исключить построением его по двухтактной схеме. В Т-триггере AIS-типа (рнс. 6.18,о) пере- ключение триггеров ступеней М и S разделены во времени са- мим счетным импульсом Т. Триггер ступени М переключается единичным, а триггер ступени S — нулевым уровнем сигнала Т. Для реализации разделенного во времени режима работы триггеров ступеней М и S можно использовать инвертор сигна- ла Т аналогично DD4 в схеме на рис. 6.15,а. Тот же резуль- 171
тат можно достигнуть использованием связей выходов элементов DD1 (Л) и DD2 (В) со входами DD4, DD5. В этом случае за- пись информации в триггер ступени М нулевым уровнем одно- временно блокирует запись в триггер ступени S, который по- этому находится в режиме хранения информации. Наоборот, если А = В — 1, то триггер ступени М переключен в режим хранения информации и разблокированы вентили DD4, DD5 для переза- писи информации из триггера ступени М в триггер ступени S. • В Г-трНггерах принципиальное значение имеют обратные связи между выходами триггера и входными логическими эле- ментами. Они включаются так, что нулевое (единичное) состо- и 172
яние триггера S разрешает переключение в единичное (нулевое) состояние триггера М. Благодаря этому каждый импульс на входе Т изменяет состояние триггеров ступеней М и S: по положительному фронту импульса Т переключается триггер ступени М, а по отри- цательному фронту — триггер ступени S. Еще один вариант Т-триггера можно построить на основе ©-триггера MS-типа (рис. 6.15,о). Для этого достаточно его информа- ционный вход D соединить с инверсным вы- ходом Q, а на вход синхронизации С пода- вать счетные импульсы (рис. 6.18,г). Как видно из временных диаграмм (рис. 6.17, в, 6.18, 6), частота импульсов на выходе Т-триггера /вых = /вх/2, т. е. их можно исполь- зовать в качестве делителей частоты. Макси- с мальная частота счетных импульсов Ггпах Рйс. 6.16 Г-триггера MS-типа при условии tT > р ср определяется значением /фтах = 1/(6<зд> р ср). Универсальный JK-триггер — это последовательностные ре- генеративные бистабильные устройства с двумя информацион- а Рис. 6.17 DD4 .173
ними входами J и К, которые в случае входной комбинации J == /( = 1 переключают триггер в противоположное состояние подобно Т-трнггеру, а при любых других комбинациям они функционируют как RS-триггер, у которого роль входов 3 и R выполняют соответственно входы J и К: J = 3, К — R. Для об с i нения счетного режима работы //(-триггер по аналогии е Т-т чггером' должен содержать элементы задержки либо должен быть выполйан по двухтактной схеме. В любом слу- чае'' анализ состояния //(-триггера на n-м шаге временной ди- аграммы можно определить по состояниям управляющих входов и триггера на шаге п —’1: /n_lf Kn-v Qn-i- Поскольку в цифро- вой схемотехнике в основном используются синхронные JК' триггеры, состояние входа синхронизации Сп является допол- Рис 6.18 нительным аргументом. Для четырех независимых переменных табл. 6.2 содержит 24 входных наборов, характеризующих все возможные переходы состояний //(-триггера. При составлении таблицы истиииости и карты Карно предполагается, что в про- цессе переключения уровней синхроимпульса состояния инфор- 174
мационных входов J и К не изменяются. Тогда характеристиче- ское уравнение //(-триггера согласно карте Карно Qn ~ CnQn—\ + ^nQn-1 + CnJn-lQn-l На рис. 6.19,а, б показаны схема, на рис. 6.19,в — карта Карно и на рнс. 6.19,г — временные диаграммы синхронного //(-триггера на основе логических элементов И—НЕ и линий за- держки. Данный вариант //(-триггера отличается от Т-тригге- ра (рис. 6.17,а) тем, что элементы DD2, DD3 имеют дополнитель- ные управляющие входы J и /(, а их общий вход используется для синхронизации. Поэтому на длительность синхроимпульса /с накладывается ограничение (6.15). Синхроимпульс / (рис. 6.19,г) совпадает во времени с / = 1 и, так как триггер вначале находился в состоянии «О», то и = 1, поэтому_при переключении С = 0-э- 1 формируется нуле- вой уровень S*, который переключает DD5, а затем DD6, пере- водя //(-триггер в состояние «1». Аналогично синхроимпульс 2 положительным фронтом при К. = 1 и J = 0 переключает //(-триггер в состояние «О». Синхроимпульсы 3 и 4 поступают на вход триггера при / = К = 1, ио благодаря взаимно ин- версным задержанным сигналам QT, Qx с выходов триггера пе- реключается только один из логических элементов DD2, DD3 и именно тот, который обеспечивает переключение //(-триггера в противоположное состояние. При этом //(-триггер работает в режиме счетчика, или делителя частоты синхроимпульсов как Т-триггер. Ограничение (6.15) на длительность синхроимпульсов ta сужает область применения однотактных //(-триггеров. Двух- тактный //(-триггер (рис. 6.20,о) не критичен к длительностям управляющих и синхронизирующих сигналов. Функционирова- ние двухтактного //(-триггера поясняется временными диа- 175
граммами (рис. 6.20,3). Максимальная частота следования управляющих или синхронизирующих импульсов ^сшах »/(6/зд. р. ср)* На рис. 6.20,6 показано применение //(-триггера в каче- стве двухтактного /(S-триггера. Дополнительный инвертор на входе (рис. 6.20,в) позволяет использовать //(-триггер в каче- стве синхронного двухтактного D-триггера. Если входы J и К Рис. 6.20 объединить и подать на них счетные импульсы Г, получим двух- тактный Т-триггер (рис. 6.20,г). В этом состоит универсальность //(-триггера, который широко применяют прн построении парад- Таблица 6.3 Типовоминал Функциональное назначение ^ПОТ’ мА, не более (зд. р- нс* не более У го (рис. 6.21) К500ТВ135 К155ТВ1 К531ТВ9П ТВ10П ТВ11П К555ТВ6 К561ТВ1 Два УК-триггера /К-триггер с логикой ЗИ на входе Два УК-триггера с установ- кой логического 0 и 1 Два /К-трнггера с установ- кой 1 Два УК-триггера с установ- кой 0 Два УК — триггера со сбро- сом Два УК-триггера 68 20 50 50 50 8 0,002 5,0 40 7,0 7,0 7,0 30 600 а б в г д е Ж 176'
дельных и последовательных регистров, различных пересчет- иых устройств, накапливающих сумматоров и т. д. В табл. 6.3 приведены параметры наиболее широко исполь- зуемых //(-триггеров, иа рис. 6.21 показана нумерация соот- ветствующих выводов ИМС Рис. 6.21 6.2. РЕГИСТРЫ Регистры — это последовательностные устройства, пред- назначенные для приема, хранения, простых преобразований и передачи двоичных чисел. Под простыми преобразованиями понимаются сдвиг чисел на заданное количество разрядов, а также преобразование последовательностного двоичного кода в параллельный и параллельного в последовательностный., ра- зовыми элементами регистров являются триггеры, которые дополняются комбинационными логическими элементами для реализации различных связей между разрядами регистра и дач управления приемом и передачей операндов. Основное функци- ональное назначение регистров — оперативная память для многоразрядных двоичных чисел. В зависимости от способа приема и передачи двоичной ин- формации различают параллельные, последовательные, после- довательно-параллельные, параллельно-последовательные и уни- версальные регистры. В параллельных регистрах или регистрах памяти ввод/ вывод всех разрядов числа производится одновременио за один такт. Для построения n-разрядиого регистра памяти требуется 177
п триггеров. Параллельные регистры служат основным функ- циональным элементом для построения оперативных запоми- нающих устройств. В последовательных регистрах ввод/вывод информации осу- ществляется через один информационный вход и один выход по- разрядно со сдвигом числа. Поэтому последовательные регистры называют сдвигающими (или сдвиговыми). За один такт вводи- мая или выводимая информация сдвигается на один разряд вправо или влево. Сдвигающие регистры, реализующие по ко- манде управления сдвиги информации вправо или влево, назы- вают реверсивными. Последовательно-параллельные регистры имеют один ин- формационный вход для последовательного ввода числа в режи- ме сдвига и выходные вентили для выдачи n-разрядного числа параллельным кодом. Такие регистры выполняют преобразова- ние последовательного кода в параллельный. В параллельно-последовательные регистры информация вво- дится параллельным кодом за один такт через тактируемые вход- ные вентили, а выводится из них последовательно по одному разряду в каждом тактовом интервале. Тем самым реализуется операция преобразования параллельного кода в последова- тельный. Универсальные регистры сочетают в себе возможности вы- шеперечисленных типов регистров и, кроме того, обеспечивают режимы отключения входов и выходов (третье логическое со- стояние) регистра от общей информационной шины, перекомму- тацию местами входов и выходов регистра и тем самым переклю- чение функций прием / передача информации в общую инфор- мационную шину. Технические параметры регистров определяются параметра- ми их основного фуикциоиального узла — триггера н разрядно- стью операнда. Параллельные регистры. При построении параллельных регистров обычно используются простейшие асинхронные BS- триггеры и синхронные RS- и О-триггеры [83]. На рис. 6.22 представлены варианты параллельных регистров, отличающие- ся типом триггеров и составом входных / выходных информа- ционных шин. Регистр на основе асинхронных /?5-триггеров (рис. 6.22,о) перед занесением очередного n-разрядного числа со входов хг, ... , хп требует предварительного сброса всех триг- геров в нулевое состояние. Сброс производится сигналом R = 0. Ввод информации в регистр происходит по сигналу А — 1, R = 1. Если на некотором z-.м входе Д',- = 1, то S(= Х[А = О н данный триггер (с инверсным управлением) переключается в состояние «1». Если на входе Xj — 0, то 5;= XjA — 1 и /-й триггер, сохраняет состояние «0». Вывод информации из регистра производится по сигналу В = 1, определяющему со- стояния выходов YK — QKB. Если В = 0, то иа всех выходах устанавливаются уровни «логической 1», а при В = 1 имеем YK — QK. Основной недостаток данного регистра — необходи- мость предварительной очистки регистра, из-за чего обновление информации осуществляется за два такта. В регистре (рие. 6.22,6) также на основе асинхронных /?5-триггеров предварительная очистка не требуется, поскольку обновление информации в нем происходит установкой триггеров 178
состояние «1* и «О» за одни такт. Для вгого на входе регистра требуется вдвое больше логических элементов и линий связи. В с^еме показан способ выдачи информации в прямом коде (ко- манда Вг— 1) и/нли в обратном коде (команда В2= 1). Асин- хронные ftS-триггеры в сочетании со входными вентилями (рис. 6.22,а, б) ио существу образуют варианты синхронных /?5-триггеров. На рис. 6.22,в показана схема параллельного регистра на основе синхронных D-триггеров. Здесь в качестве входных ис- пользуются логические элементы, входящие в схему О-триггеров. Ввод информации происходит на интервале синхронизации при С = 0. В качестве выходных использованы логические элементы Рис. 6.22 И—ИЛИ—НЕ, которые формируют выходные сигналы согласно выражению = + (6.16) т. е. при Р — 1 У,- = Qj и информация из регистра выдается в прямом коде, а при Р = 0 F< = Q(— в обратном. Если выражение (6.16) тождественно преобразовать к од- ной из форм Y = PQi + PQi = Р Ф Qi = Р Ф Qi = Р ® Qi, то выходную цепь регистра (рис. 6.22,в) можно реализовать на логических элементах ИСКЛЮЧАЮЩЕЕ ИЛИ (рис. 6.22,г). Выбор способа ввода информации в регистр или ее вывода обыч- но обусловлен требованиями быстродействия и условиями реа- лизации регистра в цифровом устройстве. Сдвигающие регистры. Рассмотрим последовательные, после- довательно-параллельные и параллельно-последовательные ре- гистры, которые должны выполнять операцию сдвига при после- довательном вводе и/или выводе информации. Сдвиг числа 179
реализуется перезаписью состояний между соседними триггерами регистра в направлении сдвига. Таким образом, каждый разряд регистра одновременно принимает информацию из предыдущего разряда и передает информацию в последующий. Во избежание явления гонок эти процессы должны быть разделены во вре- мени. Это достигается включением элементов линий задержек в связи между разрядами либо использованием двухтактных триггеров. В интегральной схемотехнике статические сдвигаю- ющне регистры строятся преимущественно на двухтактных D- н JX-триггерах. При однонаправленном сдвиге информации достаточно ре- ализовать непосредственные связи между выходами предыдущего Рис. 6.23 и входами последующего триг- гера Л'13-1ипа (рис. 6.23,я, 0. В сдвигающем регистре на D-триггерах (рис. 6.23,о) для передачи информации между разрядами требуется связь между <2, и Dl+l, а в случае использования JK-трлггеров (рис. 6.23,6) —двойные свя- зи; Q; с •/,+, и Qi с Л/+1- В остальном структуры, прин- цип работы и параметры данных регистров аналогичны. Ввод информации может производиться последовательно со входа X с последующим сдвигом информации вправо на один разряд по каждому синхроимпульсу С либо параллельно со вхо- дов А по сигналу / — 1 асинхронной записи числа в предва- рительно очищенный регистр (сигналом R = 0 асинхронного сброса триггеров в состояние «О») По положительному фронту синхроимпульса С = 1 происходит запись информации со входов О и Л в триггеры первых ступеней М. Выходные уровни триггеров при этом остаются неизменными (см. рис. 6.23,в). По отрицательному фронту синхроимпульса входы D-трит геров ступени М блокируются и записанная в них информация пере- дается в триггеры ступени S. Происходит переключение выход- ных уровней D-триггеров. Таким образом, реализуется чисто последовательный регистр со сдвигом числа вправо (показано стрелкой на рис. 6.23,в, вход — X, выход — Qs), последователь- но-пара дельный (вход — X, выходы — Qlt Q2, Q3) и параллель- но-последовательный (входы — А,, выход — <2а). Кроме то№, при замыкании выхода последнего разряда с последовательным входом X (штриховая линия) реализуется кольцевой сдвигающий регистр. Информация в кольцевой регистр вводится параллель- ным кодом со входов Ai и затем циркулирует в замкнутом кольце 18Q
под действием тактовых синхроимпульсов С. Кольцевые реги- стры удобно использовать для построения, например, тактовых генераторов цифровых устройств. В реверсивных сдвигающих регистрах для обеспечения воз- можности сдвига информации в обоих направлениях выход каждого разряда должен быть связан через логические элементы переключения направления сдвига со входами предыдущего и последующего разрядов. Щсть направление сдвига задается логическим уровнем сигнала Е так, что при Е = 0 осуществляет- ся сдвиг вправо. О/ = а при Е = 1 происходит сдвиг влево; = Qi+l. Тогда /-й триггер реверсивного сдвигающего регистра должен управляться сигналом о, = 4- == f'Qi-1 4*= EQt—i • (6.17) Рис. 6.24 который формируется логическим элементом И—ИЛИ—НЕ (рис. 6.24, а) либо на логических элементах И—НЕ. Для сигнала в соотношении (6.17) используется сигнал Qo = X последова- тельного входа при сдвиге вправо или X = при построении кольцевого сдвигающего вправо регистра. Аналогично для_входа Dt в качестве сигнала используется последовательный вход К при сдвиге влево или К = Q, для кольцевого сдвигающего влево регистра. На рис. 6.24, б показан тождественный согласно выра- жению (6.17) вариант схемы управления разрядом реверсивного сдвигающего регистра. На рис. 6.25 показан вариант реверсивного сдвигающего регистра на основе /«-триггеров. Сигнал на входах // опреде- ляется аналогично Df согласно выражению (6.17), а на выходах К( всегда Ki = 7, благодаря инверторам во входных цепях. Параллельный ввод информации в асинхронном режиме в сдвигающие регистры возможен, например, через несинхроии- зируемые входы R и S. Синхронный параллельный ввод реали- зуется через систему логических элементов управления сдви- гом. Так как в данном случае предусматриваются три состо- яния регистра (параллельный ввод, сдвиг вправо, сдвиг влево), одного двоичного управляющего сигнала Е уже недостаточно для управления ими. Пусть два управляющих режимом работы сигнала Et, Et кодируют состояние регистра в соответствии 181
с табл. 6.4 Тогда входы D и С i-ro разряда определяются сле- дующими логическими уравнениями: 4* = С = + E&T + 'E^T = Т + ЕгЕг. Рис. 6.25 Соответствующий сдвигающий регистр на основе D-триггеров показан на рис. 6.26. Здесь А( — входы параллельного ввода информации, X — последовательный вход для сдвига вправо, Y — последовательный вход для сдвига влево. Динамические регистры — регистры, для которых опреде- лена верхняя граница временного интервала хранения ин- формации без восстановления. Чаще всего в них физическим носителем информации явля- ется заряд некоторой емкости или зарядовый пакет в объеме полупроводника [4; 14]. Для Таблица 6.4 Код Е, Е, Режим работы О 0 Параллельный ввод информации О 1 Сдвиг влево 1 0 Сдвиг вправо 1 1 Блокировка входов управления зарядом исполь- зуют МДП-траизисторы, об- ладающие весьма большим сопротивлением в запертом состоянии (1012... 101Б Ом). Ис- пользуя логические элементы на Л1ДП-транзисторах, мож- но по заданному алгоритму управлять зарядом и напря- жением на некоторой паразитной межэлек!родной емкости. На рис. 6.27,<т показана схема 4-тактного динамического регистра на р-МДП-транзис юрах, каждый разряд которого выполнен на шести транзисторах VT1, ... , VT6. Каждый раз- ряд содержит два тактируемых ключа на транзисторах соответ- ственно VTl, VT2, VT5 и VT3. VT4, VT6, С1 и С2 — эквива- лентные входные емкости ключей. Пусть на вход (-го разряда поступает от (i — 1)-го разряда логический сигнал X = 1, которому соответствует электрический сигнал t/ц = —(/и_ п, и все остальйые емкости разряжены. Так- 182
товый импульс Тг (рис. 6.27, 6) открывает транзисторы VT1 всех разрядов и конденсаторы С2 через них заряжаются до напряжения Ц.> =— UH п | (7Пф51. Тактовые импульсы Т2 открывают тран- зисторы VT2 и в зависимости от состояния транзисторов VT5, которое определяется напряжениями на конденсаторах С1, кон- денсаторы С2 либо разряжаются через транзисторы VT2 и VT5, либо сохраняют заряд и напряжение Т/*2, если соответствующий транзистор VT5 заперт. В рассматриваемом примере транзистор Рис. 6 26 Рис. 6.27 VT5t на такте 7\ открыт, а 1/7'<5(+1 заперт, поэтому C2t разря- жается, тогда как С(+. сохраняет заряд. Тактовый импульс Г3 открывает транзисторы VT3 разрядов и обусловливает заряд кон- денсаторов С1. На следующем такте открываются транзисторы VT4 и в зависимости от состояния транзисторов VT6, которое зависит от напряжения на конденсаторах С2, происходит разряд конденсаторов С1 через последовательно соединенные открытые транзисторы VT4 и VT6, либо конденсатор С1 сохраняет заряд. На временной диаграмме (рис. 6.27, б) видно, что С1 .-+1 сохраняет заряд, a Clразряжается через VT4 и V'7'5^1. Таким образом, за 4-тактный цикл «логические 0 и I» сдвигаются на один разряд (показано стрелками на рис. 6.27, б). 183
Основное достоинство динамического регистра на МДП- транзисторах — низкое потребление мощности. Это обусловле- но отсутствием сквозных токов в ключах, коммутируемых сдви- нутыми во времени тактовыми импульсами Т\, Т2 и Т3, 7\. Потребление мощности связано только с зарядом паразитных конденсаторов Cl, С2. Благодаря этому при изготовлении мно- горазрядных динамических регистров возможна весьма высокая степень интеграции элементов. Недостаток динамических регистров — ограничение сверху длительностей тактовых импульсов, которое связано с конеч- ным временем хранения заряда на паразитных емкостях МДП- транзисторов. Вследствие этого рабочая частота динамического регистра ограничена / = (S Л)'1^ 1 ... 10 кГц. 1=1 Другой недостаток — сложность тактирования ретстра че- тырьмя сдвинутыми во времени тактовыми последовательно- стями . Отмеченные достоинства и недостатки присущи и динамиче- ским регистрам на основе приборов с зарядовой связью (ПЗС) 134]. Регистры на основе ПЗС относятся к элементам функци- ональной электроники, у которых нет четких границ между электронными компонентами. Поэтому протекающие в них про- цессы рассматриваются в некоторой среде с распределенными параметрами. Функционирование ПЗС-регистра основано на том, что в каждой из составляющих его последовательно расположенных МДП-структур можно создать локальный приповерхностный за- ряд неосновных носителей и переключением потенциалов затво- ров соответствующими тактовыми последовательностями пере- мещать этот заряд вдоль канала от некоторого входного электро- да к выходному. Совокупность МДП-структур ПЗС-регистра формируется на общей полупроводниковой подложке (рис. 6.28). Зарядовый пакет образуется в области электрода-инжектора И управляющим напряжением Ua. Перемещение зарядового паке- та вдоль канала синхронизируется тактовыми импульсами на фазовых электродах Фп Ф2, &з- Импульсный выходной сигнал формируется прн достижении зарядовым пакетом выходного электрода Q. Кроме названных ПЗС-регистр может также содер- 184
жать управляющие электроды V, (для отключения инжектора от канала) и / или У2 (для отключения выходного электрода от канала). Процессы формирования, хранения в течение ограничен- ного времени и направленной передачи зарядовых пакетов свя- заны с распределением потенциалов в при заданных напряжениях на внешних руются зонной диаграммой (рис. 6.29). Примерно через 1 пс после того, как напряжение на некотором затворе превысит пороговое напряжение Unop основные носители переходят в глубь полупроводника, образуя обедненный слой глубиной Хо с с поверхностным потенциалом срЕп. У поверхности об- разуется потенциальная яма для не- основных носителей, куда они «ска- тываются» из обедненного слоя со- седнего элемента под воздействием полупроводнике канала электродах и иллюстри- электрического поля. Так как скорость термогенерации неос- новных носителей относительно велика, потенциальную яму можно использовать только для временного хранения несу- щих информацию зарядовых пакетов. Максимальное время хра- нения зарядовых пакетов ограничено процессами рекомбинации и имеет порядок десятков наносекунд [56]. вать одно- и двухступенчатые импульсы. На практике чаще ис- пользуется управление одноступенчатыми импульсами с вре- менным перекрытием фазовых последовательностей (рис. 6.30). Под действием напряжения Uu на инжекторе за время ин- жекции Д/„ формируется зарядовый пакет Qn = д Vo. и [ехР (^и/Фг) — И. где 70< н — обратный ток инжекторного р-п-перехода; <рт—темпе- ратурный потенциал. 185
По мере синхронизируемого перемещения зарядового паке- та вдоль канала ПЗС-регистра он уменьшается за счет инерцион- ности неосновных носителей, рекомбинации с термогенерирован- ными носителями, а также частичной обратной передачи. Ток Iq выходного электрода Q содержит две составляющие: ток 70 п обратио-смещенного р-п-перехода, к которому приложено напря- жение Uq, и ток 1З П информационного зарядового пакета !Q — !о. п + !з. п = < п (ехР - Ч + Л. п' 186
/г. ft RS « fQ л «J J л £ л ^2- 14 13 WAe Hr (L f2 Mf ,0 J_ 4 4 М41 Ht RAi ft- *L о RS 4 в 3 B, R3 Si 13 2_ St 11 12 18 в c ft R Sit 1L J ,c Sf! Sit a 1 ti. c R У M4- Ot ft ft S, 6t 6j 6. 3 ли 11 •12 Я Ф Рис. 6.31 Оконечный усилитель по импульсу тока Iq формирует вы- ходной импульс ПЗС-регистра. ПЗС-регистры сохраняют рабо- тоспособность в диапазоне частот фазовых импульсов f$— = 50...2000 МГц [56]. Динамические регистры применяют в качестве цифровых линий задержки, в устройствах с последовательной обработкой информации и в последовательных ОЗУ большой емкости. 187
Таблица 6.5 Тип ИМС Функциональное назначение F, мГц S о с | УГО (рис. 6.31) К155ИР1 4-разрядиый сдвиговой регистр 25 82 а ИР 13 8-разрядный реверсивный сдвиго- вый регистр 25 116 б ИР! 5 4-разпядный регистр 25 72 в • ИР17 12-разрядный регистр последова- тельных приближенна для АЦП 10 124 а К500ИР141 4-разрядный сдвиговый регистр 100 126 д К531ИР11 4-разрядный реверсивный сдвиго- вый регистр 70 135 е ИР! 2 4-разрядиый сдвиговый регистр 70 109 ж ИР18 6-разрядный буферный регистр 40 75 в ИРШ 4-разрядный буферный регистр 40 75 и ИР20 4-разрядный двухканальный ре- гистр 40 120 к ИР21 4-разрядный двухканальный сдви- говый регистр 40 85 Л ИР24 8-разрядный реверсивный сдвиго- вый регистр /ВЬ1Х < 30 мА 35 60 м К555ИР8 8-разрядный сдвиговый регистр /вых « 15 мА 20 27 н ИР9 8-разрядпый сдвиговый регистр 20 63 О ИР16 4-разрядный сдвиговый регистр 7ВЬ|Х < 30 мА 30 29 п ИР22 8-разрядный буферный регистр /Вых « 30 мА 30 40 р ИР23 8-разрядный буферный регистр 'вых С 30 мА 25 45 р ИР26 4-разрядный регистр памяти на 4X4 бит 20 50 с ИР27 8-разрядный регистр 30 20 т К561ИР! 18-разрядный сдвиговый регистр 1 0,05 У ИР2 Два 4-разрядных сдвиговых ре- гистра 25 0,05 ф ИР6 8-разрядный сдвиговый регистр 3 0,05 X ИР9 4-разрядиый сдвиговый регистр 1 2 ц ИР11 4-разрядный регистр памяти на 8X4 бит 2 0,4 ч ИРШ 4-разрядный регистр памяти на 4X4 бит 0,5 0,04 ш ИР13 12-разрядный регистр последова- тельных приближений для АЦП 2 0,3 щ 188
В табл. 6 5 приведены параметры регистров промышленных серий цифровых ИМС, а на рис. 6.31—их условные графиче- ские обозначения. 6.3. СЧЕТЧИКИ Счетчиками называют последовательностные цифровые уст- ройства, предназначенные для подсчета и запоминания числа импульсов, поданных в определенном временном интервале на его счетный вход. Помимо счетного счетчики могут еще иметь входы асинхронный илн сиихроиной установки начальных состояний. По характеру изменения состояний счетчика счет- ными импульсами различают суммирующие, вычитающие и ре- версивные счетчики [61; 83]. По способу организации переносов между разрядами их можно разделить на счетчики с последо- вательным, сквозным, параллельным и комбинированным пере- носом. Счетчики с последовательным и сквозным переносом называют асинхронными, а с параллельным переносом — син- хронными. Обычно счетчик содержит один или несколько иден- тичных разрядов, построенных на основе двоичных триггеров. Количество различимых состояний разряда счетчика является его классификационным признаком, согласно которому счет- чики называют двоичными, двоично-десятичными и т- д. Основными техническими параметрами счетчиков являются коэффициент пересчета Л'сч и быстродействие. Коэффициент Асч представляет собой число различимых устойчивых состо- яний счетчика и если каждый счетный импульс переводит счет- чик в новое состояние, то Ксч равно максимальному числу им- пульсов, которое счетчик может просчитать и запомнить без повторения состояний. Быстродействие счетчика определяется максимальной частотой следования счетных импульсов /тах, регистрируемых счетчиком без сбоев, максимальной частотой переключения состояний счетчика /сч-тах и временем установки /уст состояний счетчика, определяемое как максимальный вре- менной интервал от момента поступления счетного импульса до момента перехода всех разрядов счетчика в новое устойчивое состояв не. Асинхронные счетчики. В асинхронных счетчиках от- сутствует общая для всех разрядов синхронизация и переход разрядов в новые состояния происходит последовательно раз- ряд за разрядом, начиная от входного, на который поступают счетные импульсы. Если рассмотреть последовательность со- стояний, . например, 4-разрядного суммирующего двоичного счетчика (табл. 6.6), то из нее видно, что признаком смены со- стояний любого из разрядов счетчика является переключение предыдущего разряда (или входного сигнала) из состояния «1» в «О». Именно так себя ведут двухтактные Т и //(-триггеры, рас- смотренные в разделе 6.1. Таким образом, последовательный счетчик, работающий согласно табл. 6.6, можно выполнить в виде цепочки Т-триггеров, для каждого из которых счетный импульс формируется триггером соседнего младшего разряда (рис. 6.32,а). Как было показано в разделе 6.1, счетный режим //(-триггера имеет место в случае / = К = 1. На рис. 6.32,а входы / и К триггеров свободны, что обычно эквивалентно подаче на входы / и /( уровней «1». Из временной диаграммы 189
Таблица 6.6 Десятичный эквивалент двоичного кода 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 RC Вход оН 0 1 0 I 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 I 0 1 0 1 0 1 0 ш ал 2° Qi 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 21 Qi 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 2* 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 2» & 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 (рис. 6.32,6) видно, что время установления счетчика 1у(Я-сч. зависит от количества последовательно переключающихся раз- рядов и для lV-разрядного счетчика оно изменяется в пределах ^уст7 ^уст. сч Л^устГ = ^уст max, где 1устГ = 0,5 т + /у“т г) — среднее время установления триг- гера. Максимальная частота следования счетных импульсов /тах определяется независимо от структуры счетчика предельной час- тотой переключения первого триггера. Если же требуется различать (дешифрировать) каждое состояние счетчика, то до подачи очеред- ного счетного импульса все разряды должны установиться в новое Рис. 6.32 состояние на время ta. В этом случае максимально допустимая частота смены состояний /сч та х определяется по наихудшему вре- мени установления ^сч тая ~ (^0 ^уст max) 1 = (^0 + МустТ") (6.18) Основное достоинство последовательного счетчика — мини- мальные затраты микросхем и минимум электрических связей, что упрощает разводку линий связи и повышает помехозащи- щенность схемы. Главный недостаток — низкое быстродействие, которое тем ниже, чем больше коэффициент счета Лсч= 2N и чем, следовательно, больше в счетчике разрядов N. Один из способов увеличения быстродействия асинхронных счетчиков состоит в организации переносов между разрядами через дополнительные логические элементы (рис. 6.33). Если первый триггер ечетчика (рис. 6.33,а, в) находится в состоянии 190
«1», то следующий счетный импульс Т сбрасывает его в состо- яние «О» отрицательным фронтом. Как видно из временных ди- аграмм (рис. 6.33,6), еще до переключения выхода Qi триггера DD1 счетный импульс Т через вентиль DD2 поступает в виде импульса переноса Рг на вход второго разряда и вентиль DD4, и если Q2~ 1, то счетный импульс проходит дальше через вен- тиль DD4 и т. д. Счетный импульс Т проходит до вентиля, па второй вход которого поступает Q, = 0. При этом триггеры с пер- вого до (I— 1)-го устанавливаются в «О», а t-й триггер—в со- стояние «1» (рис. 6.33,6). В М-разрядном счетчике со сквозным переносом время установления определяется задержкой счет- ного импульса Т в цепях переноса и временем установления <устГ последнего из переключающихся триггеров ^устшах № 0 ^сд. р. ср 4* ^устГ- I Рис. 6.33 Тогда максимальная частота счета /счтах “ 1^0 Т (^ 0 /зд. р, ср ^уотг! оказывается выше, чем частота последовательного счетчика, определяемая формулой (6.18), поскольку N — 1 вентиль И переключаются значительно быстрее, чем N — 1 триггер. J'< С точки зрения структуры, функционирования и техниче- ских параметров варианты асинхронных счетчиков (рис. б.ЗЗ.сг, в) эквивалентны. В зависимости от количества разрядов N они реализуют коэффициент счета Хсч= 2W и их можно использо- вать в'качестве делителей частоты /вых = /сч/Ксч- (6.19) Часто при проектировании цифровых устройств возникает необходимость в делителях частоты, для которых в выра- жении (6.19) —любое целое число. Если в арсенале схемотех- ника имеются простейшие делители частоты с коэффициентами счета Ксч= 2, 3, 4, 5, 6, 7, 8, 9, 10, то большое количество де- лителей частоты с Хсч, разлагающимся на простейшие множи- тели, строятся на их основе. Требуемые Ксч получают введе- нием в счетчики обратных связей. На рис. 6.34, а—е показаны Последовательные счетчики для /<сч, заданных одноразрядным 191
десятичным числом. На ием не показаны счетчики для Ксч— 2, 4, 8, которые реализуются без введения обратных связей вклю- чением последовательно соответственно 1, 2 и 3 7-триггеров. Общий принцип построения показанных на рис. 6.34 счет- чиков с нечетным Кач показан на рис. 6.35. Если между вход- ным и выходным триггерами включен делитель частоты на лю- бое натуральное число п, то такая схема обеспечивает деление частоты на ксч ==2/14-1. (6.20) Например, счетчик делитель частоты на 3 (рис. 6.34,а) реализо- ван при п = 1, что соответствует прямой связи между входным DD1 и выходным DD2 триггерами. Для Ксч= 5 согласно выра- жению (6.20) необходимо п = 2, поэтому между входным и вы- ходным триггерами должен быть включен один дополнительный триггер-делитель частоты на 2 (рис. 6.34,6). Делитель частоты « Кач — 6 = 2 X 3 (рис. 6.34,в) получен последовательным со- единением делителей частоты иа 2 и на 3 (рис. 6.34,s). Для ре- ализации Кач— 7 (рис. 6.34,е) и Ксч= 9 (рис. 6.34,5) использо- вана структура (рис. 6.35) соответственно при п = 3, получен- ном с помощью схемы (рис. 6.34,о), и при п = 4. Делитель частоты на 10 = 2 X 5 представлен (рис. 6.34,е) последователь- ным соединением делителя частоты иа 5 и дополнительного триггера. Приведенные на рис. 6.34 схемы последовательных счет- чиков предназначены в основном для использования в режиме деления частоты без дешифрации их состояний, смена которых при использовании структуры на рис. 6.35 не соответствует естественному счету. Их достоинство — максимальное быстро- действие, ограниченное предельной частотой переключения входного триггера, прн минимальных затратах оборудования. При построении счетчиков с дешифрацией Ксч состояний необходимо обеспечить регулярность смены состояний согласно двоично-десятичному счету. Для их реализации необходимо определить требуемое количество триггеров W согласно^соот- 192
sr Рис. 6.34 _ Кроме последовательно включенных N триггеров такой счетчик должен содержать логическую схему, декодирующую состояние Кач и формирующую сигнал сброса всех разрядов в состояние «О». Пример десятичного счетчика с регулярной сменой состояний показан на рис. 6.36. Каждый десятый им- пульс переводит счетчик в состояние 1010, на двух входах вен- тиля DD5 устанавливаются уровни «1» и его выходной сигнал 7? = QiQi — 0 сбрасывает все триггеры в состояние «0». Если 7 274 193
один из триггеров DD2 или DD4 сбросится раньше, чем осталь- ные, возможны неполное обнуление счетчика и ошибка в счете. Для увеличения надежности сброса используют дополнитель- ный ^S-триггер (рис. 6.37) на элементах DD7, DD8, обеспечи- вающий сигнал сброса R = 0 вплоть до переднего фронта следу- ющего счетного импульса. За это время все разряды счетчика гарантированно устанавливаются в состояние «О». Аналогично можно реализовать асинхронный A-разрядный двоичный счет- чик для любого Ксч, удовлетворяющего условию (6.21). Рис. 6.35 Если возникает необходимость автоматического управления величиной /С„ в пределах 1 < К._ < К.„ ,пях, то необходим асин- V4 ж Li UT НМЛ хронный двоичный счетчик, содержащий N* разрядов, определя- емых из условия nN* —1 - v- o.V* “ Лсч max z > а также управляемая кодом схема сброса ечетчика в нулевое состояние, как, например, на рис. 6.38 для случая N = 4. На входы Ар ..., At подается двоичный код, соответствующий Ксч = А4.2з+А8. 24- At > 21Аг • 2Р — I. 194
После Ксч— 1 импульсов на выходах В1( .... Bf логических элементов DD5.....DD8 устанавливаются логические уровни В; = Q(Ai = Qi Ai = 1, которые подаются на входы схемы совпадения DD9. Следующий счетный импульс Т = 1 через вентиль DD9 устанавливает ЛВ- триггер DDiO в единичное состояние и инверсный выход тригге- ра Q = О обусловливает установку разрядов счетчика в состо- яние «О». Таким образом, через Ксч импульсов счетчик возвра- щается в исходное состояние. Например, для реализации счета по модулю 10 на входы Alf Л2, Да, необходимо подать код Рассмотренные выше асинхронные счетчики относятся к классу суммирующих. Для реализации режима вычитания в табл. 6.6 достаточно 0 заменить на 1 и наоборот, что эквива- лентно съему информации с инверсных выходов триггеров (табл. 6.7). Таблица 6.7 Десятично(й эквивалент двоичного кода 15 14 13 12 н и 9 8 7 6 5 4 3 2 1 0 RC Вход Вес О 2 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 I 0 1 0 I 0 1 0 1 0 I 0 2° Qr 1 0 I 0 1 0 1 0 1 0 1 0 1 0 1 0 2‘ 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 22 Qs 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 23 Qi 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 Если изменить обозначения выходов триггеров (рис. 6.32,а) Qi на Q, и наоборот Q, на Q/, то вычитающий счетчик получается последовательным соединением инверсных выходов предыдущих разрядов со счетными входами последующих разрядов Т-триг- т* 195
геров (рис. 6.39). Показанные на рис. 6.39,а Т-триггеры переклю- чаются отрицательным фронтом входного сигнала или сигнала с инверсного выхода предыдущего триггера, что соответствуем положительному фронту на прямых выходах триггеров. Поэто- му на временных диаграммах (рис. 6.39,6) переключение тригге- ров DD2 и DD3 синхронизировано положительными фронтами , выходов соответственно Qt и Q2. Таким образом, единственное отличие между суммирующими и вычитающими счетчиками Рис. 6.39 состоит в организации цепей переноса из младших разрядов в старшие. Счетчики с управляемым направлением счета называют реверсивными. Для построения реверсивного счетчика' необхо- димо между разрядами включить логическую схему, обеспечи- вающую связь счетного входа второго и последующего разрядов с выходами Q (суммирование) или Q (вычитание) триггеров пре- а б г д Рис. 6.40 дыдущих разрядов. Пусть направление счета задается сигналом Е так, что при Е = 0 выполняется, счет С с суммированием, а при Е = 1 — с вычитанием. Тогда сигнал переноса в /-й раз- ряд Pi определяется логическим уравнением Pl = Q:Ё + QtE ~ Ojt • = Qi ® Е. (6.22) Соответственно тождественным выражениям (6.22) можно реализовать различные схемные варианты цепей переноса в ре- версивных счетчиках (рис. 6.40). Реверсивный счетчнк с межраз- рядной логикой управления счетом вида рис. 6.22 показан на 196
рис. 6.40,3. Включение дополнительных логических элементов между разрядами увеличивает время установления счетчика ZyCT и снижает максимальную частоту смены регистрируемых состояний. Не должна вводить в заблуждение кажущаяся про- стота цепей переноса в виде рис. 6.40,г, так как время задержки одного логического элемента ИСКЛЮЧАЮЩЕЕ ИЛИ может и превышать время задержки сигнала в схемах на рис. 6.40,а, б, в. Синхронные счетчики. К синхронным или параллельным относят счетчики, в которых переключение разрядов происхо- дит одновременно независимо от удаленности разряда от счет- ного входа. Это достигается подачей на все триггеры синхрони- зирующих импульсов, которые положительным или отрицатель- ным фронтом вызывают переключения триггеров в соответствии с логикой работы счетчика. Благодаря такой синхронизации достигается минимальное время установления счетчика ?устсч, которое не превышает время установления одного триггера /устГ. Тем самым обеспечивается максимальная частота смены состояний счетчика /сч max = Wax + 'уст. сч> = V('BX + 'устГ). (6.23) Схема простейшего суммирующего синхронного счетчика показана на рис. 6.41,а. Здесь потенциал переноса формируется последовательно по мере распространения «логической 1» через вентили DD1, DD2, DD3 и т. д. Накопление задержки за счет вентилей цепи переноса обусловливает взаимное смещение счет- ных импульсов Т и импульсов переноса Pi (рис. 6.41,6). До тех пор пока совпадение импульсов Т и Pi вследствие такого сме- щения не нарушается, счетчик работает без сбоев с максимально возможной частотой счета, соответствующей выражению (6.23). Очевидно, для этого необходимо, чтобы выполнялось условие *3*- р < /сч ZBX’ (6.24) где А/— количество разрядов счетчика, — длительность за- держки нарастания сигнала на выходе вентиля переноса. Ограничение сверху на количество разрядов N счетчика либо на частоту /сч следования входных импульсов Т, наклады- ваемое условием (6.24), может оказаться технически неприемле- 19Г
мым и обусловливает использование других схемных вариантов счетчиков с дополнительными затратами ИМС. Принципиальной предпосылкой для построения синхрон- ных счетчиков произвольной разрядности N является законо- мерность, отображаемая табл. 6.5: i-й разряд счетчика переклю- чается синхроимпульсом в новое состояние, если все i — 1 триг- геры младших разрядов к моменту прихода синхроимпульса Рис. 6.42 находятся в состоянии «1». Потенциал, разрешающий переклю- чение триггера i-ro разряда в противоположное состояние, дол- жен сформировать логический элемент, фиксирующий состояние «1» всех i — 1 младших разрядов. Этой цели в синхронном счет- чике (рис. 6.42,а) служат вентили DDl, DD2, DD3. Потенциалы с выходов триггеров поступают одновременно на все вентили переноса старших разрядов и переключение состояний Треге- ров происходит синхронно (рис. 6.42,6). С ростом номера разряда увеличивается количество входов логических элементов цепи переноса, поэтому соответственно с ростом количества разрядов N усложняется схема счетчика. Некоторое упрощение схемы многоразрядного синхронного счетчика достигается использованием УЛ'-трп! геров с дублиро- ванными входами ,/ и К (рис. 6.43). Инверторы DDl, DD2 в та- кой схеме дают на выходе усиленные по мощности сигналы соот- ветственно Qt и Qt, подаваемые на пары J—К входов N—1 и N—2 разрядов счетчика. При построении быстродействующих двоичных счетчиков с разрядностью N > 8 используется иерархическая структура. Счетчик разбивается на секции (например, по 8 разрядов). Для 198 ин
сигналов переноса между секциями организуется схема парал- лельного переноса второго уровня, или групповой перенос. Это позволяет ценой незначительного уменьшения быстродействия существенно упростить схему по сравнению с регулярной син- хронной структурой. Принцип построения секционированного синхронного счетчика приведен на рис. 6 44. Техническая реали- зация структуры рис. 6.44 на логических элементах И—НЕ обусловливает включение в цепи группового переноса допол- нительных инверторов и соответствующее снижение быстродей- ствия. В качестве секции синхронного счетчика можно выделить двоично-десятичную декаду — синхронный двоичный счетчик с Ксч— 10. Вариант такой декады на основе //(-триггеров пока- зан на рис. 6.45,а. Рис. 6.44 Первые 8 импульсов схема считает как обычный двоичный синхронный счетчик (рис. 6.45,6), поскольку при этом Q4= 1 и Pj= QiQi= Qi аналогично схеме на рис. 6.42. После 8 счетных импульсов Qt~ 1 и Q4= 0, поэтому переносы через вентиль DD1 блокируются. Импульсом 9 триггер первого разряда уста- навливается в состояние Q4= 1, на входы старшего разряда по- ступают сигналы /4= Р3= 0 и К4-= (?!= 1. Поэтому следующий счетный импульс переключает младший и старший разряды, а значит, и всю декаду в состояние «0». Сигнал группового переноса для декады формируется при установке в счетчике кода 10012= 910, т. е. Ргр = Q4Q4. Рассмотренные выше варианты синхронных счетчиков по- строены на основе //(-триггеров. Очевидно, принцип их работы сохраняется при использовании других типов Т-триггеров, на- пример, D-триггеров, управляемых фронтом, с обратной связью Qi- В качестве примера рассмотрим схемы синхронных двоичных счетчиков с последовательным (рис. 6.46,а) н парал- лельным (рис. 6.46,5) формированием признака переноса. При- знак переноса формируется вентилями DDI, DD2, DD3, По- скольку информационные входы О, триггеров замкнуты на инверсные выходы Qf, перенос и синхронизация возможны только по входу С. Для этой цели служат вентили DDS, ..., DDH, которые для D-трнггеров, синхронизируемых положи- тельным фронтом, выполняют также функцию инверсии. Как видно из сопоставления счетчиков, схема рис. 6.46,а при малом количестве разрядов N может быть даже сложнее, чем схема 199
Рис. 6 45 Рис. 6.46 200
рис. 6 46,6. но с ростом /V сложность синхронного счетчика растет быстрее. Быстродействие счетчиков на D-триггерах несколько ниже за счет дополнительных вентилей между синхронным входом Т счетчика и входами С О-триггеров. Синхронный двоичный вычитающий счетчик так же, как и асинхронный, реализуется связями входов триггеров с инверсны- ми выходными сигналами младших разрядов. Как правило, та- кие счетчики должны содержать входы предварительной парал- лельной (синхронизируемой) установки числа, от которого на- чинается обратный счет. Фрагмент такого счетчика на основе //(триггеров показан на рис. 6.47. Занесение параллельного кода Х1Х2Х3 в счетчик происходит при А = 0, блокирующем цепи переноса, на выходах которых устанавливаются Pj= 1. При А = 1 инверсный сигнал А = 0 блокирует цепи записи числа XtX2X3 и подключает цепи переноса Р(, которые с учетом сигнала А должны реализовать выражения Pi = QiA 4~ 4 = Qj -f- А = QtA, Ра = Q1Q2 Л 4- А = Qi Оз 4- А = Q&A; Р( = <?!... ${А+А «Qi ... QtA. Управляющий сигнал В определяет режим записи или счета при В — 1 либо работу счетчика в режиме хранения информации при 5 = 0. В синхронных вычитающих счетчиках на основе синхрони- зируемых фронтом D-триггеров запись исходного состояния про- изводится обычно через асинхронные входы установки R и S. В счетчике, показанном на рис. 6.48, для ааписи начального со- стояния служат вентили DD10, .... DD18. Управление режимом «запись—счет» осуществляется сигналом А. Если 4 =^_1> то вентили записи заблокированы инверсным сигналом А = 0, на их выходах сохраняются уровни «логической 1» независимо ОТ состояния параллельных входов Xj, Х^. Счетчик при этом 201
работает в режиме обратного счета, так как вентили управления ваемом из старшего разряда DD5, .... DD9 сигналом Л = 1 не блокируются. Установкой А = 0 блокируются вентили перено- са, а инверсный сигнал А = 1 открывает вентили асинхронной записи. На выходах последовательно включенных пар вентилей формируются взаимно инверсные уровни X} и X'i и одним из Рис. 6.48 Рис. 6.49 Г Рис. 6.50 них, принявшим значение «0», производится установка О-тригге- ра в соответствующее состояние. Рассмотрим примеры построения реверсивных синхронных счетчиков. Принципы построения синхронного и асинхронного реверсивных счетчиков аналогичны: прямой счет реализуется при включении цепей переноса с единичных выходов триггеров младших разрядов иа входы старших разрядов, а обратный счет (вычитание) — при включении цепей заема между инверс- ными выходами триггеров младших разрядов и входами стар- 202
Таблица 6,8 Тип ИМС Функциональное назначение F, Мгц S о Е . ... УГО (рис. 6.52) 1 К155ИЕ2 4-разрядный двоично-десятичный 10 53 а счетчик ИЕ4 4-разрядный двоичный счетчик-де- литель на 2,6 и 12 10 51 б ИЕ5 4-разрядный двоичный счетчик 10 53 в ИЕ6 4-разряднын двоично-десятичный 25 102 г ИЕ7 реверсивный счетчик 4-разрядный двоичный реверснв- 25 102 д ИЕ8 ный счетчик Делитель частоты с переменным 30 120 е ИЕ9 коэффициентом деления 1...64 4-разрядный синхронный десятич- 25 94 эд? ный счетчик К500ИЕ136 4-разрядный синхронный реверсии- 125 165 в НЕ 137 ный двоичный счетчик 4-разрядный синхронный реверсив- 125 165 9 К531ИЕ14 ный двоично-десятичный счетчик 4-разрядный двоично-десятичный 80 88 и ИЕ15 счетчик 88 4-разрядный двоичный счетчик 80 и ИЕ16 4-разрядный синхронный реверсив- 40 160 к ИЕ17 ный двоично-десятичный счетчик 4-разрядный синхронный реверсив- 40 160 к К555ИЕ6 ный двоичный счетчик 4-разрядный двоично-десятичный 25 30 г ИЕ7 реверсивный счетчик 4-разрядный двоичный реверсив- 25 30 д ИЕ1О ный счетчик 4-разрядный синхронный двоичный 25 32 Ж К561ИЕ8 счетчик Десятичный счетчик 2 0,02 л ИЕ9 Счетчик-делитель на 8 2 0,05 м ИЕ1О Два 4-разрядных синхронных дво- 4 0,05 н ИЕН ичных счетчика 0,01 4-разрядный реверсивный двоичный 3 О ИЕ14 счетчик 0,01 4-разрядный двоичный (двоично- десятичный) реверсивный счетчик 3 п ИЕ15 0,02 Делитель частоты с переменным коэффициентом деления 3... 15999 3 р ИЕ16 0,02 14-разрядный двоичный счетчик 3 с ИЕ19 делитель 5-разрядный синхронный счетчик Джонсона 3 0,05 т 203
ших разрядов. Для управления режимом счета воспользуемся сигналом Е так, чтобы при Е = 0 осуществлялся прямой счет, а при £ — 1 — обратный. В счетчике (рис. 6.49) на основе J К* триггеров перенос и з >ем реализованы по типу сквозного, для которого характерна относительная простота реализации, так как сложность схемы разряда счетчика не зависит от его номера» fS^SB СЕР СЕ’ fS^+59 4 и № 13 CrtQ ЕС 2 3 4 S 10 х f_t 12 Ж О 9 9 Р л 204
е т Рис. 6.52 Быстродействие такого счетчика ниже, чем счетчика со строго параллельным переносом, показанного на рис. 6.50. В счетчике с параллельным формированием сигналов переноса, как уже отмечалось, сложность схемы разряда возрастает с ростом его веса, но ценой аппаратурных затрат достигается максимальное быстродействие, однозначно определяемое быстродействием (ча- стотой переключения) одного УК-триггера. Для построения реверсивного синхронного счетчика на ос- нове D-триггеров с управлением по информационному входу признаком переноса и сигналом обратной связи триггера необ- ходимо реализовать функцию управления информационным вхо- дом так, чтобы каждый сигнал переноса (заема) изменял состояние Qi D-триггера на противоположное Dt + pi-& = pi~i ® <?«• (б-25) С,учетом выражения (6.25) реализованы цепи переноса в ре- версивном синхронном счетчике на основе D-триггеров (рис.;6.5В. Для . Di перенос Рв~ 1, поэтому согласно выражению :(6.25) Dj= СД. ! ' • В табл. 6.8 приведены основные параметры счетчиков ши- роко Используемых серий ИМС, а на рис. 6.52 — Обозначения внешнйх выводов. ” 205
Глава 7 ПОЛУПРОВОДНИКОВЫЕ ЗАПОМИНАЮЩИЕ УСТРОЙСТВА 7.1. КЛАССИФИКАЦИЯ И ОСНОВНЫЕ ПАРАМЕТРЫ ЗУ Запоминающие устройства (ЗУ) служат для хранения ин- формации и обмена ею с другими частями ЭВМ или микро- процессорных систем. По функциональному назначению ЗУ подраздляются на внешние, буферные и внутренние. Внешние ЗУ служат для хранения больших объемов информации и про- граммного обеспечения системы. В них используются ЗУ с пря- мым доступом на магнитных барабанах (дисках) и ЗУ с последо- вательным доступом на магнитных лентах. Буферные ЗУ пред- назначены для промежуточного хранения данных при обмене между внешней и внутренней памятью. Внутренние ЗУ по вы- полняемым функциям делятся на оперативные и постоянные. Оперативные ЗУ (ОЗУ) выполняют запись, хранение и считы- вание произвольной двоичной информации, обеспечивают хране- ние программ для текущей обработки информации и массивов обрабатываемых данных. Постоянные ЗУ (ПЗУ) осуществляют хранение и выдачу постоянно записанной информации, содер- жание которой не изменяется во время работ),! системы. Эго ис- пользуемые в Процессе работы стандартные подпрограммы и микропрограммы, табличные значения различных функций, константы и др. По способу занесения информации ПЗУ делятся на собственно ПЗУ, программируемые заводом-изготовителем; программируемые ПЗУ (ППЗУ), программируемые однократно пользователем; репрограммируемые ПЗУ (РПЗУ), программи- руемые многократно пользователем. В ЭВМ нового поколения во внутренних ЗУ в основном ис- пользуются полупроводниковые интегральные схемы, которые по сравнению с традиционными магнитными имеют’следующие достоинства: высокую информационную емкость, обусловленную малыми размерами запоминающих элементов (ЗЭ) и формирова- нием на одном кристалле с накопителем схем электронного управления; относительно низкую стоимость; совместимость по уровням сигналов с процессором ЭВМ; высокую надежность. В полупроводниковых ЗУ накопителем информации служит ЗЭ памяти. По способу обращения к массиву ЗЭ все ЗУ делятся на адресные и ассоциативные. В адресных ЗУ обращение к ЗЭ производится по их физическим координатам, задаваемым внеш- ним двоичным кодом-адресом. Адресные ЗУ бывают с произволь- ной выборкой (ЗУПВ), которые допускают любой порядок следо- вания адресов, и с последовательным обращением, в которых выборка ЗЭ возможна только в порядке возрастания или убыва- ния адреса. В ЗУ последовательного типа информация считы- вается в том же порядке, как была записана (стек), или в обрат- ном («магазин»). Такне ЗУ строятся на сдвигающих регистрах или подвижных носителях (лентах, дисках) В ассоциативных ЗУ поиск информации производится по признакам, заключенным в самой хранимой информации, независимо от физических коор- динат ЗЭ По способу хранения информации ОЗУ делят на статические и динамические. ЗЭ статических ЗУ представляют собой биста- бильные элементы н обеспечивают считывание информации без ее 206
Рис. 7.1
раарушения. В динамических ЗУ для хранения информации ис- пользуются инерционные свойства реактивных элементов (кон- денсаторов), что требует периодического восстановления (регене- рации) состояния ЗЭ памяти в процессе хранения информации. При регенерации производится перезапись каждого хранимого в ЗУ бита либо в тот же ЗЭ, либо в соседний, в последнем случае информация циклически сдвигается на один разряд с каждым циклом регенерации. Рис. 7.2 По технологическому исполнению полупроводниковые ЗУ имеют следующие структуры: биполярные и МДП, использу- ющие схемотехнику ТТЛ, ЭСЛ, И2Л, КМДП [60]. Классификация внутренних ЗУ показана на рис. 7.1. Основными параметрами ЗУ являются: информационная емкость М и быстродействие. Информационная емкость харак- теризует количество информации, которое может храниться в ЗЭ на кристалле, и определяется в битах или количестве слов N с указанием их разрядности n; М = п X N, где п (п = 0, 1, 2, 3, ...) — разрядность слова; N = 2т (т = 4, 5, 6, ...) — коли- чество слов Например, 1 X 16, IX 256, 1 X 1024, 4 X 16, 4 X 64, 4 X 256, 4 X 1024, 8 X 512, 8 X 1024. Быстродействие характеризуется временем выборки и циклом записи. Время выборки tB— интервал времени между моментом подачи сигнала выборки и появлением информации иа выходе микросхемы ЗУ. Цикл записи / — минимально допустимое время между мо- 208
ментом подачи сигнала выборки при записи и моментом начала Последующей операции считывания (записи) [60]. По структуре полупроводниковые ОЗУ, ППЗУ, РПЗУ (рис. 7.2,а) и ПЗУ (рис. 7.2,6) состоят нз следующих типовых узлов: накопителя НК; дешифратора строк и столбцов ДСХ, ДСУ; устройства записи У3\ устройства считывания УС\ устрой- ства управления УУ [60]. Накопитель представляет собой матрицу ЗЭ, объединен- ных в строки и столбцы через развязывающие ключевые эле- менты, связанные дешифраторами. В накопителях статических ОЗУ применяются триггерные элементы памяти, в накопителях х ххх х „ » кэ Символы могут Выть опущены К-изделие общего применения 3-экспортное исполнение Вид корпуса 5-5еснорпусное исполнение М-металлокерамическии с двумя рядами выводов Р-пластмассовый Н-керамическии крис- таллоноситель Отсутствие символа- карпус С планарным расположением выводов Номер серии ИМС 100 . 333 или 1000 9999 Пердыи знак 1,5,0,7- полупрододнимвь е И'1 2,6,8- гибридные ИМС 3-прочие X X - X — — —. Вид исполнения (только , для бескорпуснь'х имс) 1-с гибкими быдодами 2-с ленточными выводами 3-с жесткими выводами 4 - неразделенные кристаллы на пластине 5- разделенные кристаллы 6-кристаллы без вывооов Рипономинал ИМС А Я проставляется в случае разбраковки ИМС в производстве по электрическим параметрам Номер разработки 1 999 (условно} Вид (функциональное назначение'! РУ-039 (ВАМ) РМ-матрицы ОЗУ РВ -матрицы ПЗУ РЕ-ПЗУ(ВОМ) заказное PT-ППЗУ(РВОМ) РР-РПЗУ(ЕЕРВОМ) с электрическим стиранием рф-рпзч ур(ервом) стирание ультрафиолетовым светом РА-ассоциативные ЗУ (АЗУ) (САМ) РП-прочие Рис. 7.3 динамических ОЗУ — однотранзисторные ЗЭ, состоящие из ключевого транзистора и емкости хранения информации. Вслед- ствие постоянного рассасывания заряда, хранящегося на емко- сти. такой ЗЭ требует периодической регенерации информации, которая выполняется с помощью УУ. В накопителях ПЗУ ис- пользуются транзисторы, подключенные соответствующим обра- зом к дешифратору строк и столбцов. Наличие или отсутствие транзистора в узле пересечения строки-столбца соответствует хранению «1» или «О». В накопителях ППЗУ применяются тран- зисторы в плавкими перемычками, которые пережигаются при выборке соответствующего ЗЭ в процессе программирования ППЗУ. В накопителях РПЗУ используются специальные тран- зисторы, изменяющие свои характеристики при программирова- нии РПЗУ [60]. Условные обозначения микросхем ЗУ присваиваются в зависимости от классификации (рис. 7.3) [59], например, КР565РУ1А; К — ИМС общего применения, Р — в пластмас- совом корпусе, 5 — полупроводниковая, серия 565. РУ — ОЗУ, 209
Таблица 7.1 Наименование сигнала Обсзначоние Межд> н фодное Отечественное МЭК* Адрес А a А Тактовый сигнал С T С Адрес столбца СА CA СА Строб адреса столбца CAS CAS CAS Цикл CY Ц С Входные данные D1 D Данные вход выход DIO (DI/DO) Uих. ц/^ВЫХ. и ЕЮ Сигнал разрешения СЕ p Е Сигнал обнуления (сти- ЕР УСТ0 ER рання) Сигнал разрешения по СЕО — G выходу Выходные данные DO Uвых, и Q Сигнал информации D и D Сигнал считывания RD СЧ R Адрес строки RA RA RA Строб адреса строки RAS RAS RAS Сигнал регенерации REF РЕГ RF Сигнал запись счизыва- WR/RD(W/R) ЗП/СЧ WR ние Сигнал считывание- запись RD/WR СЧ/ЗП RW Выбор микросхемы CS вм S Сигнал записи WR ЗП W МЭК- международная электротехническая комиссия. 1 — разработка 1, А — типономпнал. Основные сигналы ЗУ приведены в табл. 7.1. Исправное состояние ЗУ определяется при контроле стати- ческих и динамических параметров или при функционировании [31]. Параметры должны быть определены для каждого вывода ЗУ при любых комбинациях входных сигналов и соответству- ющих им выходных, определяемых по таблице истинности ЗУ [ЗЦ. 7.2. ЗАПОМИНАЮЩИЕ ЭЛЕМЕНТЫ ОЗУ Запоминающие элементы статических ОЗУ обычно выпол- няют на основе триггера, хранящего информацию либо «О», либо «1», и элементов, позволяющих выполнять операции запи- си-счи тывания. ЗЭ на биполярных транзисторах. В качестве ЗЭ использ5ет- ся статический триггер на двух многоэмиттерных транзисторах ТТЛ-структуры (рис. 7.4,а). Информационные эмиттеры и Э21 транзисторов VT1 и VT2 соединены с разрядными шинами записи-считывания Зп/Сч sO» и Зп/Сч «1». Последние соединены 210
12 усилителями записи-считывания Зп/Сч «О» н Зп/Сч «I». Адресные эмиттеры Э13, 523, Э22 и Э12 соединены соответственно с адресны- ми шинами Л, и Yt. Информация в ЗЭ записывается следующим образом. Внача- ле выбирается ЗЭ подачей в адресные шины Х{ и положитель- ных потенциалов (> 2,4 В), соответствующих логической «1». При этом адресные эмиттеры Э13, Э23, Э22 и Э12 заперты (рис. 7.4,6). Затем при записи «О» («1») в ЗЭ на вход U7o(l₽'i) усилителя записи Зп «О» (Зп «1») подается логическая «1», а на вход усилителя Зп «1» (Зп «О») подается логический «О». При этом с усилителя записи Зп «О» (Зп «1») иа подключенную к нему разрядную шину Зп/Сч «О» (Зп/Сч «1») подается потен- циал (< 0,4 В) логического «0», а на другую Зп/Сч «1» (Зп/Сч «О») с невозбужденного усилителя Зп «1» (Зп «О») подается по- тенциал, равный ж 1,5 В. Если до записи триггер находился в состоянии «О» (1/77 открыт, VT2 закрыт), то подача низкого 211
потенциала на эмиттер Эп не меняет состояние триггера. Если до записи триггер находился в состоянии «1» (VT1 закрыт, VT2 Открыт), то при подаче низкого потенциала на эмиттер Эц от- крывается транзистор VT1, a VT2 закрывается, и триггер уста- навливается в состояние «О». В режиме хранения (ЗЭ не выбран) на адресных шинах Х[, У; и на входах 1Уо> — потенциал логического «О». При этом информационные эмиттеры Эи и 32t заперты, так как на них подается потенциал В с коллектора транзистора VT2F4 через диод VD1C4, а эмиттериый ток открытого транзи- стора VT1 замыкается на землю через адресные шины Xt и Уг. В режиме считывания (ЗЭ выбран) на адресные шины Xi и У; подается потенциал (> 2,4 В) логической «1», а на входы R2 i-cn о—Г V72 Рис. 7.5 а 1У0 и Й7,— потенциал логического «О». Поэто- му адресные эмиттеры Э12 и Э1а, Э23 и Э22 за- перты, а коллекторный ток открытого транзис- тора VT1 течет через информационный эмит- тер Эп и проходит в базовую цепь входного транзистора VTf4 усилителя считывания Сч «О». При этом выходной транзистор УТбсч от- крывается, и на выходе усилителя считывания Сч «О» появляется логический «О» (рис. 7.4, б). Считывание происходит без разру- шения информации. Хранимая в ЗЭ информация доступна для считывания все время, пока ЗЭ находится в выбранном состоянии и в него не производится запись. Для повышения быстродей- ствия ЗЭ в триггере применяют диоды Шоттки в цепях нелиней- ной отрицательной обратной связи, которые предотвращают переход транзисторов УТ/ и VT2 в режим насыщения. Дальнейшее увеличение быстродействия ЗЭ возможно на основе ЭСЛ-структур, в которых исключен насыщенный режим транзисторов. ЗЭ иа ЭСЛ-структурах имеют следующие достоин- ства: стабильный порог переключения; уменьшенные перепады напряжений при заряде и разряде нагрузки. Перечисленные достоинства достигаются за счет увеличения потребляемой мощ- ности и ухудшения помехоустойчивости. В схеме ЗЭ ЭСЛ-типа (рис. 7.5,о) генератор тока на транзи- сторе VT2 поддерживает постоянным ток через резистор R2. В режиме хранения напряжение на адресной шине ЛШ имеет низкий уровень U’ (рис. 7.5,6), что позволяет снизить потреб- ляемую мощность в невыбранных ЗЭ накопителя. ЗЭ отключен от схем управления благодаря высоким уровням на разрядных шинах PIUQ, РШ1. Триггер на VT1, VT3 находится в одном из 212
устойчивых состояний. При выборе ЗЭ в режимах считывания и записи напряжение на АШ повышается. В режиме считывания потенциалы обеих разрядных шин одновременно изменяются и в разрядной шине, связанной с открытым транзистором (VT1 или УТЗ), появляется ток. По наличию тока в РШО или РШ1 определяется код хранимой в ЗЭ информации. При записи на разрядных шинах устанавливается разность потенциалов, до- статочная для переключения триггера. При этом открывается транзистор (если он был закрыт), на эмиттер которого с разряд- ной шины подается более низкий потенциал. ЗЭ с инжекционным питанием И2Л занимают существенно (в 2 — 4 раза) меньшую площадь, чем рассмотренные выше ЗЭ. Для получения быстродействия, близкого к ТТЛ-схемам, инжек- ционные схемы необходимо включать в режим с большой потреб- ляемой мощностью при записи (считывании), но при этом надо снижать мощность в 102—104 раза в режиме хранения. Рис. 7.6 На рис. 7.6 изображены схемы и И2Л-структура [60]. Два инвертора с инжекционным питанием и перекрестными связями образуют триггер (VT2...VT5). Транзисторы VT2 и VT4 выпол- няют роль инжекторов тока для ключевых транзисторов VT3, VT5. Для связи с разрядными шинами используются транзи- сторы VT1 и VT6, работающие в нормальном (запись) или ин- версном (считывание) режимах. ЗЭ очень компактен, благодаря отсутствию резисторов. Ключевые транзисторы VT3, VT5 ра- ботают в инверсном режиме. Базовые токи этих транзисторов определяются инжекцией избыточных носителей из централь- ной области инжектора р3. При симметричной структуре оба тока равны. Чтобы схема имела два устойчивых состояния, ин- версные коэффициенты усиления по току п-р-п-транзисторов VT3 и VT5 должны быть больше единицы. В рабочем состоянии р3-гц-переход смещен в прямом направлении, часть инжекти- руемых им носителей достигает областей pv р2, вызывая появле- ние базовых токов в VT3, VT5. Триггерная схема принимает одно из устойчивых состояний. Пусть VT3 открыт, a VT5 закрыт. Носители через прямо смещенный p2-«j-nepexoA (рис. 7.6,6) инжектируются в область причем часть из них достигает области pif вызывая появление юка в РШ1 считываемого усили- теля. В процессе записи отключается источник питания от ин- жектора р3, и врбласть р3 с РШО подается импульс тока записи. Часть инжектируемых переходом р^-^-носителей доходит до области pi (база выключенного VT5) и открывает VT5, через который разряжается емкость перехода эмиттер — база вклю- ченного VT3. Через некоторое время импульс записи заканчи- 213
вается, и фиксируется новое состояние подключением питания к инжектору р3- И2Л-структуры технологически совместимы с обычными биполярными. Для повышения быстродействия ЗУ на И2Л-струк- турахразработаны модификации с применением диодов Шоттки, включенных между базой н коллектором ключевых транзи- сторов VT3 и VT5. Такое подключение обеспечивает шунтиро- вание р-га-перехода коллектор — база в режиме насыщения ди- одом Шоттки, имеющим меньшее напряжение отпирания в пря- мом направлении (0,2...0,3 В по сравнению с 0,6...0,7 В крем» ниевого диода), в результате чего ускоряется процесс рассасы- вания носителей в базе транзистора в режиме насыщения. В ЗУ иа основе И2Л-с<ем щимепяются дополнительные развязыва- ющие входные р-м-транзисторы или периферийные схемы управ- ления накопителем на основе ТТЛ-элементов. ЗЭ на МДП-струнгурах. На основе МДП-структур строятся ЗУ большой и сверхбольшой информационной емкости. В за- висимости от типа ЗЭ иа основе МДП-сгруктур можно постро- ить статические или динамические ЗУ. В статических ЗУ в ка- честве ЗЭ служит триггер на n-канальиых МДП-транзисторах О индуцированным каналом или КМОП-транзисторах. В дина- мических ЗУ информация запоминается на емкости затвора МДП- транзистора [60]. Входные схемы ЗЭ статического типа строят на основе ин- верторов с активной нагрузкой (рис. 7.7,а). Ключевой инвертор выполняется на n-канальных МДП-транзисторах: VT1 нор- мально закрыт, а в качестве активной нагрузки служит нор- мально открытый транзистор VT2, работающий в режиме гене- ратора тока. Такая конфигурация обеспечивает высокое быстро- действие, так как нагрузка Сн всегда перезаряжается током од. ного из транзисторов. Входные схемы ЗЭ статического типа имеют защиту (R и VT3) затвора ключевого транзистора VT1 214
от возможного воздействия накопленного статического элек- тричества (достигающего несколько киловольт). Поэтому на входе схемы имеется интегрирующая цепочка, состоящая из резистора R и барьерной емкости открытого транзистора ИТЗ, который открывается, когда напряжение на его стоке превышает пробивное напряжение стокового р-н-перехода, которое всегда можно сделать меньше пробивного напряжения на затворе VT1. Одновременно интегрирующая цепочка растягивает этот про- цесс во времени, чтобы через транзистор VT3 не проходил боль- шой ток, могущий вывести его из строя. На рис. 7.7,6 изображена типовая схема статического ЗЭ на n-канальных МДП-транзисторах с индуцированным каналом. ЗЭ состоит из четырех транзисторов, два из которых VT2, VT3 образуют триггер, а два других VT1, VT4 являются двунаправ- ленными ключами ввода-вывода данных. В качестве нагрузоч- ных транзисторов VT5, VT6 используются МДП-транзисторы со встроенным каналом, у которых затвор транзистора подключен к его истоку. При этом нагрузочная емкость заряжается через МДП-транзистор обедненного типа, работающий при таком включении в режиме насыщения с постоянной нагрузкой, т. е. в режиме генератора тока. В режиме хранения VT1 и VT4 закрыты, в режимах записи и считывания эти транзисторы открыты (рис. 7.7,в). Перед счи- тыванием информации из ЗЭ на обе разрядные шины подается потенциал источника питания. В режиме считывания начинает- ся разряд паразитной емкости разрядной шины, которая связана о открытым транзистором VT2 или VT3. После установления разности потенциалов на РШО, РШ1, достаточной для различе- ния состояния ЗЭ, информация считывается усилителем и по- ступает на выходные каскады. В режиме записи на РШО, РШ1 устанавливаются разноименные уровни напряжений. Основной недостаток ЗЭ на МДП-транзисторах — потреб- ление тока в режиме хранения, так как всегда один из ключе- вых транзисторов в триггере находится в открытом состоянии. Для уменьшения потребляемой мощности необходимо увеличить сопротивление нагрузки, но это приводит к снижению быстро- действия. Поэтому используют схемы на КМДП-траизисторах, Где ток в режиме хранения почти отсутствует, а потребляется только в момент переключения. В состав ЗЭ (рис. 7.8,а) входит триггер на двух КМДП- инверторах (VT2, VT8 и VT4, VT5) н два двунаправленных 215
ключа (VT1 и VT6). В режиме хранения VT1 и VT6 закрыты. Перед считыванием на РШО, РШ1 устанавливается нулевой по- тенциал (рис. 7.8,6). Затем потенциал на АШ снижается до нуля, открываются ключи VT1 и VT6. При хранении логической «1» (VT2 и VT5 закрыты, a VT3 и VT4 открыты) начнется заряд па- разитной емкости РШ1. Потенциал РШО не изменяется, по- скольку она связана с открытым плечом триггера. После увели- чения напряжения на РШ1 до порога срабатывания усилителя считывания, подключенного к этой шине, информация с усили- теля поступает на последующие каскады схемы вывода данных. Записывается информация в ЗЭ при открытых VTi и VT6 и раз- ноименных уровнях напряжений на РШО и РШ1. В динамических ЗЭ информация хранится в виде заряда на емкости. Поскольку сопротивление закрытого МДП-транзиртора составляет 108—10i0 Ом и входное сопротивление затвора пре- а Рис. 7.9 вышает 1011 Ом, заряд на емкости затвора может сохраняться доли секунд. Наличие утечки в структуре требует периодиче- ского восстановления заряда (регенерации). Чем больше ток утечки в схеме, тем выше должна быть частота регенерации. Из- вестны различные модификации ЗЭ динамических ЗУ [40; 60], отличающихся количеством транзисторов, числом и функци- ональным назначением общих шин, быстродействием, мощно- стью потребления и площадью, занимаемой на кристалле. Для построения динамических ЗУ информационной емко- стью 1—4 Кбит применяют схему трехтранзисторного ЗЭ с раз- дельными адресными и разрядными шинами считывания и запи- си (рис. 7.9,а). Транзистор VT1 служит для записи информации путем заряда конденсатора С от потенциала разрядной шипы записи РШЗП, а транзистор VT3 —для связи информационного транзистора VT2 с разрядной шииой считывания РШСЧ. При считывании информации на РШСЧ предварительно устанавли- вается высокий уровень напряжения (рис. 7.9,6), после чего импульсом выборки с ЛД/СЧ открывается транзистор VT3. Если в ЗЭ хранится «1» (конденсатор С заряжен, транзистор VT2 открыт), то по цепи РШСЧ— VT3 — VT2 — общая шина протекает импульсный ток, pel истрируемый усилителем считы-' вания как «1». Если в ЗЭ хранится «0» (конденсатор С разряжен' и транзистор VT2 закрыт), то ток в цепи РШСЧ— VT3—VT2—±> общая шииа отсутствует, что воспринимается усилителем считы- вания как «0». Достоинство этой схемы ЗЭ в том, что при считы- вании информации не происходит ее разрушения. Однако вслед-. 216
ствие утечек заряда с конденсатора С, обусловливаемых током обратно смещенного перехода транзистора VT1, его необхо- димо периодически регенерировать. Регенерация хранимой ин- формации осуществляется путем введения дополнительного ре- жима перезаписи. Для создания динамических ЗУ информационной емкос- тью 4...256 Кбит применяют схему однотранзисторного ЗЭ (рис. 7.10,а). Запоминание «1» яли «0» отождествляется с нали- чием илн отсутствием заряда на конденсаторе С3. Информация в ЗЭ записывается при передаче соответствующего потенциала Q Рис. 7.10 разрядной шиной РШ через открытый транзистор VT запомина- ющему конденсатору С3. В моменты считывания информации кон- денсатор С3 подключается через открытый транзистор VT к РШ, имеющей паразитную емкость Срш и заряженной до уров- ня £/рш«о»- Если в ЗЭ хранилась «1», то напряжение на РШ при считывании увеличивается на величину Д(/рш. При считывании «0» напряжение на РШ уменьшается на величину Д(/рШ Рис. 7,11 j п-подножка \ -Уз_ -иг 5 (рис. 7.10,6). Обычно ДУрш» 200...250 мВ, поэтому требуются высокочувствительные усилители считывания. Недостатком та- ких ЗЭ является то, что при считывании информации происхо- дит ее разрушение, поэтому регенерация необходима как для длительного хранения информации, так и после каждого ее считывания. Для построения динамических ЗЭ с последовательной вы- боркой с информационной емкостью до 64 Кбит применяют при- боры с зарядовой связью (ПЗС) [40; 60; 62]. В ПЗС-элементах информация представляется зарядом подвижных носителей (ло- гическая «1») или отсутствием (логический «0») в области полу- проводника, расположенной под диэлектриком 1. Если к элек- троду 2 приложить отрицательное напряжение, то под действием электрического поля электроны уходят вглубь полупроводника (рис. 7.11,а). В подложке, расположенной под диэлектриком, 217
образуется обедненная область, являющаяся потенциальной ямой для неосновных носителей — дырок. Дырки, попавшие в потенциальную яму, концентрируются вблизи поверхности полупроводника, образуя положительный разряд (логическая «1»), На рис. 7.11, б—г показан динамический ЗЭ на ПЗС-струк- туре в режимах хранения и передачи заряда (логическая «1»). В исходном состоянии на электрод 1 подано напряжение более отрицательное, чем на электродах 2, 3. В этом режиме заряд хранится в области полупроводника, расположенного под электродом 1 (рис. 7.11,6). Если к электроду 2 (рис. 7.11,в) приложить большее отрицательное напряжение, чем на элек- троде /, тогда под электродом 2 образуется более глубокая потенциальная яма, втягивающая дырки из первой области. В следующем такте ПЗС снова переходит в режим хранения. При этом напряжение уменьшается до U3, a U2— до Щ в момент, когда заряд хранится под электродом 1 (рис. 7. И,г). Таким образом, можно передвигать заряд вдоль поверхности или хранить его в заданной области. Введение зарядов в струк- туру и выведение из нее осуществляется через р-п-переходы, расположенные вблизи соответствующих электродов. 7.3. ЗАПОМИНАЮЩИЕ ЭЛЕМЕНТЫ ПЗУ В ПЗУ запоминающие элементы объединяются в двухкоор- динатную матрицу п X N, образованную при пересечении N входных слов и п выходных (разрядов) информационных шин. В местах пересечения совокупностей п X N включены ЗЭ, не- сущие информацию «1» или «О». В качестве ЗЭ используют диоды, биполярные транзисторы, МДП-структуры, аморфные полупроводники (АП) и др. В табл. 7.2 приведена классифика- ция ПЗУ по способам записи и стирания, а также по типу при- меняемых ЗЭ [721. Рис. 7.12 На рис. 7.12 изображены схемы основных ЗЭ, на основе которых разрабатываются ПЗУ, ППЗУ. Для ПЗУ с накопите- лем на ЗЭ (рис. 7.12,а, е) запись информации осуществляется двумя способами: изменением конфигурации металлизирован- ной разводки (фотошаблоны) или селективным вскрытием кон- тактных окон под металлизацию (лазерным лучом). Для ППЗУ ЗЭ (рис. 7.12,8, г) программируются пережиганием плавких перемычек, а ЗЭ — (рис. 7.12,6, 9) программируются путем изби- рательного разрушения диодов. В РПЗУ возможна полная пере- запись информации или же частичное селективное изменение хранимой информации в отдельных ЗЭ. ЗЭ на диодах располагаются в местах пересечения токоведу- щих шин (рис. 7.13). Информация в такой ЗЭ записываёгся в двоичном коде, причем логическая «1» соответствует наличию диода в пересечении матрицы, а логический «О»—отсутствию 218
Таблица 7.2 Тип ПЗУ Тип ЗЭ Элемент программирования Способ записи Способ стирания ПЗУ Диодные, биполяр- ные, И2 Л и МДП- структуры Контактное окно Фотошаб- лоны Металлическое соединение Фотошабло- ном и лазер- ным лучом ППЗУ Диодные, биполярные и КМДП- структуры Перемычка Электриче- ским пере- жиганием р-п-переход Диод Шотки Электриче- ским пробоем РПЗУ МНОП, МАОП, МАП, ЛИИЗМДП- структуры АП МНОП-транзис- тор, МОА-тран- зистор, МАП- транзистор ЛИИЗМДП- транзистор с двой- ным затвором Аморфный полупроводник Электриче- скими сигналами Электриче- скими сигналами ЛИИЗМДП-тран- зистор с плаваю- щим затвором Ультрафио- летовым (рентгенов- ским или электрон- ным) облу- чением диода (или наоборот). В ПЗУ информация заносится изготовле- нием диодной матрицы (ДМ) металлизацией с помощью фото- шаблонов или контактных окон (рис. 7.13,а, б) и лазерным лу- чом (рис. 7.13,в). В ППЗУ информация заносится посредством пережигания плавких перемычек (рис. 7.13,г, д) и восстановле- нием связей в ЗЭ пробоем (рис. 7.13,е—и) или закорачиванием одного из взаимно (обратно) включенных диодов (рис. 7.13,к). На базе диодных структур разработаны ПЗУ и ППЗУ с ин- формационной емкостью 1—64 Кбит и временем считывания 40—90 нс. В настоящее время выпускаются на биполярной структуре ПЗУ и ППЗУ типов ТТЛ и ЭСЛ, которые имеют малое время выборки (10—30 нс для ЭСЛ и 30—100 нс для ТТЛ) и информа- ционную емкость от 1 до 64 Кбит. На рис. 7.14 показаны ЗЭ на биполярных структурах в виде эмиттерных повторителей иа п-р-п или р-п-р транзисторах и переходах база — коллектор или база — эмиттер. В этих ЗЭ информация заносится с помо- щью фотошаблонов или контактных окон для ПЗУ (рис. 7.14,а, е, л), а также пережиганием плавких перемычек (рис. 7.14,в, г, 219
д, ж, з, и, к, м) и пробоем (рис. 7.14,6) (коллекторных или эмит- терных) для ППЗУ. ПЗУ инжекционной логики являются весьма перспектив- ными для разработки ПЗУ большой информационной емкости и низкой потребляемой мощности при высокой скорости считы- вания информации. При проектировании ПЗУ на И2Л-струк- турах в качестве ЗЭ можно использовать диоды Шотки и тран- зисторы с инжекторным р-п-переходом, а также различное их совместное включение (рис. 7.15). В ПЗУ, построенных на основе И2Л-структурах, информация заносится с помощью фотошаб- лонов или контактных окон. Использование диодов Шотки Рис. 7.13 в качестве ЗЭ (рис. 7 15,а) позволяет проектировать ПЗУ вы- сокого быстродействия и большой степени интеграции. Приме- нение в качестве ЗЭ одноколлекторных (рис. 7.15,6) и многокол- лекторных (рис. 7.15,в) транзисторов (МКТ) с инжекторным р-га-переходом, а также использование диодов Шотки в базовых цепях транзисторов ЗЭ (рис. 7.15,<Э,е) существенно расширяет логические возможности ЗЭ. Использование в ЗЭ транзистора с инжекторным р-п-переходом, в коллекторах которого вклю- чены диоды Шотки (рис. 7.15,г) увеличивает число выходов без существенного уменьшения коэффициента усиления по току вертикального п-р-п-транзистора, повышает быстродействие ЗЭ благодаря уменьшению логического перепада напряжения (от 0,7 до 0,15...35 В), повышает информационную плотность ПЗУ. В ЗЭ, построенных на МКТ, в котором один из коллекторов со- единен с базой (рис. 7.15,ж) уменьшается задержка распрост- ранения сигнала за счет ограничения насыщения вертикального п-р-п-транзистора. 220
МДП-структуры занимают доминирующее положение при построении ПЗУ, так как по сравнению с биполярными ПЗУ имеют следующие преимущества: малую потребляемую мощность, высокую степень интеграции, высокий запас помехоустойчиво- сти (более 1 В), сохраняют информацию в течение длительного времени после отключения питания, на основе МДП-структур можно получить схемные элементы (транзисторы, резисторы, конденсаторы), необходимые для построения ПЗУ. Информация Рис. 7.14 в ПЗУ на основе МДП-структур записывается в ходе технологи- ческого процесса изготовления с помощью фотошаблонов или контактных окон. Современные ПЗУ на n-канальных МДП- структурах имеют информационную емкость 1—256 Кбит, а время считывания 80—500 нс и совместимы по уровню управ- ляющих сигналов с ИС типа ТТЛ. Принципиальная схема ПЗУ на п канальных МДП-транзисторах показана на рис. 7.16. При считывании информации высокий уровень напряжения с матричных дешнфраторов’~адреса Y, X подается на одну из шин адресов по координате Y и через резистор (роль кото- рого также выполняет МДП-транзистор) на одну из шин адресов по координате X. При этом на одной из выходных Информацией- 221
Рис. 7.16 Рис. 7.17
ных шип появляется высокий уровень напряжения, если на выбранном узле запоминающей матрицы не сформирован ЗЭ на n-канальном МДП-транзисторе (окно не вскрыто), и низкий уровень напряжения, если ЗЭ сформирован (окно вскрыто), что соответствует считыванию информации «1» и «О». ПЗУ и ППЗУ на КМДП-структурах имеют повышенное быстродействие (100—500 нс), почти нулевую рассеиваемую мощность в статическом режиме и повышенную помехоустой- чивость (до 1,5 В), хотя для иих характерны относительная не- высокая плотность интеграции и более высокая стоимость произ- водства по сравнению с ПЗУ и ППЗУ на МДП-структурах. В качестве ЗЭ используется инвертор на КМДП-траизисторах (рис. 7.17). ПЗУ на КМДП-структурах программируют в про- цессе изготовления с помощью фотошаблонов, а в ППЗУ исполь- зуются пережигание перемычек или хранение заряда на затворе Рис. 7.18 Инверсионный слой \ Плабающий затвор $1 и пробой подзатворного окисла, сформированного специальным образом. РПЗУ иа МДП-структурах допускают многократную пе- резапись и хранение информации при отключении цитания. В РПЗУ запоминающие элементы строяг на базе МДП-структур с захватом заряда (транзисторы МНОП, МАОП, МАП) и с пла- вающим затвором (лавинно-инжекционный МДП-трапзистор с изолированным'затвором ЛИИЗМДП и лавинно-инжекцион- ный МДП-транзистор с плавающим и управляющим затворами — ЛИИЗМДП с двойным затвором). В ЗЭ с захватом заряда заряд хранится на ловушках на границе (границах) раздела много- слойного диэлектрика и (или) в объеме диэлектрика затворной части МДП-структуры. В ЗЭ с плавающим затвором заряд хра- нится в тонком проводящем слое либо в проводящих частицах, расположенных между диэлектриками затворной части МДП- структуры. ЗЭ с плавающим затвором по сравнению с ЗЭ за- хвата заряда более просты в изготовлении и обеспечивают дли- тельное сохранение информации. По способу сохранения ин- формации РПЗУ подразделяются иа со стиранием информации электрическими сигналами и со стиранием информации с по- мощью лучей (ультрафиолетовых, рентгеновских, электронных и др-). ЗЭ на МДП-структурах в плавающим затвором и стира- нием информации с помощью лучей (рис. 7.18,д) состоит из адресного транзистора VT1 и собственно запоминающего тран- зистора VT2 типа ЛИИЗМДП с плавающим затвором. Транзи- стор VT2 может находиться в одном из двух устойчивых со- стояний открытом или закрытом, что соответствует хранению «0» или «1» ЗЭ. Информация (заряд затвора) в ЗЭ записывается 223
С помощью большего отрицательного (для р-канальных трап- висторов) импульса (30—50 В и длительностью около 1 мс), который прикладывается между стоком и истоком VT2. Это вызывает лавинную инжекцию электронов в изолированный кремниевый затвор и иа плавающем затворе накапливается от- рицательный заряд, который вызывает появление проводящею инверсного слоя, в результате этого канал становится проводя- щим (рис. 7.18,6), транзистор VT2 открыт (хранит «0»). По- скольку плавающий заряд окружен изолятором (SiO2), заряд сохраняется в течение длительного времени (5... 10 лет). Стереть информацию можно посредством ультрафиолетового облучения или рентгеновскими лучами дозой около 5 • 104 Р с энергией, достаточной для «выбивания» электронов из поликристалли- ческого кремния (Si) плавающего затвора в направлении слоя двуокиси кремния (SiO2). При этом структура переводится в состояние логической <1 Рис. 7.19 Управляющий затВор )_______________________Р 6 ЗЭ на МДП-структурах с плавающим затвором и стиранием информации электрическими сигналами (рис. 7.19,а) состоит из транзисторов VT1 — выборки и 1/7’2 — хранения информации (типа ЛИИЗМДП о двойным затвором). Если плавающий затвоо транзистора VT2 заряжен положительно, то VT2 открыт (так как образуется инверсионный слой электронов), и такое состо- яние соответствует уровню логического «0». Отрицательно за- ряженный плавающий затвор закрывает VT2, что соответствует уровню логической «1» (рис. 7.19,6) При стирании информации (занесение логического «0») иа исток VT2 подается напряжение + 36 В, на управляющий затвор — 40 В, а на подложку — 5 В (сток остается разомкнутым), в результате чего происхо- дят поверхностный пробой истокового п*-р-перехода и генера- ция электронно-дырочных пар. При этом направление электри- ческого поля в затворном диэлектрике в этом режиме вызывает инжекцию дырок в плавающий затвор. По окончании стирания запоминающий транзистор 1/7’2 открывается, с этого момента его хранимое пороговое напряжение равно — 30 В. Для записи информации (занесение логической «1») используется низко- вольтный пробой стокового /!*-/) ‘'-перехода, в ходе которого происходит инжекция электронов в плавающий затвор. Инжек- тированные электроны нейтрализуют накопленные на плава- ющем затворе дырки, и плавающий затвор переходит в отрица- тельно заряженное состояние. При этом VT2 закрывается. В режиме записи иа управляющий затвор подается напряжение 224
+ 26 В, на подложку — 2 В относительно истока, а на сток подается импульс записи + 15 В длительностью 40 мс. В ре- зультате этого происходит смещение порогового напряжения транзистора VT2 до 4* 10 В. При считывании информации на адресную шину подается импульс, открывающий транзистор VT1. Если запоминающий транзистор VT2 открыт, то через него и последовательно соединенный с ним VT1 в выходную РШ течет ток, соответствующий считыванию логического «0» При закрытом VT2 ток равен нулю, что соответствует считыванию логической «1». ЗЭ на МДП-структурах с захватом заряда со стиранием ин- формации электрическими сигналами наиболее распространены на- МНОП-траизисторах (рпс 7.20,а). Они состоят из адресного р-канальиого МДП-траизистора VT1 и запоминающего МНОП- транзистора VT2 Информация в ЗЭ записывается при подаче на затвор VT2 некоторого положительного или отрицательного Рис. 7.20 напряжения ия. При подаче положительного напряжения на границе слоев нитрида (Si3N4) и двуокиси (SiO2) кремния возни- кает заряд (рис. 7.20,6), который снижает пороговое напряжение до [/|Ю, н. При подаче на затвор отрицательного напряжения того же значения восстанавливается начальное высокое порого- вое напряжение (7пор в (рис. 7.20,в). При заземленных выводах истока и стока переключение при- бора из одного состояния в другое, отличающиеся друг от друга пороговым напряжением, происходит при подаче на его затвор в течение 1 мс напряжения U3 — | 28 | В. При этом под действием отрицательного напряжения (73 = —28 В устанавливается состояние с высоким пороговым напряжением ^Пор.в= В, а при U3 = == -J-28 В — состояние с низким пороговым напряжением (/пор. н = = 3 В Для считывания информации на затвор VT2 подается на- пряжение считывания U3 сч, причем Uпор_ н < U3 сч < I/ в> Таким образом, если подать U3 сч = (3...5) В, то ЗЭ, в котором записан логический «0», перейдет в состояние проводимости, а ЗЭ, находившийся в состоянии «1», проводить не будет. В качестве запоминающего элемента РПЗУ в совокупности с МДП-структурами широко используются аморфные полупро- водники — приборы Овшинского. Одним из уникальных свойств аморфных полупроводников является пороговое переключение. Пороговое переключение связано с наличием у АП вольт-ам- перной характеристики S образного типа (рис. 7.21,о). В выклю- ченном состоянии (в слабых электрических полях) электриче- ское сопротивление АП велико. В полях напряженностью более 8 ?74 225
10 000 В/см ток с ростом напряжения начинает экспоненциально увеличиваться, т. е. проводимость материала значительно воз- растает. Высокая проводимость удерживается при уменьшении напряжения до некоторого значения (порогового — Un), которое не зависит от толщины пленки АП и лежит в пределах от 0,5 до 1,5 В. В результате переключения в материале образуются ка- налы с высокой проводимостью. Проводимость в включенном состоянии не зависит от полярности приложенного напряжения. Процесс переключения происходит достаточно быстро (примерно за 100 нс). Из одного состояния в другое ЗЭ переводится элек- трическими импульсами с определенными параметрами. Структура ЗЭ для таких РПЗУ состоит из АП и последова- тельно с ним включенного развязывающего диода или транзи- стора (рис. 7.21,6, s). ЗЭ ведет себя как элемент с двумя устой- чисыми значениями сопротивлений (высоким 300 кОм, низким 1 кОм). Чтобы перевести ЗЭ из высокоомного в низкоомное («0»-* *1»), необходимо вначале подать импульс высокого на- пряжения (+ 30 В), а затем импульс тока 7 мА и длительностью 10 мс. После этого ЗЭ будет оставаться в низкоомном состоянии практически неограниченное время. Чтобы вернуть ЗЭ в высо- коомное состояние («0»), необходимо подать импульс тока 150 мА длительностью 5 мкс. Считывается информация при по- даче тока 1 мА и изменением падения напряжения на ЗЭ. 7.4. ПРОГРАММИРУЕМЫЕ ЛОГИЧЕСКИЕ МАТРИЦЫ Программируемые логические матрицы (ПЛМ) как и ППЗУ относятся к программируемым ИС двухступенчатой структуры, состоящей из двух последовательных матриц «Матрица И — мат- рйца ИЛИ» [77]. ПЛМ отличается от ППЗУ тем, что в ППЗУ матрица И жесткая, а матрица ИЛИ программируемая, а в ПЛМ обе матрицы И и ИЛИ программируемые. Второе отличие со- стоит в том, что на ПЛМ можно реализовать не любую систему переключательных функций, как на ППЗУ, а лишь удовлетво- ряющую дополнительному ограничению: длина дизьюнктивных нормальных форм воспроизводимых функцией не должна пре- вышать числа переходных цепей между матрицами И и ИЛИ. Структурная схема ПЛМ (рис. 7.22) состоит из входных и выходных буферных каскадов БВх, БВых и матрицы элемен- тов И и ИЛИ (Л4И—Mlt Миди— М2). Входные буферы разгру- жают входные цепи и преобразуют однофазные входные сигна- лы в парафазные. Выходные буферы обеспечивают необходимую нагрузочную способность ПЛМ и стробируют ее с помощью входа 226
выборки кристалла ВК. сигнал на котором либо разрешает ра- боту ПЛМ, либо переводит выходы в состояние «Отключено». Основными параметрами ПЛМ являются число входов т, число переходных цепей (термов) / и число выходов п [85]. Структура матрицы И н ИЛИ состоит из горизонтальных и вертикальных шин, в узлах пересечения которых находятся элементы связи Рис. 7.22 (ЭС), которые при программировании вводятся или устраняются (рис. 7.23,а). В качестве ЭС могут служить рассмотренные ЗЭ, например, диоды в матрице Л4И (рис. 7.23,6) и транзисторы в матрице Мили (рис. 7.23,«). ПЛМ широко используются как и ППЗУ для реализации переключательных функций Fn преобразования кодов, так и в качестве управляющей памяти ЭВМ с микропрограммным управлением. Следует отметить, что ППЗУ реализует наиболее развернутые формы представления функций (СДНФ) и для них не используется минимизация функций. Для ПЛМ реали- зуемую систему функций следует минимизировать. Для по- строения автоматов с памятью к ПЛМ добавляют триггеры (ре- гистры). ПЛМ с памятью имеет структуру, связанную с классиче- ской структурой автомата с памятью (рис. 7.24,а). Результат данного шага обработки информации зависит от результатов 227 8*
предыдущих шагов: это обеспечивается обратной связью с ре- гистра Рег на вход матрицы Л7И. Число внутренних состояний определяется' числом триггеров г (разрядностью g регистров) и не превышает 2Г(2«). Обычно ПЛМ с памятью выполняется как синхронное устройство — петля обратной связи активизть Q многократно. Для этого в матри- цах И и ИЛИ совместно с ЭС Рис. 7.25 включают триггер, который может активизировать или блокировать ЭС в данном узле координатной сетки (рис. 7.24,6). Когда число /V функций в системе больше числа выходов ПЛМ (N > «пли)’ т0 несколько ПЛМ включают параллельно входам (рис, 7.25,’а). £Так как число термов предполагается достаточным (Л-</плм), все ПЛМ можно запрограммировать на одни и те же темы. В противном случае, когда L > (плм, то изданной ПЛМ подключают дополнительные с тем же числом 228
Pf Рг Рз Pi Ps Pe P? Pe \6poe ' ХактоНые импульсы - Рис. 7.27
Таблица 7.3 Состояние триггера Входы D-триггеров предыдущее последующее а* <?3 <?2 <2'+' ^+1 D, о, Dt 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 0 1 1 i 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 1 1 0 0 1 0 0 0 0 0 0 0 0 входов и выходов (рис. 7.25,б).По входам ПЛМ включают парад- дельно, а соответствующие выходы соединяют по ИЛИ. При этом каждая матрица программируется на свои термы, затем из Таблица 7.4 <4 D 0 0 1 1 0 1 0 1 0 1 0 1 термов на выходах собираются нужные функции. ПЛМ с памятью широко используют для построения последовательностных схем (счетчиков, регистров). Рассмотрим построе- ние синхронного двоично-десятичного счет- чика на D-триггерах. Из таблицы истин- ности работы счетчика (табл. 7.3) и харак- теристической таблицы (табл. 7.4) составим карты Карно для каждого D-входа тригге- ров счетчика (рис. 7.26). Из карт Карно для счетчика можно получить следующие уравнения; — Qi> ^2 — + Q4Q2Q1; Da ~ Q3Q2 4* Q3Q1 4“ Q3Q2Q1; — QtQi Ч- QaQjQi- На рис. 7.27 показана реализация уравнений счетчика с по- мощью ПЛМ с элементами памяти на D-триггерах, выходы кото- рых являются сигналами обратной связи для матрицы И. Таким образом, входной сигнал на триггере Т4 равен сумме членов произведения Р7 и Рч, т. е. ФзФгФг- Эта величина равна Dt, и на следующем тактовом импульсе будет действовать по цепи обратной связи как сигнал Q4. Для других состояний счет- чика все происходит аналогично.
' Глава 8 КОМПОНЕНТЫ ЦИФРОВЫХ СИСТЕМ 8.1. КОМПОНЕНТЫ СОГЛАСОВАНИЯ УРОВНЕЙ СИГНАЛОВ утстройсгва цифровой схемотехники помимо логических ком- м бинационных и последовательных компонентов и функци- ональных узлов содержат значительное количество вспомога- тельных компонентов, без которых невозможно создание за- конченных радиоэлектронных средств. К ним относятся все- возможные схемы генерирования, формирования, преобразова- ния импульсных сигналов, средства отображения цифровой информации, устройства электрического согласования функци- ональных узлов, выполненных на различной технологической основе и питаемых отличающимися по величине и знаку напря- жениями, средства защиты блоков и устройств от внешних и внут- ренних электрических помех и т. д. Таблица 8.1 Вход ПУ Выход ПУ ТТЛ р-МДП КМДП ЭСЛ И‘Л ТТЛ ПУ12 ПУ 13 ПУ14 ПУ15 р-МДП ПУ21 ПУ23 ПУ24 ПУ2, КМДП ПУ31 ПУ32 ПУ34 ПУ33 ЭСЛ пу41 ПУ42 ПУ48 ПУ48 И2Л ПУ51 ПУ52 пу53 ПУ54 Для согласования логических уровней сигналов между цифровыми блоками, в которых использованы логические эле- менты, отличающиеся уровнями напряжения сигналов, вход- ными и выходными сопротивлениями, служат преобразователи уровней (ПУ). В табл. 8.1 приведен состав ПУ для согласования уровней логических элементов на основе широко используемых технологий: ДТЛ, ТТЛ, ТТЛШ, р-МДП, КМДП, ЭСЛ, И2Л [51]. На вход ПУ поступают логические уровни в стандарте I, а на выходе необходимо обеспечить логические уровни в стан- дарте J (I =/= J). Тип соответствующего ПУ обозначим согласно табл. 8.1 ПУ/у. Логические элементы типа ДТЛ, ТТЛ, ТТЛШ, которые имеют однотипные электрические характеристики, стандарты питающих напряжений и уровней логических сигна- лов, относятся к одному классу ТТЛ. Параметры ИМС основных технологий приведены в табл. 8.2. Широкую номенклатуру ПУ можно существенно уменьшить, если все преобразования осуществлять через некоторый проме- 231
Таблица 8.2 Параметр ТТЛ р-мдп КМДП эсл и»л ^и. п- В 5 —12...—27 3...15 —5 1...2 в 0,4 —7...—20 0,3 —1,6 0,05 и1, в 2,4...4,5 —2...—3 2...14 —0,8 0,6...0,8 мА 0,1 1,5 мкА 1,5 мкА 0,3 0 /1, мА 1,6 15 мкА 15 мкА 0 10...50 мкА /2, мА 1 2,5 2,5 3...22 0 мА 16 2,5 2,5 3 20 мкА ^пом. В 0,6 1 0,9 0,2 0,1 Примечание. «+» и »—» обозначают соответственно втекающие и вытекающие токи. жуточный стандарт, в качестве которого чаще всего используют стандарт ТТЛ. При этом вместо 20 типов ПУ (табл. 8.1) тре- буется лишь 8 для преобразователей сигналов ТТЛ в другие 4 типа и для обратного преобразования. Преобразователи уровней сигналов ТТЛ и МД П (рис. 8.1,о). Транзистор VT1 работает в ключевом режиме. Входная цепь (Rl, R2) рассчитана так, чтобы выполнялись следу- ющие условия: уровень ТТЛ U°< 0,4 В должен обеспечить режим насыщения транзистора VTI при минимально допус- тимом значении коэффициента усиления тока Pmjn; уровень ТТЛ U1^ 2,4 В Должен обеспечить режим отсечки транзистора 232
VT1 при максимальной температуре окружающей среды; вход- ной ток ПУ12 /тан не должен превышать /2 ТТЛ. Данные усло- вия выполняются, если справедливы соотношения: ии. гЛ - и>1 > ЛМШ); (8.1) (/{/?! —(/н п/?2— ^к0тах^1^2 > — I k'nopl + (8.2) ^и.п+^т+^)</». (8-3) где | (/пор| —пороговое напряжение биполярного транзистора. Из соотношений (8.1) и (8.2) находят требуемые сопротив- ления резисторов R1 и R2 относительно выбранного из условия согласования с нагрузкой резистора R3: *i = ₽m,n*3/s; ^ = W8(f/l + + । ^пор I)/(Sс/и. п 4- ₽mln/VKOmax)> (8.4) где S =2...5'—коэффициент насыщения транзистора. Полученные из формулы (8.4) значения Rl, R2 должны удов- летворять условию (8.3). В противном случае необходимо уве- личить R3, уменьшить S или выбрать транзистор с ббльшим коэффициентом Pmin и повторить вычисления по формуле (8.4). В результате на выходе ПУ12 формируются уровни Ц°2 & —(/н п и и2яз 0. Быстродействие ПУ12 определяется частотными свой- ствами транзистора VT1, работающего в режиме насыщения. Введение нелинейной обратной связи через диод Шотки VD повышает быстродействие. Для преобразования уровней ТТЛ к уровням КМДП ис- пользуют схему ПУ1з (рис. 8.1,6). Первый каскад на биполярном транзисторе VT1 выполняет роль инвертора-усилителя логи- ческого перепада kUn= U1— U° ТТЛ до логического перепада КМДП. Второй каскад (VT2, VT3) выполнен в виде ключа на КМДП-транзисторах. Для обеспечения работоспособности ПУ13 необходимо выполнить условия: ЯХ^пор-^Жотах'. I , *1 = (U1 - </П0Р) 4nin/(4. „). S = 2 . . . 5. j Сопротивление резистора R2 выбирают из условия обеспе- чения требуемого быстродействия ПУ13: чем больше R2, тем ниже быстродействие. Если на вход ПУ13 поступает от ИМС ТТЛ уровень (/°< 0,4 В, транзистор VT1 оказывается запертым, иа его коллекторе формируется высокий потенциал (/{,= (/и п — — (/и.п> который обусловливает отпирание п-каналь^ ного транзистора VT3 и запирание р-канального транзистора VT2. На выходе ПУ13 устанавливается низкий потенциал fl- Прн подаче на вход высокого уровня (/{>2,4 В транзистор VT1 насыщается, VT2 переключается в триодный режим, a VT3 — в режим отсечки, и на выходе ПУ13 устанавливается высокий уровень U2~ Ua n. 233
Достоинства схемы на рис. 8.1,6 — простота, высокое бы- стродействие и низкое энергопотребление. К недостаткам следует отнести сочетание в схеме биполярных и' униполярных транзи- сторов, а также низкую помехозащищенность ПУ13 на уровне «О» входного сигнала. Согласование выходов ТТЛ-элементов со схемами р-МДП, я-МДП и КМДП удобно выполнять с помощью оптрониой пары VD3-+ VD4 (рис. 8.2), управляющей усилителем-инвертором на транзисторе VT2 и КМДП-каскадом на транзисторах VT3 и VT4. Входная цепь такого ПУ имитирует входные характери- стики ТТЛ. Если (/* = min {(7ц, U12) < Ппор1, транзистор VT1 и светодиод VD3 заперты, поэтому транзисторы VT2 и VT3 также заперты, a VT4 находится в триодном режиме. Соответ- ственно напряжение на выходе U0. Для подключения ПУ к ИМС р-МДП вывод UА заземляется, а на вывод U в подается питание—UH п. При сопряжении ТТЛ с n-МДП и КМДП заземляется вывод U в, а на вывод UА пода- ется положительное напряжение U н п. Соответственно смещается уровень выходного напряжения независимо от 14 ц, 14и п1. Преобразователи уровней ИМС на основе МДП-транзисторов к уровню ТТЛ различаются видом МДП-схем (р-МДП, п-МДП, КМДП). Сопряжение уровней ИМС на основе n-МДП, КМДП, питаемых напряжением Ua п1 > 14 и п2 = -|-5 В, с ИМС типа ТТЛ (ПУ31) можно в простейшем варианте выполнить посредством включения ограничивающего диода VD (рис. 8.3, а). Однако при значительном превышении (/и П1 над UH _ п2 существует опасность перегрузки выхода МДП-схемы за счет больших токов через диод VD при передаче логического уровня 141. Во избежание такой перегрузки вместо диода VD можно в качестве ПУ31 воспользо- ваться схемой рис. 8.3, б, которая согласует уровни и инвертирует сигнал. Если на затвор транзистора VT1 от МДП-схемы поступает сигнал (/1<(/пор1, транзистор запирается и на его стоке уста- навливается уровень U\ = (7И> п2 = 5 В, соответствующий уровню логической «1» ТТЛ. Высокий уровень на затворе VT1 переклю- чает его в триодный режим, и на выходе ПУа1 формируется уровень логического «0» Г1 + ^0 (8.5) 234
где ri — f (U]) — зависящее от входного напряжения L/[ сопротив- ление канала транзистора VT1 в триодном режиме; — входной ток ТТЛ-схемы при входном напряжении (У® = О, N — количество нагрузок ТТЛ. Для обеспечения допустимого техническими условиями на ТТЛ ИМС уровня необходимо, чтобы определяемое по формуле (8.5) напряжение удовлетворяло условию: ^°мдп = ^1ТТЛ < ^тах «0,4 В. Если сопротивление г( = 200...500 Ом, то такой ПУ31 можно нагрузить лишь на одну ТТЛ-схему (М = 1). Увеличение д Рис. 8.3 нагрузочной способности достигается включением последова гельно с инвертором на МДП-транзисторах простого или сложного инвер- тора на биполярных транзисторах (рис. 8.3, в). Транзистор VT3 в насыщенном состоянии имеет сопротивление гк н < г( и обеспе- чивает высокую нагрузочную способность схемы (Краз > 20). Согласование логических уровней р-МДП и ТТЛ-схем ос- ложняется разнополярностью питающих ИМС напряжений. ПУ21, построенный на р-МДП-транзисторах (рис. 8.3,г), содер- жит буферный усилитель-инвертор на транзисторах VT1...VT4 и двухтактный оконечный каскад на транзисторах VT5 и VT6. Работа и параметры буферного усилителя подробно описаны в гл. 4 (см. рис. 4.45щ). Входной сигнал ПУ21 Ut и выходной буферного усилителя U\ образуют пару противофазных напряже- ний, управляющих затворами транзисторов VT5, VT6 оконечного каскада. Если (/} = 0, то й\ = Ua п1 - Ппор1 - (/пор3 = -14 . .. , . . — 16 В, поэтому транзистор VT5 заперт, a VT6 открыт, и на выходе напряжение логического «0» ~ 0- Входное напряжение U° = —20 В отпирает транзистор VT5, а инверсный сигнал ~ « 5 В запирает транзистор VT6, и на выходе формируется уро- 235
вень логической «1» U\ «5 В. Для обеспечения режима отсечки транзисторов VT4 и VT5 при 6^ = 0 необходимо, чтобы они об- ладали большим пороговым напряжением | t/nop4> 5 I 3 в- В схеме на рис. 8.3, д входной инвертор, собранный на МДП- транзисторах VT1 и VT2, обеспечивает развязку МДП-схемы и оконечного каскада на биполярном транзисторе VT3, имеющего относительно малое входное сопротивление RBX « На резистор R1 подается либо напряжение U* ~ Ua. п1— ^ПОр1 (ПРИ = либо U* «0 (при и°=— 20 В). При Д’ = —20 В биполярный транзистор VT3 насыщен током, проходящим через резистор R2, и выходное напряжение Уъ « 0, а при t/J=O формируется соот- ветственно потенциал U* = —17 В, который через делитель напря- жения Rl, R2 обеспечивает режим отсечки транзистора VT3, На выходе ПУ 21 устанавливается = § В. Таким образом, ПУ21 (рис. 8.3, 9) обеспечивает согласование р-МДП-схем и ТТЛ без инверсии логического сигнала. Преобразователи уровней сигналов ТТЛ и ЭСЛ. К элемен- там передачи сигналов от ТТЛ-схем к ЭСЛ (ПУИ) и от ЭСЛ-схем к ТТЛ (ПУ45) предъявляется требование сохранения высокого быстродействия. Этн преобразователи, помимо согласования уровней сигналов и взаимной развязки логических элементов, должны обеспечить минимальную задержку распространения сигналов и не ухудшать помехозащищенность схем ЭСЛ. С уче- том этих требований построены ПУ, входящие в состав серий К100, К500 (КЮ0ПУ124, К500ПУ124, К100ПУ125, К500ПУ125) [33]. В ИМС К100ПУ124 (К500ПУ124) входит четыре преобра- , зователя уровней, каждый из которых имеет по два входа и два - выхода (прямой (722 и инверсный (721 (рис. 8.4)). Логическая связь между входами КЮ0ПУ124 используется для блокировки передачи сигналов от ТТЛ-схем к ЭСЛ. Высокое быстродействие входного каскада достигается использованием транзистора VT1 в ненасыщенном режиме. Для улучшения помехозащищен- ности на уровне логического «0» увеличен порог входной харак- 236
теристики до (Упор= 2,1 В. Перепад напряжения на диоде I7D7 обеспечивает переключение токового ключа на транзисто- рах VT4 и VT5 и генератора стабильного тока на транзисторе VT6. Напряжение на диоде VD7 изменяется в пределах 0...0.7 В, а на базу транзистора VT4 должно поступать напряжение — —0,9...—1,6 В. Необходимое понижение потенциала обе- спечивается падением напряжения на эмиттерном переходе тран- зистора VT3, а также на резисторе R3, через который протекает независящий от входного сигнала ток А> = а2/э2 = сг3 (Uon — и6 э2 — ^и п2)//?4, (8.6) где UQn = п2 + 2С70) Z?i2/(/?12 + /?iS) — опорное напряжение переключения тока; (/„—пороговое напряжение диодов VD8, VD9 С учетом формулы (8.6) падение напряжения иа резисторе /?<? (/R3= I0R3— const. Выходные транзисторы VT9, VT10, включенные по схеме с общим коллектором, предназначены для улучшения нагрузочной способности ПУ14, а также для пони- жения уровней выходных сигналов до стандарта ЭСЛ. В ИМС К100ПУ124 (К500ПУ124) все транзисторы работают без насы- щения, благодаря чему задержка сигнала схемой сведена к ми- нимуму. Недостаток ПУ14— сложность схемы и высокое энер- гопотребление. Существуют модифицированные и упрощенные варианты рассмотренной выше схемы (рис. 8.5). В эмиттере транзистора VT1 вместо диодов включены резисторы R2, R3, сопротивления которых выбирают так, чтобы обеспечить для двух уровней входного сигнала (У®С 0,4 В и (/}> 2,4 В требуемые уровни напряжения на базе транзистора VT2-. и°62 = [((/« + и0 - (/б.э1) /?3 + Ои.п2 /?2] 0 = -1,6 В; (8.7) <4 = [(^61 “ иб.-Л) «з + {/и.п2 «2] G = -0,9 В, (8.8) где G = 1/(/?2 + /?3); Ul6l = (^ (/„ nl + GRLUHnl )/(Р, + GRJ-, U9- пороговое напряжение входных диодов; — коэффициент усиле- ния по току транзистора VT1. 237
Схема на рис. 8.5 нормально функционирует, если для потен- циалов, определяемых соотношениями (8.7), (8.8), выполняется условие: i/g2 > UOn > ^4- Недостатком схемы на рис. 8.5 является зависимость напряжения U62 от входного и технологического разброса коэффициента Р4. Еще более простой вариант ПУ14 показан на рис. 8.6,а. В зависимости от состояния многоэмиттерного транзистора (МЭТ) VT1 диод VD2 заперт либо открыт. При запертом диоде VD2 потенциал базы транзистора VT2 и выхода определяется током базы /g2> протекающим через резистор R2, 4 = (I ^И.п2 I - иб2-и0) I [7?г + (Р2 + 1) /?8], Рис. 8.6 где U62> UB—падения напряжения на эмиттерном переходе VT2 и диоде VD3. Тогда на выходе ПУ41 формируется потенциал низкого уровня ^2 = ~4^ ~^б.э2^ «-1.6В. Переключение МЭТ VT1 в инверсный активный режим при запирании эмиттерных переходов высокими уровнями Ullt U12 повышает потенциал базы транзистора VT2 приблизительно на 0,7 В. Соответственно повышается уровень выходного напря- жения до —0,9 В. Недостатки схемы на рис. 8.6,а — большой входной ток 7°х из-за малого сопротивления резистора R1 и работа транзистора £VT2 в режиме, близком к насыщению. Кроме того, данный ПУ41 имеет низкую помехозащищенность. Схема ПУ14 рис. 8.6,6 построена на основе токового ключа на транзисторах VT2, VT3, управляемого через диодную оптрон- иую пару VD3, VD4. Резисторы Rl, R2 выбирают из условия обеспечения необходимого тока через транзистор VT1 (в актив- ном режиме) и светодиод VD3. Основной недостаток ПУ14 с опт- ронной развязкой — большое время задержки сигнала (около 200 нс) из-за инерционности оптронов по сравнению с рассмот- ренными выше схемами (50 нс). Преобразование логических уровней ИМС типа ЭСЛ к стан- дарту ТТЛ выполняет микросхема КЮ0ПУ125 (К500ПУ125), содержащая четыре аналогичных ПУ41 (рис. 8.7), которая обе- спечивает усиление логического перепада ЭСЛ до необходимого 238
логического перепада ТТЛ. Функцию усиления по напряжению и мощности выполняют соответственно входной дифферен- циальный каскад на эмиттерно-связанных транзисторах VT1 и VT6 и оконечный двухтактный каскад на транзисторах VT7 и VT8. Режим работы входного каскада определяется опорными напряжениями (/оп1 = —1,29 В, (/оп2=—2,8 В, t/on3 = —3,5 В, генератором стабильного тока (VT3) и входным напряжением. Одна из баз транзисторов VT1 или VT6 с помощью внешнего монтажа соединяется с выводом источника £/оп1. Таким образом, реализуется либо инвеитирующий (вход Un U12 — (70п1), ли<5° Рис. 8.7 неинвертирующий (вход Z/12 (70п1 = t/pnl). Транзистор VT2 обеспе- чивает защиту оконечного каскада (VT7, VT8) от теплового раз- рушения сквозным током, так как исключает одновременное отпи- рание транзисторов VT7 и VT8 при подключенном к ИМС напря- жении питания и свободных входах Ullt U12. Основной недостаток схемы рис. 8.7, а — чувствительность к колебаниям напряжения питания UH п2, что обусловлено малым запасом напряжения на резисторе R10. Изменяя напряжение U а п2, а также сопротивление резистора R10, можно изменять режим работы входного каскада, а следовательно, н выходного. На рис. 8.7,6 показана схема ПУ14, который не содержит амиттерных повторителей с резистивными делителями. Кроме того, выходной каскад на транзисторах VT4 и VT5 не защищен от теплового пробоя, когда оба транзистора VT1, VT2 заперты (при неподключенных входах Ullt U12), что влечет за собой одно- временное отпирание транзисторов оконечного каскада. На один 239
ил входов Uu, U12 подается опорное напряжение t/onl= —1,29 В и тем самым реализуется соответственно инвертирующий либо неинвертирующий ПУГ1. Преобразователей уровней сигналов ТТЛ и ИаЛ используют биполярные транзисторы двух типов проводимостей, совмещая коллекторную область р-п-р-транзистора с базовой областью миогоколлекторного п-р-п-транзистора (МКТ) и базовую об- ласть р-п-р-транзистора с эмиттерной областью МКТ. Умень- шение количества фотошаблонов, операций диффузии и изоли- рующих областей («карманов») обусловливает широкое исполь- зование И2Л-технологии в производстве БИС и, в частности, ОЗУ большой емкости Чаще всего внешние входные и выходные Рис. 8.8 сигналы таких БИС приво- дят к стандарту сигналов ТТЛ-схем, поэтому задача сопряжения схем иа основе ТТЛ- иИ2Л-технологий ак- туальна. Для управления И2Л- схемами используют логи- ческие уровни U°<g. 0,05 В и (Л = 0,6...0,8 В (табл. 8.2), а в ТТЛ-схемах С 0,4 В, 2,4...4,5 В. Следовательно, преобразо- ватели уровней ТТЛ—>- И2Л (ПУ,Г)) и И2Л—► ТТЛ (ПУ61) долж- ны обеспечивать соответственно ослабление и усиление вход- ных сигналов. В качестве ПУ15 можно использовать ТТЛ-схему с откры- тым коллектором, который подключается непосредственно ко входу И2Л-схемы. Однако при малом числе нагрузок (Краз)ПУи выходной транзистор ТТЛ-схемы имеет глубокое насыщение, при этом возрастает время р. Поэтому при небольших Мраз применяют специальную схему ПУ13 (рис. 8.8, а). При я/0 транзистор VT1 насыщен, а VТ2 заперт и ток lQ, инжектируемый генератором тока VT3, замыкается через базу МКТ и отпирает его. На выходе ПУ16 (коллектор VT2) устанавливается 4-0,7 В. Если напряжение на входах 7/п, (/12 :> 3,5 В, транзистор VT1 переключается в инверсный активный режим, a VT2— в режим насыщения. Ток 10 инжектора VT3 замыкается через насыщенный VT2, и поскольку сопротивление коллектора насыщенного траа- 240
зистора гкн мало, нз выходе ПУ18 имеем логический «О» = гк.и^оЛ(0. Сопротивление резистора Постоянная времени рассасывания заряда на базе VT2 Тн2/Свх > #2 = Рг^г^б.й/И^ и.п! — Цз.э2 — Uo — Цз.кО — -/?i70WSJ, (8.9) где Свх— эквивалентная входная емкость транзистора VT2; — пороговое напряжение диода VD; N — количество нагру- зок И2Л с током инжектора /0; S — коэффициент насыщения транзистора VT2. Коэффициент S в формуле (8.9) следует выбрать в соответ- ствии с ограничением сверху иа сопротивление резистора R2. Входная характеристика ПУ15 (рис. 8.8,а) идентична входной характеристике базового ТТЛ-элемента И—НЕ. На рис. 8.8,6 показана модификация схемы рис. 8.8,а, полученная заменой диода VD на транзистор VT2, работающий без насыщения в режиме эмиттерного повторителя. Многокол- лекторный транзистор VT3 открывается усиленным транзисто- ром VT2 током, что увеличивает быстродействие ПУ16. Миого- коллекторный выход помимо согласования уровней обеспечи- вает раздельное управление несколькими И2Л-схемами (А’раз=3), В оптоэлектронной схеме ПУ16 (рис. 8.8,в) входной каскад на транзисторе VT1 воспроизводит входные характеристики ТТЛ-элемента И—НЕ. Если хотя бы на одном входе имеется низкий уровень U®(, транзистор VT1 заперт (светодиод VD3 погашен), а значит, заперт фотодиод VD4 и МКТ VT2 также на- ходится в режиме отсечки. Когда на всех входах имеются логи- ческие «1», транзистор VT1 насыщен, через светодиод VD3 про- текает ток Id = (^и.пт ~ укн. ~ио) № + * 5 мА достаточный для светоизлучения. В результате ток фотодиода открывает транзистор VT2 и на выходах (коллекторах) устанав- ливаются логические «О». Сопротивление резистора R3 выбирают так, чтобы за заданное время происходило рассасывание не- основных носителей в базе транзистора VT2. Достоинства оптронного ПУ16— полная гальваническая раз- вязка входных и выходных цепей, а также высокая помехозащи- щенность. Недостаток — невысокое быстродействие, которое ограничивается оптронной парой. Преобразователи сигналов И2Л-схем к стандарту ТТЛ (ПУ55) должны обеспечить усиление сигналов И2Л по напряже- нию (до логического перепада ТТЛ) и по току (от микроампер до миллиампер). Поскольку биполярные транзисторы И2Л-схем имеют малый коэффициент усиления по току (Р « 2), требуемое усиление получают последовательным включением (каскадным) нескольких Й2Л-схем (рис. 8.9). Число последовательно вклю- чаемых И2Л-каскадов зависит от отношения /j/Zj, где 10— ток инжектора; Д tv U Если каждый МКТ И2Л-схемы содержит пк коллекторов и имеет коэффициент усиления по току Р, то при т последовательно включенных И2Л-схем, ток " - (8.10) 241
Число необходимых каскадов m = ln(?i//0)/ln(nK Р). На рис. 8.9,а показана схема ПУ61 для т = 2. Выходной каскад ПУл представляет собой обычный сложный инвертор ТТЛ, управляемый током резистора R1, определяемого из соотношения (8.1): = (^и.п - W ^ЗпйпЖ.п - <4) SJ * 0,79 P3mIn R2/S, где (/0~ 0,7 В — напряжение на открытом эмиттерном пере- ходе; S — 1,2 ..3 — коэффициент насыщения транзистора. и,.п, Я1 из VT3 VI иг Рис. 8.9 V VTS R2 VTh Un.nt=*5B ° Уцлг=*5В 1о U, МКТ VT2 заперт, ток R1 замыкается через на Если резистора базу транзистора VT3, насыщает его, благодаря чему насыщается также транзистор VT5, запирает- ся VT4 и на выходе формируется логический «0». Если МКТ VT2 открыт, то ток резистора R1, равный замыкается через VT2, напряжение на базе VT3 0, поэтому VT3 и VT5 выходе устанавливается логнчес- заперты, a VT4 открыт и кая «1», соответствующая ТТЛ-схемам. В схеме ПУ51 на рис. 8.9,6 сопротивление резистора R1 можно выбрать большим, чем в предыдущей схеме *1 = (^и.п - Ъ Р2га1п/(^и.п) « 0,94 T?a[₽2mln/S, где R2— выходное сопротивление ПУ51 в состоянии логической «1» и определяемое из условий согласования с ТТЛ-нагруз- ками. Достоинство ПУ16 (рис. 8.9,6) — простота схемы, а недо- статки — все, присущие простым инверторам на выходе ИМС. ВсхемеПУ16на рис. 8.9, в [2] выходом является открытый коллектор, который можно подключить непосредственно к входу ТТЛ-схемы. Схема не содержит резисторы и изменением тока инжектора можно регулировать мощность и быстродействие. Схема состоит из двух последовательно включенных И2Л-схем на транзисторах VT1 и VT3. Первый каскад усиливает входной тс к /0 в 2 fl раз, т. е. ток инжекции второго каскада 7 = 2f}/0. Второй каскад усиливает ток первого также в 2(1 раз и его вы- ходной ток должен быть достаточным для непосредственного управления ТТЛ-схемой. 242
Некоторые из рассмотренных ПУ выпускаются промышлен- ностью в виде монокристальных или гибридных ИМС н широко используются при проектировании цифровых устройств. Наи- большее число промышленных вариантов имеется среди ПУ ТТЛ -н- ЭСЛ, ТТЛ о МДП. 8.2. ФОРМИРОВАТЕЛИ И ГЕНЕРАТОРЫ ИМПУЛЬСОВ Все импульсные устройства цифровой схемотехники можно разделить на формирователи импульсов, одновибраторы (ОВ) и мультивибраторы. Формирователями называют логические устройства, для которых существует связь между амплитудно- временными параметрами входных и выходных сигналов. Одно- вибраторами (или ждущими мультивибраторами) называют спусковые регенеративные устройства, имеющие одно устойчи- вое состояние, которые в ответ на внешний импульс запуска ге- Рис. 8.10 нерируют однократный выходной импульс с заданными ампли- тудно-временными параметрами (амплитудой U2m, длительно- стью tH). В цифровых устройствах одновибраторы выполняют функции таймеров, элементов задержки, формирователей им- пульсов и т. д. Мультивибраторы также являются регенератив- ными устройствами (с положительной обратной связью), но оии не.имеют устойчивых состояний равновесия и генерируют непре- рывную серию импульсов с постоянными амплитудно-временны- ми параметрами (амплитудой импульса U2,n, частотой f или периодом Т = 1// генерируемых колебаний, скважностью им- пульсов Q). Формирователи импульсов предназначены для выделения положительных и / или отрицательных фронтов логических сигналов (детекторы фронта), приведения уровней случайного сигнала к стандартным логическим уровням (амплитудный дис- криминатор), преобразования формы импульсов, расширения импульсов и т. д. Детектор фронта (ДФ) импульсной последовательности должен сформировать на выходе короткий положительный или отрицательный импульс в момент соответствующего переклю- чения логических уровней входного сигнала. На рис. 8.10 изо- бражена схема детектора положительного фронта (ДПФ) на основе логических элементов И—НЕ. Элемент DD1 инвертирует входной сигнал 1Д и подает его на интегрирующую /?С-цепь. Если на входе 1Д< U„ap, то высокий уровень заряжает кон- денсатор С до напряжения UC=U1. Входной сигнал U1—U^ является доминирующим для DD2 и на его выходе независимо от Uc= U1 сохраняется уровень логической «1» и\. По положитель- 16* 243
ному фронту входного сигнала синхронно переключаются в «О» уровни на выходах DD1 и DD2. Совпадение «1» на входах £>£>2 поддерживается в течение времени разряда конденсатора С от напряжения Uс = U1 до (/пор. По мере разряда конденсатора через резистор /? и выходную цепь DD1 напряжение Uc экспонен- циально падает с постоянной времени тр = С (/? -f- £?в^х1), где /?вых1 “ выходное сопротивление DD1 в состоянии «О» на выходе. В момент /2 напряжение Uc достигает Unop, и напряжение на выходе DD2 переключается и устанавливается Ul2- Таким образом, формируется выходной импульс отрицательной полярности длитель- ностью /и = тр1п(^/(/пор). (8.11) После момента /2 разряд конденсатора продолжается, асимп- тотически стремясь к U°c^U°2+RI°m2, где /вх2 — входной ток DD2 при логическом «О» на входе. По отрицательному фронту входного сигнала переключается DD1 напряжением Ul = U1, восстанавливается зарядка конденса- торе С. Заряжается конденсатор выходным током элемента DD1 через резистор R (и диод VD, если он подключен) с постоянной времени т’3 = С (7? +/^ых1) пли т" « С (Г), + /?^ых1) « т’3. Под- ключение диода VD ускоряет заряд конденсатора С и переход схемы в исходное состояние. Недостаток ДП t> (рис. 8.10) — наличие навесных компонентов (R, С и VD). Этого недостатка лишен формирователь (рнс. 8.11, а), в котором длительность выходного импульса определяется временем задержки сигнала в логических элементах DD1, , DD^. Как видно из временных диаграмм (рис. 8.11, б), длительность выходного импульса при включении между входным DD1 и выходным DD4 элементами т дополни- тельных инверторов =("' + ') с,д.р.ср. (8 12) где т — четное число. Схема ДПФ (рис. 8 11) при т = 2 удобна тем, что четыре элемента 2И—НЕ размещаются в одном корпусе серий 133, 155, 564. Аналогично можно реализовать детектор отрицательного фронта (ДОФ) на логических элементах ИЛИ—НЕ (рис. 8.12,а). 244
Как и в схеме ДПФ важное значение имеет логическая функция только выходного элемента, а в качестве инверторов можно использовать как элементы НЕ, так и ИЛИ—НЕ, И—НЕ. Дли- тельность выходного импульса ДОФ (рис. 8.12,6) определяется соотношением Рис. 8.12 где — выходное сопротивление элемента DDf в состоянии логической «1» на выходе; /°х2 — входной ток элемента DD2 в состоянии логического «О», Для быстрого восстановления схемы ДОФ (рис. 8.12,а) резистор R шунтируют диодом VD, вследствие чего ускоряется Рис. 8.13 разряд конденсатора С. Длительность выходного импульса ДОФ (рис. 8.12,в) определяется соотношением (8.12). На рис. 8.13 показана схема детектора как положитель- ного, так и отрицательного фронта. На выходе элемента DD2 (рис. 8.13,а) ИСКЛЮЧАЮЩЕЕ ИЛИ отрицательный импульс формируется при совпадении логических уровней на его входах. Длительность импульса, формирующегося по положительному фронту, определяется соотношением (8.11), а по отрицательно- му — соотношением (8.13) с погрешностью, обусловленной за- держкой логического элемента ИСКЛЮЧАЮЩЕЕ ИЛИ. Дли- тельности выходных импульсов, формируемых по положительчо- 245
му и отрицательному фронтам (рис. 8.13,6), можно выровнять подключением диодов VD1, VD2 и резистора * (на рис. 8.13,в), имеющего сопротивление, = (S+Cx.) In [t/yt/nop] _ /?вых>- Схема ДФ рис. 8.13,в формирует по каждому фронту им- пульсы отрицательной полярности приблизительно одинаковой длительности, определяемой соотношением (8.12), причем раз- личие длительностей тем меньше, чем больше т. Часто на входе цифрового устройства необходим формирова- тель двухуровневого логического сигнала из случайного непре- рывного входного сигнала. Для этого удобно воспользоваться стандартным трипером Шмитта или эквивалентной ему схемой В Рис. 8.14 на основе двух инверторов (рис. 8.14,а), охваченных параллель- ной положительной обратной связью через резистор R2. Поро- говые напряжения (/JJ, регенеративного переключения полу- ченного таким образом неинвертирующего триггера Шмитта = ^пор + «'пор - ^0<1)) (8.14) где —пороговое напряжение логического элемента DDl’t ^2’ ~~ выходные уровни элемента DD2 в состоянии соответст- венно «О» и «1». Получаемые из формулы (8.14) пороговые на- пряжения удовлетворяют условию 17° < (7пор < Ula, что определяет гистерезисный характер передаточной характеристики данного формирователя (рис. 8.14, б). Генераторы импульсов на полевых транзисторах. Полевые транзисторы с управляющим р-п-переходом (ПТУП) и МДП- транзисторы и с изолированным затвором и индуцированным или встроенным каналом применяют при построении генерато- ров импульсов больших длительностей с внешним запуском (одновибраторы, ждущие мультивибраторы) или задающих генераторов низких и инфранизких частот (мультивибраторы, астабильные вибраторы). Это объясняется большим сопротив- лением утечки тока в цепи затвора полевых транзисторов и воз- можностью получения больших постоянных времени т = RC времязадающих цепей за счет больших допустимых сопротив- лений резисторов при относительно небольших емкостях кон- денсаторов. Уменьшение емкостей конденсаторов позволяет улучшить конструктивные и стоимостные показатели схем. Рас- смотрим наиболее характерные схемы на полевых транзисторах. 246
Схема расширителя импульсов на МДПТ с индуцированным каналом и-типа [94] покачана на рис. 8.15. К расширителям этносят моностабильпые регенеративные устройства, обеспечи- зающие при входном импульсе длительностью /вх формирование зыходного прямоугольного импульса, длительность /п='вх + где А/ — приращение, являющееся параметром расширителя. Расширитель рис. 8.15,а построен на основе Д5-триггера (транзисторы VT2, VT3) и времязадающей цепи 7?, С коммути- руемой ключей на транзисторе VT5. Для управления состо- яниями ftS-триггера используют транзистор VT1, управля- емый внешним сигналом, и VT4, управляемый напряжением па конденсаторе С. В исходном состоянии входным напряжением [/j = < (7пор1 5 транзисторы VT1 и VT5 заперты, конденсатор С заряжен до напряжения -ф- UK п и транзистор VT4 высоким Рис. 8.15 потенциалом U''c = Пн>п > Т/пор4 поддерживается открытым (в три- одном режиме). На стоке открытого транзистора VT4 действует низкий потенциал, благодаря чему транзистор VT2 заперт. На общем стоке запертых транзисторов VT1 и VT2 потенциал близок к напряжению питания U\ = Vи п, поэтому транзистор VT3 открыт. Входной импульс положительной полярности, удовлетво- ряющий условию t/J> 77пор1 5, в момент t0, открывая транзистор VT1, переключает состояние триггера и вызывает разряд кон- денсатора С через открывшийся транзистор VT5. Транзисторы VT1, VT2 и VT5 открываются, транзисторы VT3, VT4 — за- крываются, а конденсатор С разряжается. Это состояние рас- ширитель сохраняет до окончания входного импульса. По зад- нему фронту входного импульса (момент t3 рис. 8.15,6) тран- зистор VT5 запирается в конденсатор С начинает заряжаться от источника питания через резистор R. Напряжение на кон- денсаторе С и затворе транзистора VT4 нарастает по экспонен- циальному закону с постоянной времени тэ = RC, В момент tt Uс= — 7/пор4 начинается обратное опрокидывание триггера и схема возвращается в исходное состояние. Приращение длительности выходного импульса = Т3 In [(£/„.„ - U°c)f(UИ1П - £/пор4)J ~ «/?С1п[7/,1-п/(Уи.п-7/пор4)],- т Где Uc — напряжение сток—исток МДП-транзистора в триодном режиме. 247
В качестве накопительного конденсатора С можно исполь- зовать эквивалентную емкость, состоящую из межэлектродных емкостей транзисторов VT4 и VT5. Длительность входного импульса /вх должна быть достаточной для полного разряда конденсатора С через открытый транзистор VT5. На практике вместо схемы на транзисторах VT1... VT4 можно использовать /?5-триггер на МДПТ. При построении одновибраторов, предназначенных для генерирования импульсов большой длительности (порядка миллисекунд и секунд), времязадающая 7?С-цепочка должна иметь соответственно большую постояннхю времени. В этом случае целесообразно использовать в качестве активных ком- понентов МДП-транзисторы, в цепи затвора которых для за- дания режима работы включают резисторы с сопротивлением в 101... 104 раз большим, чем в биполярных транзисторах При Рис. 8.16 этом конденсатор времязадающей цепи имеет емкость соответ- ственно в 1О2...1О4 раз меньше, что улучшает массогабаритные параметры схемы и одновременно позволяет уменьшить время восстановления одновибратора. В схеме на МДПТ с индуцированным каналом (рис. 8.16,о) собственно одновибратор построен на транзисторах VT2, VT3 со стокозатворными связями, а транзистор VT1 используется для запуска схемы внешним импульсом. В исходном состоянии транзистор VT3 открыт потенциалом -|-1/н п на затворе. Потенциал стока VT3 U°2 — ^зп2 <^пор2' поэтому транзистор VT2 заперт. Поскольку VT1 также заперт (Пзп1 = 0), на общем стоке транзис- торов VT1 и VT2 имеется высокий потенциал = (/и п. Конден- сатор С времязадающей цепи разряжен, так как потенциалы на его электродах Uc = Пзп3— U21 == П„,п — Uun = 0. Входной импульс положительной полярности, удовлетво- ряющий условию бЛ> (/пор1, в момент (рис. 8.16,6) открывает транзистор VT1 и потенциал его стока скачком падает до И®]» 0. Отрицательный скачок потенциала на стоках VT1, VT2 через конденсатор С передается на затвор транзистора VT3 н запирает его. На стоке VT3 и затворе VT2 потенциал скачком увеличивается до ^22= ^зп2= ^и,п и транзистор VT2 переклю- чается в триодный режим. Схема переключилась в квазиустойчивое состояние, для которого характерен заряд конденсатора С череа 248
резистор и транзистор VT2. Через открытый транзистор VT2 кон- денсатор С оказывается включенным между затвором и истоком транзистора VT3. По мере заряда конденсатора напряжение затвор—исток VT3 экспоненциально с постоянной времени т3 =/?С нарастает от (/зи3 (7j) « 0, стремясь асимптотически к U3 и3 (со) = = UB_п. В момент t,l потенциал затвора VT3 достигает уровня Uз из = ^порЗ и транзистор VT3 открывается. Благодаря положи- тельной обратной связи развивается регенеративный процесс обрат- ного опрокидывания, в результате которого транзистор VT3 пере- ключается в триодный режим, a VT2 —в режим отсечки. На этапе восстановления одновибратора конденсатор С разряжается через резисторы R и R2 с постоянной времени тр = С (/? + Т?2). Ток разряда обусловливает скол вершины выходного сигнала и Рис. 8.17 выброс напряжения на затворе транзистора VT3. Для ускорения процесса восстановления резистор R шунтируют диодом VD, Длительность выходного импульса = Т31п «£/„.„ - £/3.н3 П - поРз)1« ж RC\n[Uu n/(Uu n — t/nop3)]. (8.15) Длительность восстановления одновибратора (без Шунтиру- ющего диода) 7в«Зтр = ЗС (R + RJ, при подключении диода VD t* « Зт* = ЗС (R |) гд + Я2) « 3CR2 « /в. Сопротивление резистора R в данной схеме выбирают в ди- апазоне R = 10е...10’ Ом, а емкость С определяют по формуле (8.15). Существенным достоинством рассмотренной схемы является отсутствие дополнительного источника запирающего смещения, недостатком —зависимость длительности выходного импульса от температуры: с ростом температуры уменьшаются пороговое напряжение транзисторов I/ и длительность выходного им- пульса 71Г Инфранизкочастотный генератор прямоугольных импульсов на ПТУП (рис. 8.17,а) представляет собой два инвертирующих ключевых каскада, включенных последовательно. Схема само- возбуждается при подключении питающего напряжения Ue<п. 249
Она имеет два квазиустойчнвых состояния, определяемые ре- жимами работы ПТУП, транзистор VT1 (VT2) заперт в то время, как другой VT2 (VT1} открыт, и управляющий р-п-переход сме- щен в прямом направлении. Пусть мультивибратор находится в квазиустойчивом сбстоянии, в котором транзистор VT1, от- крыт, a VT2 заперт. При этом происходит быстрый заряд кон- денсатора С2 через резистор R4 и открытый р-м-переход тран- зистора VT1 с постоянной времени тз9 C2Ri. Одновременно кон- денсатор С1 разряжается через резистор R2 н канал открытого транзистора VT1. Постоянная времени разряда С/тр1л; » >> тз2 определяет длительность полупериода, который заканчивается в момент, когда потенциал на правой обкладке конденсатора С1 и затворе транзистора VT2 возрастает до уровня порогового на- пряжения 1/пор, и VT2 отпирается. Под действием положительной обратной связи мультивибратор переключается в другое квазп- устойчнвое состояние (VTi закрыт, VT2 открыт), в котором кон- денсатор С1 быстро заряжается (т31 & C^RJ, а С2 медленно разряжается (тр2 & CSR3). Длительности полупериодов 7\, Т2 можно определить по временным диаграммам (рнс. 8.17, б): 1п[2(/Н1П/((/и.п + |(/пор2 I)]; T2^C2R3 1п[2(/и.п/((/и.п + |(/пор)|)]. Для обеспечения работоспособности такого мультивибра- тора при выборе типа ПТУП и напряжения источника питания необходимо выполнить условие (7И п / | I/ |> 2,5. Генераторы импульсов на логических ИМС используют для получения импульсов малой длительности (вплоть до наносе- кундного диапазона). Такие генераторы обладают высокой на- грузочной способностью, обеспечиваемой обычно двухтактным усилителем мощности на выходе ИМС, поэтому даже на емкост- ной нагрузке формируются импульсы с достаточно короткими фронтами. ИМС ТТЛ-типа имеют относительно большой вход- ной ток логического «О», что накладывает ограничение сверху на сопротивления времязадающих цепей и определяет диапазон генерируемых частот (1О5...1О7 Гц). Для построения генераторов импульсов используют логические элементы И—НЕ и ИЛИ— НЕ. Одновибратор на основе ИМС типа И—НЕ (рис. 8.18,о) содержит одну времязадающую /?С-цепь. Для ИМС ТТЛ- 250
типа сопротивление резистора /? выбирают с учетом ограни- чения Я<^оРЧмЛ(Уи.п-^оХх1> (8.16) где (7пор— пороговое напряжение; /®х— входной ток ИМС прн t/BX = О, Если условие (8.16) выполняется, то на входе DD1 дей- ствует напряжение ^ = ^/в0ЯЖ.п + 4°х«)<%. соответствующее уровню логического «О». Поэтому на выходе DD1 в устойчивом состоянии имеем высокий уровень U2l. На входе ИМС DD2 высокий уровень U2l совпадает с обязатель- ным в исходном состоянии высоким уровнем (/} входного сигна- ла, и на выходе DD2 поддерживается уровень логического «О». Конденсатор С в устойчивом состоянии одновибратора практи- чески разряжен. Запускается одновибратор коротким отрицательным им- пульсом иг (либо положительным импульсом (7* при наличии дополнительного инвертора DD3). По отрицательному фронту входного сигнала в момент времени t, (рис. 8.18,6) переключает- ся выход DD2 в состояние логической «1». Приращение потен- циала Д(/22= t/J,2— U22^ Ul22 через конденсатор С передается иа вход ИМС DD1, иа выходе которой устанавливается низкий уровень U21~ 0. Таким образом, через 2/зд ср на второй вход DD2 поступает уровень U22, который поддерживает на выходе DD2 единичный уровень и по окончании входного им- пульса. Отсюда вытекает требование к длительности входного сигнала lu>tK » 2/)Д_р.ср. В квазиустойчивом состоянии одновибратора заряжается конденса гор С через выходную цепь DD2, находящегося в состо- янии «1», и резистор R. По мере заряда конденсатора зарядный ток iR и напряжение UR= iRR экспоненциально уменьшаются с постоянной времени т3 = С(/? + <х2), (8.17) где /?вых2— выходное сопротивление ИМС DD2 в состоянии «1» па выходе. В момент времени /2 напряжение UR= (7пор и выход DD1 переключается из «0» в «1». Положительное приращение потен- циала ДС/21> I/ обусловливает переключение DD2 и под действием положительной обратной связи одновибратор лави- нообразно переключается в состояние, являющееся устойчивым. Таким образом, схема генерирует импульс с учетом выражения (8.17) длительностью t^C(R + RBm2)\n(Ul22/Unopl). (8.18) По окончании формирования импульса начинается этап восстановления, связанный в разрядом конденсатора С через 251
выходное сопротивление DD2 и параллельно включенные ре- зистор R и прямосмещенный диод VD. Длительность восстанов- ления определяется постоянной времени цепи разряда tB ~ Зтр = ЗС (/?»ых2 + гд || R) « ЗС (<х2 + гд). Диод VD ускоряет восстановление одновибратора и за- щищает вход ИМС DD1 от недопустимо больших отрицательны» уровней. Если ИМС DD1 имеет защитные диоды на входах, включать VD не обязательно. Скол вершины положительного импульса на выходе DD2 обусловлен током заряда амплитудное значение которого за1 висит от сопротивления R (для ИМС серий 133, 155 R <1 < 910 Ом). Аналогично построен и функционирует мультивибратор G автоматическим запуском на основе ИМС И—НЕ (рис 8.19,а), содержащий две времязадающих цепи RI, С1 и R2, С2. Соб- ственно мультивибратор построен на ИМС DD1 и DD2, а эле- менты DD3 и DD4 предназначены для автоматического запуска генератора. В режиме стационарных колебаний на входах DD3 устанавливаются противофазные сигналы, поэтому на выходе DD3 поддерживается постоянный высокий уровень 1Л, а на выходе DD4 — низкий 0, и резистор R2 оказывается прак- тически «заземленным». В случае срыва колебаний на выходах DD1 и DD2 устанавливаются уровни t/21 и поэтому на вы- ходе DD3 имеем U°, а иа выходе DD4—U1, который через ре- зистор R2 поступает иа вход DD2 и выводит мультивибратор из равновесного состояния. Таким образом запускается схема. Это позволяет использовать схему для генерирования пачек импульсов управлением режимами генерации по одному из входов ИМС DD1 или DD2. Длительности полупериодов Tj, Т2 (рис. 8.19,6) мульти- вибратора определяются времязадающими цепями соответствен- но Rl, С1 и R2, С2: ^1(2) 1п (С/'2/£7ПОр1(2) )• а частота генерируемых колебаний f = (7У+ Для стабилизации режима работы в мультивибраторах можно использовать местную (охватывающую одну ИМС) или общую отрицательную обратную связь через резисторы время- задающих цепей. Необходимая для самовозбуждения генера- тора положительная обратная связь в таких схемах реализуется через конденсатор. Схема простейшего мультивибратора дан- 252
кого типа показана на рис. 8.20,a [16]. Отрицательной обратной связью через резистор/? охвачен инвертор DD1. Самовозбужде- ние обеспечивается емкостной связью, охватывающей два ин- вертора. Релаксационные процессы перезаряда конденсатора С через резистор R, которые включены последовательно между выходами DD1 и DD2, определяют длительности полупериодов Tlt Т2 частоту генерации f и скважность выходных импульсов Q. На временном интервале Тг (рис. 8.20, б) на входе элемента DD1 напряжение t/u > (/пор, поэтому на его выходе поддерживается низкий уровень U2i, а на выходе DD2—высокий уровень (У^ГТок перезаряда конденсатора С течет от источника питания по цепи «+^й.п* — —% — ^вых! «земля» и экспоненциально уменьшается с постоянной времени П = С [(Сх! II С2 + V II 4с1 + ^ых21 « С (*Lx2 + (8.19) Рис. 8.20 Прн этом напряжение на входе DD1 также экспоненциально падает от начального значения р (0) = 1/пор + - и°2) . = (Упор + Д(/л Тх, (8.20) ” + ^вых2 где Д(7Л = U22 — U®2, Vi =/?/(^? + ^?вЫХ2)> стремясь асимптоти- чески к уровню (оо) = t/°2 « 0. В момент, когда напряжение на входе DD1 достигает уровня порога (/пор, инвертор DDI переходит в усилительный режим, его выходное напряжение, нарас- тая, включает инвертор DD2 и далее под действием положительной обратной связи схема регенеративно переключается в другое ква- зиустойчивое состояние. На временном интервале Т2 напряжение на входе DDI Uu < < (7пор, поэтому на выходе DD1— высокий уровень U2l, а на выходе элемента DD2—низкий U22 at 0. Ток перезаряда конден- сатора С протекает в противоположном направлении от источника питания элемента DD2 по цепи «+^и.п» #вых1 ^^вых2 «земля» и создает на резисторе R перепад напряжения, достаточный для поддержания на входе элемента DD1 напряжения в области логи- ческого «0». По мере перезаряда ток через резистор R уменьшается экспоненциально с постоянной времени = С [(<х1 || Я*х2 + R) || Яв°х1 + R°BbK2] ^C(R\] R°BXl), (8.21) 253
поэтому напряжение на входе DD1 экспоненциально нарастает от уровня ^11 (0) = ^пор — (^22 — X R + ^'вых! II ^вх2 + ^вых2 П0Р где Д(/л — то же, что в формуле (8.20); #+СхП^вх2 Ъ K+^xlII^ + C,/ стремясь асимптотически к уровню (/ц(м) = Uw п. В момент сов- падения t/n (/) = t/nOp схема вновь переключается п все процессы повторяются. Длительность полупериода 7\ с учетом формул (8,19) и (8.20) определяется соотношением (/ft (оо) —1/+(0) xiniiu^+a^T.j/uj. (S.23) Аналогично можно определить длительность второго полу- периода = Ч In ,,---= С (R || /?°х1) X У11 (оо) —с/пор X In [(!/„.„ - Упор + Д(/л у2)/((/н.п - t/nop)J. (8.24) Для ИМС ТТЛ-типа на сопротивление резистора R накла- дывается ограничение сверху R < (Unop—^)/^вх' поэтому обычно для серий ИМС К.133, К155 оно не превышает 510 Ом. При R = = 390 Ом частота генерации приближенно определяется соотно- шением /кГц = 1,2/Смкф> Искажение вершин импульсов на вы- ходах У21, (/22 ТТЛ-схем обусловлено реактивной составляющей выходных токов перезаряда конденсатора через резистор R. При использовании ИМС КМДП-типа R=1O3,..1O5 Ом, поэтому вер- шина выходных импульсов не искажена и выражения (8.23), (8.24) существенно упрощаются T\~RC In [(С7И.П+ 1/п1)/1/п2]; Т2 = RC In [(2(/ип — ип2)/((/н-п — иnl)J, где (7п1—пороговое напряжение n-канальных транзисторов ИМС; Un2—напряжение запирания р-канальных транзисторов. Достоинства рассмотренного мультивибратора — простота схемы и стабильность частоты генерации: при изменении напря- жения питания ИМС ТТЛ-типа U„ п = 4,5...5,5 В частота из- меняется только на 2 %. Главный недостаток схемы — иска- жения вершин выходных импульсов. Для его устранения схему дополняют еще одним инвертором DD3 (рис. 8.21). При этом ре- зистор R отключают от выхода DD1 и подключают к выходу элемента DD3. Перезаряд конденсатора С в такой схеме проис- 254
ходит через резистор R и выходные цепи DD2, DD3. Поскольку элемент DD1 не нагружен емкостью, импульсы на его выходе обладают хорошей прямоугольностью. Принцип работы мультивибратора рис. 8.21,а аналогичен рассмотренному выше (рис. 8.20) Времязадающая ЛС-цепь опре- деляет экспоненциальные процессы перезаряда конденсатора с постоянными времени (рис. 8.21,6) П = С [/?'ых2 + (СхЗ + R) II <1 ~ С (^1вых2 + у?); (8.25) Ч = С [(/?‘ЫХ3 + К) II <1 + Сх2 II Сз) ~ «СК^выхз+^llCll- С®-26) Рис. 8.21 Для ИМС ТТЛ-типа длительности полупериодов генерируемых колебаний Ti = Ziln[((/nop+A(/BV1)/(/nopJ; Т2 = т21п [((/„>п - Ппор + Д(/л у2)/((/и.п - t/nop)], где тх, т2 — определяются соотношениями (8.25), (8.26); VI = (<1 II (R + Cx3)J/[*Lx2 + <1 II (R + <«з)1; Ъ = [<! II (R + <хз)]/[<.х2 II <хЗ + <Х1 II (R + <хз)Ь В схеме мультивибратора (рис. 8.21) управляющий вход U3ia используется для возбуждения (U зап — U или торможения (Пзап = 0) генерации. При R = 390 Ом частота генерации /кГц = = Оба мультивибратора с местной отрицательной обратной связью обладают слабой чувствительностью к изменениям на- пряжения питания. Генераторы импульсов на основе триггеров. Для построения одновибратора на основе /?5-триггера [861 необходимо включить времязадающую цепь между одним из выходов и соответству- ющим входом. Второй вход триггера используется для возбу- ждения схемы. В одновибраторе (рис. 8.22) в качестве времяза- дающей используется интегрирующая цепь между единичным выходом Q-триггера и /?-входом установки в состояние «0». По- этому устойчиво триггер может находиться только в состоянии *0', так как после установки в состояние «1» высокий потенциал обусловливает заряд конденсатора С через резистор R. 255
Через время tK напряжение на конденсаторе (Uc >• (/пор) сбра- сывает триггер в устойчивое состояние «О». Таким образом, длительность выходного импульса определяется процессом за- ряда конденсатора С от некоторого начального значения Uc (0) до порогового напряжения I/ с постоянной времени Т1 = СКЯ‘ЫХ + Я)||ЯВ°Х]. (8.27) Начальное напряжение на конденсаторе С для ИМС КМДП- типа (7С(0) = 0, а для ТТЛ-тина определяется выражением где R°BK, /?вых — входное и выходное сопротивления триггера, соответствующие уровню логического «0». Напряжение на конденсаторе нарастает асимптотически, стре- мясь к иа (°°) =-ГТ7Л—“73-----~ R + ^вых + Квх (8.29) Длительность выходного импульса tH = Tin [(Uc (оо) - (0))/((7с (оо) - 1/пор)] (8.30) определяется с учетом выражений (8.27)—(8.29). После сброса триггера в состояние «0» схема восстанавли- вается: конденсатор С разряжается с постоянной времени т2 (или происходит ускоренный разряд через подключенный па- раллельно резистору диод VD). Расчет схемы сводится к выбору сопротивления резистора R, на которое накладывается огра- ничение „ '^пор ^22) ^вх (^и.п ^пор^вых mln < R <-----Е---------й---ТГО----------------- vH,n пор и R° ~ по° ^пор (где Ra mIn — минимально допустимое сопротивление нагрузки триггера), и требуемой емкости конденсатора С. Регулировка '256
длительности импульса ta изменением сопротивления резистора R при использовании триггера на ТТЛ-типе малоэффективна, так как согласно (8.30) изменение т, за счет сопротивления R частично компенсируется изменением (/с(0). Такая нежелатель- ная компенсация отсутствует при использовании Д5-триггеров на КМДП, которые для схемы на рнс. 8.22 предпочтительнее. Основной недостаток схемы рис. 8.22 — невысокая ста- бильность длительности импульса /и при изменении температу- ры окружающей среды. Это связано с температурным дрейфом порогового напряжения (7пор. Температурную стабильность можно существенно улучшить, если времязадающую /?С-цепь включить между выходами триггера (рис. 8.23,а) [16]. Исполь- зуемый в такой схеме триггер должен допускать значительные Рис 8 23 отрицательные выбросы входного напряжения (рис. 8.23,6). Длительность выходного импульса /и определяется процессом перезаряда конденсатора С с постоянной времени ь = с[(Сх + ш/4 + ^x1 от начального уровня UR (0) = U°2l - (U- С7°,) У1 = и°21 - Д(7Л ?1, где V1 = [С II (Я + ^ых)1/[Сх + Яви II (Я + tfLx)]. до порогового напряжения Ump прн асимптотическом приближении напряжения UR к уровню U R («о) = U2l: In [2Д(/Л/(У‘1 - Упор)]. Более высокая стабильность одновибратора (рнс. 8.23) обусловлена большей крутизной экспоненты UR(t) в областя порогового напряжения. Скол вершин выходных импульсов 6(71, объясняется протеканием емкостной составляющей через выходные сопротивления /?вЫХ, /?лых и наблюдается пре- имущественно в генераторах иа ИМС ТТЛ-типа. Если схему одно- вибратора на рис. 8.22 дополнить второй времязадающей цепью между инверсным выходом и входом S, получим автоколеба- тельный мультивибратор (рис. 8.24,а) с независимой регули- 9 274 257
ровкой длительностей полупериодов и постоянными времени № » Ci (Я1 + ^Lx)’ т2 С2 + ^вых^' Длительности полуперио- дов приближенно определяются соотношениями Л ~ С, (Ri + /?’ых) In - U°21)/(U^ - 1/пор)]; Т, « С2 (/?2 + /?'ых) In [(t/>2- t/2°2)/(t/‘2-(/,iop)]. Рис. 8.24 Разряд конденсаторов Cl, С2 (рис. 8.24, б) протекает быстро через диоды VD1, VD2 с постоянными времени тр1 — (^вых + + <д) « т1, Тр2= Сг (#вых + бд) < Т1 й не влияет на частоту генерации f. Рис. 8.25 Мультивибратор (рис. 8.24) можно реализовать как на ТТЛ, так н на КМДП ИМС. Он обладает тем же недостатком, что и одновибратор с заземленным конденсатором (рис. 8.22): темпе- ратурная нестабильность частоты (длительности) генерируемых импульсов. Более высокой температурной стабильностью обла- дает мультивибратор на (?5-триггере с одним времязадающим конденсатором (рис. 8.25,0). При тех же длительностях импуль- сов амплитуда напряжения на входах приблизительно вдвое больше (рис. 8.25,6), поэтому дрейф порогового напряжения при колебаниях температуры окружающей среды меньше 258
влияет на частоту. Частота генерации мультивибратора опреде- ляется двумя процессами перезаряда конденсатора С. В каждом из них ток перезаряда протекает от выхода триггера, на котором установился высокий уровень U\, через резистор R1 (или R2), конденсатор С, диод VD2 (илн VD1) к выходу триггера, име- ющему низкий уровень U^. Эти процессы характеризуются по- стоянными времени соответственно Ь = С + R, + гд2 + Сх) * С (/?1ых + «х); (8.31) Ь = С (^ЫХ + ^ + гд1 + Сх) - С (У?'ых + /?2), (8.32) где Гд|, гд2— сопротивлении прямо смещенных диодов VD1, VD2 соответственно. Рис. 8.26 С учетом формул (8.31), (8.32) длительности выходных им- пульсов приближенно находят из соотношений Л = Т, In [(U\ - 2U° - U„ + Ппор)/(У12 - Упор)] « «C^lnK^+^p)/^-^)]; Г2 = т2 In [((/* - 2U° - Uo + Unop)/(U\ - 6/nop)] « «C^lnK^+^opWl-^nop)]. где Uo — падение напряжения на открытом диоде. Обе рассмотренные схемы мультивибраторов на основе RS- триггеров обладают мягким самовозбуждением, т. е. не требуют внешнего запуска, поэтому прн использовании синхронных /?5-триггеров на них можно просто построить генератор пачек импульсов, управляемых потенциалом на тактовом входе. Вы- бором сопротивлений резисторов Rl, R2 и/или конденсаторов С1, С2 можно обеспечить режим генерирования с требуемой частотой и скважностью Q = (Tj-f- T2) / Т\. Если мультивибратор должен обеспечить только заданную частоту генерации /г, а скважность импульсов не существенна, целесообразно воспользоваться схемой простейшего мультиви- братора на основе триггера Шмитта (рис. 8.26). Триггер Шмнтта входит в состав развитых серий ИМС, и, поскольку он имеет один вход и часто один (инверсный) выход, в одном корпусе со- держится до шести триггеров. Передаточная характеристика триггера Шмитта (рис. 8.26,о) имеет явно выраженный гистере- зисный характер. На ней выделяются два пороговых напря- 9* 259
жения £7п1, С7п2 переключения выходного напряжения соответ- ственно U\->U2 и U2->U\. Простейший мультивибратор на основе триггера Шмитта получается включением интегрирующего 7?С-звена между ин- вертирующим выходом и входом (рис, 8.26,6), В момент подклю- чения источника питания Ua п конденсатор С разряжен, Ut= О, иа инверсном выходе триггера устанавливается высокий уровень который обусловливает заряд конденсатора через резистор R с по- стоянной времени т, = С [(R Д- /?вЫХ) || Входное напряжение иг экспоненциально нарастает, стремясь асимптотически к уровню и\. В момент сравнения входного напряжения с Уп1 (рис. 8.26, а) выходное напряжение скачком переключается до низкого уровня U°2, что влечет за собой разряд конденсатора С через резистор R и выходное сопротивление /?® с постоянной времени т2 = С [(#+ + ^вых) I! #вх!' Напряжение экспоненциально падает, стремясь в пределе к Ue2. В момент, когда Uг сравнивается с Un2, триггер Рис. 8.27 переключается в новое состояние с высоким уровнем и\ на выходе, и начинается новый цикл заряда. Таким образом, мультивибратор самовозбуждается и генерирует прямоугольные импульсы, длитель- ность Т\ и паузы Т2 которых определяются соотношениями Л « -ч 1л \(U\ - Un2)KU\ - (8.33) Т2 « т2 In [(t/g - Пп1)/((/“ - (/„,)] « т2 In [£/п1 /С/п2]. (8.34) Выражения (8.33) и (8.34) позволяют рассчитать частоту генерации /г= 1/(7'1+7’2) мультивибратора и скважность Q выходных импульсов. Величина сопротивления R ограничена соотношением (8.16), поэтому требуемая частота генерации за- висит от емкости конденсатора С. Коррекцию скважности можно выполнить заменой резистора R нелинейным двухполюсником ,рис. 8.26,а, резисторы которого R', R" должны иметь сопротив- ления, удовлетворяющие условию (8.16). Стабильность частоты мультивибратора (рис. 8.26) невысока, поскольку разность пороговых напряжений Unl, t/n2 мала и температурный дрейф каждого из них существенно влияет на длительности 7\, Т2. Для улучшения стабильности частоты в схему включают дополнительный инвертор DD2 (рис. 8.27), 260
который приводит схему к конфигурации рис. 8.20. Длитель- ности полупериодов Т1Г Т2 в таком мультивибраторе на ИМС ТТЛ-типа определяются выражениями 7i~C[(filBblK + R)IIR°xl] X , ((7"- п - + *) + (2^21 - ^П2) С1 (^н. п — ^П1) + Я) + (^21 — ^П1) ^ВХ1 ~ С (/?“ых1 + R -|- /?вых2) In X (^И. п - ^П1) <,х) + /?) - Wn + t/nl) ^х, Х (^и.п-^Х^ + ^-^х! При использовании ИМС на основе КМДП, имеющих боль- шое входное сопротивление и допускающих выбор сопротив- ления радиатора R значительно большими, чем из условия (8.16), выражения (8.35), (8.36) упрощаются Тг ~ CR 1п [(2(/и. п - t/n2)/({/H. п - t/nl)]; (8.37) Т2 « CR 1п [((/„. п + f/nl)/I/n2J. (8.38) Скважность импульсов в схеме рис. 8.27 также можно кор- ректировать выбором резисторов R', R" нелинейного двухпо- люсника (см. рис. 8.26,г). В этом случае в выражении (8.37) R — R', а в выражении (8.38) R — R". Сколы высоких (ё(/?21, 6(/22) и низких уровней (6(/21, 6(/°2) выходных сигналов определяются величиной емкостной состав- ляющей выходных токов ИМС и наблюдаются в основном в муль- тивибраторах на ИМС ТТЛ-типа, в которых токи перезаряда конденсатора обусловлены относительно небольшими сопротив- лениями резистора R. Генераторы импульсов на основе операционных усилителей. Операционные усилители (ОУ) эффективно используют в гене- раторах импульсов, поскольку они обладают следующими свой- ствами: большой коэффициент усиления по напряжению (Kjj= = 103...105), что облегчает условие самовозбуждения; большой перепад выходного напряжения А£/2, уровни которого близки к напряжению источников питания; большое входное (RBX = = 103...107 Ом) и малое выходное (/?вых = Ю2 Ом) сопротив- ления; скорость изменения выходного напряжения 108 В/с. Вследствие этих свойств ОУ можно считать идеальным пере- ключающим элементом в импульсных и цифровых схемах для рабочих частот до 1 МГц. Для обеспечения регенеративного режима переключения ОУ охватывают положительной обратной связью (рис. 8.28,6) п-о напряжению, в результате чего передаточная характеристика (рис. 8.28,а) приобретает гистерезисный характер (рис. 8.28,в), аналогичный триггеру Шмитта. Схема на рис.8.28,б представляет собой триггер Шмитта на ОУ. Пороговые напряжения (7п1, (/п2 такого триггера определяются частью выходного напряже- ния, поступающего по цепи положительной обратной связи (Rl, R2) на неинвертирующий вход. Поскольку выходное на- пряжение ОУ в режиме триггера Шмитта может принимать 261
только два статических уровня, соответствующих уровням по- ложительного ип или отрицательного — UM ограничений, поро- говые напряжения определяются соотношениями ^ni = ^о1Т> ^п2~ Ц)2?> (8.39) где у = RiKRi + R2). Мультивибратор на основе триггера Шмитта на ОУ можно получить введением связи между выходом ОУ и его инверти- рующим входом через интегрирующую /?С-цепь (рис. 8.28,г). Принцип работы такого мультивибратора заключается в отсле- живании с задержкой напряжения на инвертирующем входе UJ2— Uc за напряжением на неинвертирующем входе Ullt кото- рое практически безынерционно повторяет с коэффициентом Рис. 8 28 пропорциональности у <; 1 выходное напряжение U2. На вре- менной диаграмме рис. 8.28,6 построены в едином масштабе зависимости U^l), U12(t), U2(tY На интервале Тг на выходе ОУ установился высокий уровень Uoi, на неинвертирующем входе — также практически постоянный потенциал (/п1 = у1/01, а на инвертирующем — напряжение экспоненциально стремится к (/12 (оо) = Uel по мере заряда конденсатора С с постоянной времени Ь = С [(/? + /?+„) || R~ ] « CR, (8.40) где — выходное сопротивление ОУ в режиме положительного ограничения; R^ — входное сопротивление ОУ при Ul2 < (/п. Перезаряд конденсатора обусловливает уменьшение диффе- ренциального входного напряжения (7Д = (/п — (/12, и в момент достижения (/д~ О ОУ переходит в активный режим (область 1). Под действием положительной обратной связи триггер Шмитта переключается в состояние с низким уровнем — U02 выходного напряжения. За время опрокидывания напряжение на конденсаторе не успевает существенно измениться, поэтому отрицательная обратная связь (RC) на процессы переключения влияния не оказывает. На неиивертирующем входе устанавли- вается напряжение Un2 — — у(/02. 262
На интервале Т2 напряжение на конденсаторе С стремится . к (оо) = — б/02 с постоянной времени т2 = С [(R + /?- х) || /?+] « CR, (8.41) где R^bn — выходное сопротивление в режиме отрицательного огра- ничения; — входное сопротивление ОУ при U12 > l/llt Интервал Г2 завершается в момент совпадения U12= t/n, и последующего регенеративного переключения ОУ в состояние положительного ограничения. Длительности интервалов 7\, Т3 определяются по экспоненциальным функциям перезаряда кон- денсатора в диапазоне напряжений между (7п1 и Un2. Л = тх In [(t/01 - Un2)/(Un - 1/п1)]; (8.42) Т’а = Т2 In [(Unl)/(Ц)2 + (8.43) Если R+ , /?“ » R, R+ х, /?-х « R и Un « (/02, то выра- жения (8.42) и (8.43) упрощаются и с учетом формул (8.39) — (8.41) имеем Л = Тг = RC In [(1 + Y)/(l - v)J = RC In [(/?! + 2RJ/RJ, (8.44) т. e. мультивибратор генерирует прямоугольные импульсы со скважностью Q ~ 2. Независимая установка длительностей Tlt Тг и соответствующей скважности реализуется при замене резистора R нелинейным двухполюсником (см. рис. 8.26,а). Скол вершины б(/01 и впадины 6(/02 выходных импульсов обусловлен емкостной составляющей тока нагрузки ОУ и опре- деляется соотношением сопротивления R и выходного сопро- тивления ОУ в режиме положительного (/?^х) и отрицательного (/?“х) ограниченья St/ol = (^0! + ^02) (8-45) = (Ци + /?Гых/(« + ЯГых)- (8.46) Из выражений (8.40), (8.41) и (8.45), (8.46) следует, что ста- бильность длительностей Т\, Тг и частоты генерации /г тем выше, а искажения в(/01, 6(/02 тем меньше, чем сильнее неравенства R+, R » «tx. ^№.X’ Мутьтивибратор на рис. 8.28 легко преобразовать в одно- вибратор подключением диода VDJ параллельно конденсатору С (рис. 8.29). Отпирание диода VD1 предотвращает дальнейший перезаряд конденсатора и фиксирует схему в устойчивом со- стоянии. Таким образом, в устойчивом состоянии одновибратора диод VD1 открыт через резистор R выходным напряжением соответствующей полярности. Для схемы, показанной на рис. 8.29,а, устойчивым является состояние, когда ОУ нахо- дится в режиме отрицательного ограничения. Такой одновибра- тор генерирует импульс положительной полярности. Если изме- нить полярность включения диода VD1, то он открывается и фик- сирует состояние одновибратора в режиме положительного ограничения ОУ. Соответственно генерируемый импульс имеет отрицательную полярность. ., 263
Запускается одновибратор по положительному фронту вход- ного сигнала £/ь который дифференцируется цепочкой Cl, R3. Входной диод VD2 заперт в исходном состоянии смещением — (/см для предотвращения запуска одновибратора от помех. Входной сигнал переключает ОУ в режим положительного огра- ничения, и далее формируется импульс длительностью tn, анало- гично интервалу Т\ мультивибратора. В момент t2 (рис. 8.29,6) одновибратор переключается в исходное состояние. На этапе восстановления /в конденсатор С разряжается до момента t3, когда отпирается диод VD1. Длительность выходного импульса tg и время восстановления определяются соотношениями /и = Tj In [(UM — U0)/(U01 — yt/0I)J =s RC In [1 — y]*1; tB = t2 In [(U02 + yU^U,, + С7Д)1 « RC In [ 1 + y], Рис. 8.29 где Tlt т2— постоянные времени, определяемые соответственно из выражений (8.40), (8.41); у = /?i/(/?i + Rt); Uo—падение напряжения на открытом диоде VD1. Если необходимо уменьшить время восстановления, ре- зистор R шунтируют цепочкой из диода VD3 и резистора R4 (рис. 8.29,о). Описанные схемы мультивибратора и одновибратора на ОУ позволяют строить схемы с хорошей повторяемостью парамет- ров, высокой нагрузочной способностью и надежностью. Для обеспечения стабильности частоты и длительности импульсов коэффициент у н пороговые напряжения t/ р Un2 желательно увеличивать. Однако, поскольку в момент переключения со- стояний дифференциальное входное напряжение ОУ достигает максимума U max = Unl -f- | Un2 |, увеличение у ограничено предельно допустимыми режимами ОУ. При ОУ, критичных к величине дифференциального входного напряжения, приме- няют схему мультивибратора с емкостной положительной и ре- зистивной отрицательной обратными связями (рис. 8.30,а). Для регенеративного режима переключения мультивибратора положительная обратная связь yt должна быть глубже, чем отрицательная у2 Yi = №1 + Ла) > Та = Лэ/(Л3 + Л4). (8.47) 264
В момент переключения на выходе ОУ формируется пере- пад напряжения &U2~ U01 + U(,2, часть которого передается на инвертирующий = y2&U2 и неинвертирующий Д(/12= = уг&и2 входы, причем Д£/ц> Дб/12. Разность Un— Ui2 поддерживает ОУ в режиме ограничения. Но вследствие экспо- ненциального уменьшения тока перезаряда конденсатора С напряжение на неиивертирующем входе уменьшается, стремясь асимптотически к (/ц(оо) = 0. При совпадении напряжения 0^(1} с напряжением на инвертирующем входе U12= У2У2 ОУ переходит в активный режим, происходит переключение муль- тивибратора в другое квазиустойчивое состояние, за которым следует новый цикл перезаряда конденсатора. Постоянные вре- мени перезаряда конденсатора С на интервалах 7\, Т2 Т1 = С (R+x + R2 + R, || r+) bs C (R1-\- R2); (8.48) = C (R~x + R2 + R. || /?“) « C (R, + R2). (8.49) Длительности интервалов Tlt T2 выходного сигнала с уче- том формул (8.48) и (8.49) ?! = Tj In [(—y2U02 + ft (Uol + Уо2))/(Т1^о1)]; (8.50) ^2= Т21п К + Vi (Uoi + UQ2))/(y1U02)].. (8.51) Для симметричной передаточной характеристики ОУ U01= ~ U02 и выражения (8.50), (8.51) упрощаются Тг = Т2 « С (Ri + R2) In 1(2V1 — y2)/Vij. Рис. 8.30 В таком мультивибраторе скважность выходных импульсов Q = 2. Для получения другой заданной скважности Q ф 2 один из резисторов R1 — R4 необходимо заменить двухполюсником (см. рис. 8.26,г), соблюдая условие (8.47). Основные достоинства мультивибратора (рис. 8.30) —вы- сокая стабильность частоты и небольшое пиковое напряжение (7дтах между входами ОУ, что расширяет диапазон типономина- лов ОУ, применимых в данной схеме. Аналогичный одновибратор можно получить размыканием отрицательной обратной связи и подачей на инвертирующий вход постоянного смещения UZ№ (рис. 8.31 ,а). В зависимости от знака напряжения UCM ОУ находится в состоянии положитель- ного (Дсм< 0) или отрицательного (Дсм> 0) ограничения. При Подаче на вход Ul запускающего импульса одновибратор 265
генерирует соответственно отрицательный либо положительный импульс. На рис. 8.31, б показаны временные диаграммы для С/см> 0. Коэффициент передачи у цепи положительной обрат- ной связи и напряжение смещения UCM должны удовлетворять условию переключения одновибратора в квазиустойчивое со- стояние Uсм < V (^01 + В квазиустойчивом состоянии формируется вершина вы- ходного импульса. В течение времени /и конденсатор С перезаря- жается с постоянной времени Tj (см. формулу (8.48)). Формиро- Рис. 8.31 ванне импульса ta заканчивается в момент сравнения напряжений на входах ОУ 1/ц(0 = Усм. Длительность выходного импульса Т„ = ь 1П [у <с/о1 + t/02)/i/CMl « С № + «2) In [у (У01 + fU/VcJ. На этапе восстановления конденсатор С заряжается до исходного значения за время /в « Зт2, причем т2 можно вычислить по формуле (8.49) либо в случае ускоренного восстановления через диод по формуле т' = С (Rt + Гд + /?7ЫХ) « CRt. Достоинства одновибратора (рис. 8.31) — малое пнковое напряжение между входами ОУ и простота перестройки одно- вибратора иа режим генерирования импульсов другой полярно- сти. Для этого достаточно воспользоваться ручной или элек- тронной регулировкой напряжения смещения UQM. Ускоряющий диод VD при такой перестройке неприемлем, так как при пере- мене полярности выходного сигнала необходимо изменять его полярность. Для повышения стабильности частоты можно заменить релаксационные процессы, протекающие во времязадающих цепях по экспоненциальному закону, линейными. Линейную релаксацию можно реализовать с помощью интегратора, управ- ляемого постоянными уровнями. Схема мультивибратора (рис. 8.32,о) представляет собой последовательное соединение в замкнутом контуре инвертирующего интегратора на ОУ DA1 и неинвертирующего триггера Шмитта на ОУ DA2. Если на вы- 266
ходе триггера Шмитта включить параметрический стабилизатор (резистор R3 и двухсторонний стабилитрон VD), то уровни вы- ходного напряжения U2z определяются напряжениями стаби- лизации стабилитрона (/+, U~ и не зависят от разброса и/или дрейфа параметров ОУ и нестабильности питающих DA2 на- пряжений. Параметрический стабилизатор улучшает форму выходного импульса и в пределах динамического диапазона токов стабилизации исключает влияние нагрузки на выходное напряжение и частоту генерации fr. Передаточная характеристика неинвертирующего триггера Шмитта с параметрическим стабилизатором изображена на рис. 8.32,6. Пороговые напряжения переключения триггера определяются сопротивлениями резисторов R1 и R2 положитель- ной обратной связи = U^/Ri = Ш--, ип2 = kU+ (8.52) где k — R2/Rr Выходные напряжения триггера Шмитта = б'Д, (/“2 = == — управляют работой интегратора, напряжение на выходе которого изменяется по линейному закону в пределах (7П1 0ц ^—ип2 <РИС- 8-32> в) 0? = п21 («) - uMt/RC. На интервале 7\ U.л (0) = — Uп2; Ui2 — — U~ и в конце ин- тервала напряжение на выходе интегратора (7\) = (7п1: ^ = -^2 + ^1/^. 267
откуда длительность интервала Тг с учетом формулы (8.52): Л = kRc (U+ + U~)/U- (8.53) Аналогично определим длительность интервала r2 = fe/?c ((/+ + (/")/(/+ (8.54) треугольный сигнал с той же В частном случае при использовании симметричного стабилит- рона U+ = U~ = UCT, и при Ri = R2 получаем Пп1 = Un2 = UCT, т е. мультивибратор на выходе (У22 формирует напряжение типа меандр (Q = 2) с амплитудой Ui2m = ^ст и длительностями импуль- сов Тг= = 2RC. Одновременно на выходе П21 формируется амплитудой t/2Ifn= i/CT, что суще- Рис. 8.33 ственно расширяет область применения схемы. Если необходимо получить выходные импульсы с произвольной скважностью, резис- тор R интегратора необходимо заменить нелинейным двухполюсни- ком (рис. 8.32, г). Тогда в выражении (8.53) R = R', а в выраже- нии (8.54) R = R". При выборе ОУ для мультивибратора (рис. 8.32) следует руководствоваться следующими соображениями: DA1 должен обладать возможно большим Ку и минимальным входным Током; DA2 должен иметь максимальную скорость нарастания выходного сигнала и допускать пиковое входное напряжение £/Х1 = dz (t/+ -|- 4" Уст)М1 4~ k). Формирователи и генераторы линейно изменяющегося на- пряжения (ЛИН). К ним относят импульсные устройства, пре- образующие входной импульс прямоугольной формы в выходной импульс линейно нарастающего или линейно падающего напря- жения той же длительности. В формирователях обычно ие ис- пользуются положительные обратные связи и режим самовозбу- ждения, тогда как в генераторах ЛИН (ждущих или автоколеба- тельных) временные параметры выходных сигналов зависят только от параметров схемы и не зависят от входного сигнала. Часто генераторы ЛИН строят иа конденсаторе, через ко- торый протекает постоянный ток /9 в течение заданного интер- вала времени (я. Схема такого формирователя содержит ключ К (рис. 8.33) для разряда конденсатора и восстановления началь- ных условий формирователя. Управляющий сигнал Пх в момент 268
4 размыкает ключ, и ток /0 протекает через Конденсатор С, на котором формируется выходной сигнал t/2 (t) = Uc(tt) + £- У icdt as Ijf/C, t где при Uc (t^ = 0 и ic (/) = /0 = const имеем U2 (/) = fot/C, t. e. выходное напряжение нарастает по линейному закону. В момент 12 ключ вновь замыкается, и выходное напряжение от максимального U2m = 2 (*г) = скачком падает до 1’г = 0. В качестве ключа можно использовать один из вариантов транзисторного электронного ключа, рассмотренных в гл. 4, а в качестве источника тока 1 с— транзисторный генератор ста- бильного тока (ГС1). На рис. 8.34 показана схема формирова- ние. 8 34 теля на биполярных транзисторах. В качестве ключа исполь- зуется транзистор VT2, а ГСТ выполнен на транзисторе VT1. В исходном состоянии транзистор VT2 насыщен током базы, протекающим через резистор R3. Транзистор VT1 подключен к параметрическому стабилизатору на стабилитроне VD и бал- ластном резисторе R2. Благодаря стабилитрону с напряжением стабилизации UCT разность потенциалов U 6 э( -ф URl = (/ст = const и практически не зависит от колебаний напряжения питания п. В коллекторной цепи транзистора VT1 протекает постоян- ный ток /0 = = «1 (^ст ^б. эг)/~ где «j— коэффициент передачи по току транзистора VT1. Ток /0 в исходном состоянии должен замыкаться через на- сыщенный транзистор VT2. Для насыщения транзистора VT2 в его базе должен протекать ток 'б2 = О'». П - ^б. э2)/*з = $Л/₽2 min. (8.55) где S2 — коэффициент насыщения транзистора 1/72; Р2 т|П — мини- мальный допустимый коэффициент усиления транзистора VT2 по току. Напряжение коллектор — эмиттер насыщенного транзистора 1/72 определяет начальное напряжение («пьедестал») выходного сигнала ^2(0) = ^К1 н- В момент на базу транзистора I/72 поступает 269 ‘
запирающий импульс, и в момент 1г транзистор переходит в режим отсечки. Время выключения ZBblK = t2— tr тем меньше, чем больше амплитуда входного импульса U2m. Ток /0 в момент переклю- чается в цепь конденсатора и на нем формируется ЛИН £/2(/) = = U в-]~1а1/С до амплитудного значения ^2ГП = U к, н + 7в7я/Сяг На интервале t2 — ts транзистор VT2 должен быть надежно заперт, для чего необходимо выполнить условие твх = /?3С1> > tH max. Время /и тах определяет максимальную длительность ЛИН, ограниченную переходом транзистора VT1 в режим насы- щения ^игаая = ^2тая^/^0 ~ (^и. п В момент транзистор VT2 отпирается и конденсатор С2 разряжается через него. Длительность разряда конденсатора С2 составляет время восстановления схемы /в, которое зависит от коэффициента насыщения $2 транзистора VT2: . CUk- я /(|Ги 1оТ”___________________Т” В ~ W2 » “ 4 ~ ^2 гЫп - Л ~ $2 ~ ’ (8,56) Из соотношения (8.56) для требуемого времени восстановле- ния находят коэффициент насыщения S2 и затем по формуле (8.55) — сопротивление резистора R3. Качество формирователя ЛИН определяется линейностью выходного сигнала U2(t) во времени, В рассмотренной схеме нелинейность выходного сигнала зависит от нестабильности тока /0 при изменении напряжения коллектор — база транзистора VT1 и ответвления части тока /0 в нагрузку. Зависимость тока /0 от напряжения (/кб весьма слаба, поэтому коэффициент нелинейности (%) । <ш, mu im„ -1 du, и/л |m,„ при Ra = oo не превышает 1 %. Недостаток формирователя (рис. 8.34) — низкая нагрузоч- ная способность, обусловленная ростом v с уменьшением сопро- тивления нагрузки RB. Практически это означает, что нагрузку к формирователю можно подключить только через буферный кас- кад (эмиттерный или потоковый повторитель). Некоторые схемы формирователей ЛИН включают в себя в качестве выходных каскадов эмиттерные повторители, имеющие большое входное и малое выходное сопротивления. В схеме формирователя ЛИН на рис. 8.35,а эмиттерный повторитель на транзисторе VT2 передает на нагрузку напряже- ние с конденсатора С. Линейность нарастания напряжения на конденсаторе С обеспечивается параллельной положительной обратной связью по напряжению через конденсатор С2. Тран- зистор VT1 выполняет роль разрядного ключа. В исходном состоянии транзистор VT1 насыщен с коэффициентом насыщения Si током базы 716) при условии ^61 == (Ц|, п! ~ Уд ~^к, Н1)/(«Р1 min)» 270
где t/K. И1 — напряжение иа коллекторе транзистора VT1 в режиме насыщения; Р] min — минимально допустимый коэффициент усиления по току транзистора ИТ/. Через резистор R и открытый транзистор VT1 протекает ток 4 = (^и. п2 — £/д — {/к.и1)//?. Начальное напряжение на конден- саторе Uc (0) = UK н1 « 0 через эмиттерный повторитель переда- ется иа выход формирователя со смещением потенциала на вели- чину (/б_ э2. Транзистор VT2 находится в активном режиме благодаря источнику эмиттерного смещения Un п9. Конденсатор С2 заряжен до напряжения UC2 (0) = U„ п1 - U* - U6. & « Uв> п1. Входной импульс отрицательной полярности через конден- сатор С1 поступает иа базу VT1, через время /вык заряд неоснов- ных носителей в его базе рекомбинирует и транзистор переходит в режим отсечки. Ток /0 переключается в цепь конденсатора С И начинается его заряд. Положительное приращение напряжения на конденсаторе С через эмиттерный повторитель и конденсатор С2 практически без потерь передается в точку соединения рези- стора R и диода VD (точка Л). Благодаря такой обратной связи диод VD запирается, на резисторе R за счет энергии конденса- тора С2 поддерживается постоянная разность потенциалов UK « Uu П1 и, следовательно, iK = /0 — const. Поэтому на кон- денсаторе € формируется ЛИН, которое повторяется на выходе формирователя и, (/) = Uc (t) - U6. э2 = t/K< „ -1/6. э2 + /о//с. (8.57) Напряжение в точке А повторяет функцию времени (8.57) со смещением на UC2(ty « (Уи п1 (рис. 8.35,6). Емкость конден- сатора С2 должна быть достаточно большой, чтобы за время формирования ЛИН ее разрядом можно было пренебречь. На- пряжение на конденсаторе С может нарастать до отпирания кол- лекторного перехода транзистора VT2, т. е. не более чем до + (/и, п1. Следовательно, длительность ЛИН определяется входным сигналом, но как это видно из формулы (8.57), не превышает и max = ^и. щС/Л)’ так как в противном случае ЛИН искажается за счет ограничения. 271
По заднему фронту входного сигнала транзистор VT1 пере- ключается из режима отсечки в активный и конденсатор С раз- ряжается через него за время fB= Ти/(5г—1). Достоинствами формирователя на рис. 8.35 являются хо- рошая нагрузочная способность и максимальное использование напряжения коллекторного питания Ц, п1. К недостаткам сле- дует отнести несколько худшую линейность выходного сигнала, чем в схеме на рис. 8.34, и необходимость конденсатора (С2) боль- шой емкости, затрудняющей задачу микроминиатюризации. С точки зрения микроминиатюризации и коэффициента не- линейных искажений v выгодно отличаются формирователи ЛИН на основе ОУ. Благодаря большому коэффициенту усиле- ния напряжения ОУ, охваченные емкостной отрицательной обратной связью (рис. 8.36,а), представляют собой близкие Рис. 8.36 к идеальным интеграторы. Поэтому при подаче на вход интегра- тора постоянного уровня напряжения и1г на его выходе формиру- ется ЛИН t/2(/)=V2(0)-WI2/, (8.58) где t/2(0) — начальное выходное напряжение; k = 1/(RC). Режимом работы формирователя управляет ключ на МДП- транзисторе VT. В исходном состоянии транзистор открыт (на- ходится в триодном режиме) напряжением > 1/пор и сопро- тивление канала Rt закорачивает конденсатор С. На выходе ОУ поддерживается постоянный уровень У2 (0) = - U„R(/R = Kfi Utt. (8.59) Обычно сопротивление резистора R и канала R/ устанавливают так, чтобы > R( и иг (0) = 0. Если управляющий сигнал в мо- мент tx (рис. 8.36, б) переключается до уровня < t/nop, тран- зистор VT запирается, и начинается процесс интегрирования, кото- рый с учетом формулы (8.59) описывается выражением (8.58). ЛИН формируется с малыми искажениями (v <0,1 % при Ку>I04) в пределах динамического диапазона выходного напряжения ОУ. За время входного импульса к моменту /2 ЛИН достигает ампли- тудного значения Uim = — £/la (R(/R -f- kfa), которое должно удов- летворять условию > Uim> — Un, где t/01, Uw — уровни ограничения выходного напряжения ОУ. 272
В момент !2 транзистор VT отпирается, конденсатор С разря- жается через него, и схема переключается в режим масштабного усилителя с | Ку с | < 1. Время восстановления начальных усло- вии интегратора приближенно можно определить как tB ~ 3RtC- Изменять крутизну ЛИН можно потенциометром Rf, сопро- тивление которого обычно Rt R, или внешним источником на- пряжения 1/12. Достоинства формирователя ЛИН на ОУ — простота схемы; хорошая нагрузочная способность; высокая линейность вы- ходного сигнала; простота перестройки крутизны ЛИН, которая легко выполняется подачей напряжения от других функци- ональных узлов; большой динамический диапазон выходных сигналов; малое потребление энергии. К недостатку следует отнести большое время восстановления fB, зависящее от U2m и свойств разрядного транзистора VT (или другого ключевого элемента) Генераторы ЛИН строят по регенеративной схеме с исполь- зованием положительной обратной связи, например, схема на рис 8.32, либо на основе сочетания одновибратора или мульти- вибратора и управляемого им формирователя ЛИН. Второй способ позволяет раздельно регулировать временные парамет- ры ЛИН в задающем генераторе и крутизну ЛИН в формирова- теле. 8.3. КОМПОНЕНТЫ ОТОБРАЖЕНИЯ ЦИФРОВОЙ ИНФОРМАЦИИ Отображение входной и выходной информации цифровых устройств в значительной мере определяет их эргономические параметры и влияет на производительность оператора. Все устройства отображения информации используются в основном для индикации, визуализации и документирования. К послед- ним относятся алфавитно-цифровые печатающие устройства, графопостроители и другие, относящиеся к периферийному оборудованию ЭВМ. Устройства индикации обеспечивают опе- ративное отображение информации. Их строят на основе различ- ных оптических приборов, электронно-лучевых трубок (ЭЛТ), ламп накаливания, светодиодов, газоразрядных [55], электро- люминесцентных (ЭЛИ) [69], жидкокристаллических индика- торов (ЖКИ) [321 В малогабаритных цифровых устройствах индикацию алфа- витно-цифровой информации чаще всего выполняют иа ЖКИ и светодиодах Основное достоинство ЖКИ — низкое энерго- потребление, что обусловливает их широкое использование в цифровых приборах с автономным питанием. Недостатки ЖКИ — малый срок службы, узкий температурный диапазон, низкое быстродействие — ограничивают область применения. Светодиоды имеют следующие достоинства’ низкое напряжение питания, хорошую контрастность изображения, использование разных цветов, большой угол наблюдения и срок службы, вы- сокое быстродействие, возможность интеграции с управляющими и дешифрирующими ИМС. Главный недостаток светодиодов — относительно большой потребляемый ток, который зависит от площади оптического элемента и достигает 3...30 мА. Уменьше- ние среднего тока потребления достигается импульсным пита- нием светодиодов. 273
По технологии производства светодиоды можно разделить на две группы: гибридные, получаемые нанесением полупровод- ника на изоляционную подложку, и монолитные, получаемые из монокристалла полупроводника. Более распространены гибрид- ные светодиоды, которые при меньших затратах полупроводни- кового материала позволяют получить в несколько раз больший Рис. 8.37 Знак по размерам и дешевле монолитных. Срок службы свето- диодов и микросхем соизмеримы (10е ч). Снижение яркости све- чения на 50 % наступает через 2,5 • 106 ч работы при темпера- туре среды 25 °C. С ростом температуры срок службы снижается (до 3,2 • 104 ч при температуре 50 °C). Высота цифр применяемых светодиодных индикаторов 3...21 мм. Наиболее распространен- в Рис. 8.38 ный цвет красный. Диапазон рабочих температур для светодиодов на основе GaP и GaAsP от —55 до +100 °C. На рис. 8.37 показаны способы формирования светодиодами знаков с помощью 7-сегментного (а), 14-сегментного (б) и моза- ичного (а) разложения. Питающее напряжение светодиода опре- деляется материалом полупроводника и требуемой яркостью свечения. Для обеспечения яркости 300 кд/м2 к светодиоду из GaAsP необходимо приложить напряжение около 1,8 В, а к све- тодиоду из GaP — 2,2 В. Потребляемая мощность на индикацию знака в зависимости от его размеров 60...200 мВт. 274
На’рис. 8.38 изображена схема управления светодиодным 7-сегментным индикатором с десятичной точкой (ДТ). Свето- диодный индикатор рис. 8.38, а, содержащий восемь светодиодов, управляется преобразователем кода 8421 в 7-сегментный код (см. рис. 5.4) с транзисторными ключами на выходе (рис.8.38,б). Ток коллектора /к н насыщенного транзистора VTit определя- ющий яркость свечения светодиода, устанавливается ограничи- вающим резистором Ri— ((/ип — 1/д— (/к<и) / /кн. В схеме рис. 8.38,6 светодиодные индика горы выполнены с объединением эмиттеров светодиодов. Единичные выходные уровни преобра- зователя кода (см. табл. 5.3) открывают соответствующие тран- зисторы, и возбужденная группа светодиодов образует требуемый символ. Если в индикаторе объединены базы светодиодов (рис. 8.38,в), то для управления транзисторными ключами вы- ходные уровни преобразователя (см. табл. 5.3) должны быть проинвертированы. Иначе может быть синтезирован другой преобразователь кода, состояния выходов которого инверсны ио отношению к табл. 5.3. Сопротивления резисторов R2 выби- рают аналогично по требуемому току диода /д. /?2 = (Ua п — Яркость светодиодного индикатора можно регулировать стробированием преобразователей кодов импульсами, запира- ющими (рис. 8.38,6) или отпирающими (рис. 8.38,в) все транзи- сторные ключи. На время строб-Ht (ульса все индикаторы вы- ключаются. Изменение скважности стробирующих импульсов вызывает изменение средней яркости символов. Многоразрядные индикаторы, построенные по схеме на рис. 8.38, имеют повышенные затраты оборудования, которые возрастают пропорционально разрядности N индицируемого числа. Затраты оборудования можно сократить, если вместо одновременной (параллельной) индикации всех N разрядов пере- йти к раздельной (последовательной) индикации. В этом случае можно использовать только одни преобразователь кода 8421 в 7-сегментный код, управляющий параллельно всеми индика- торами. Последовательность индикации обеспечивается пооче- редным подключением питания к светодиодным индикаторам (рис. 8.39) через транзисторные ключи VTit управляемые де- шифратором DD1, номера индицируемого разряда. Номер вклю- чаемого индикатора задается на дешифратор DD1 кодом адреса, который одновременно управляет мультиплексорами DD3...DD6, связанными с соответствующими разрядами двоично-десятич- ного кода. На мультиплексор DD3 подаются старшие разряды всех N отображаемых двоичных тетрад, а на мультиплексор DD6 — младшие разряды этих тетрад. Код адреса изменяется циклически с помощью, например, пересчетного устройства с основанием счета N. Частоту повторения циклов выбирают так, чтобы за счет интегрирующих свойств человеческого глаза созда- валось впечатление немерцающего изображения. Для этого ча- стота циклов /д, должна быть не менее 25 Гц. Учитывая, что средняя яркость свечении пропорциональна току через свето- диод (устанавливается сопротивлениями резисторов R1) и об- ратно пропорциональна скважности Q = N импульсов через светодиоды, для обеспечения средней яркости свечения Вср со- противления резисторов R1 выбирают из условия Ъ W*. п - М°ср)- ‘ (8.60) 275
где Ка — коэффициент, устанавливающий связь между яркостью свечения и током светодиода, кд/(м2-А); (/сд — падение напряже- ния на светодиоде. Из формулы (8.60) следует, что с увеличением разрядности последовательного светодиодного индикатора необхо- димо уменьшить сопротивления резистора R1. Рассмотренный метод индикации подразумевает заранее определенный набор отображаемых символов, который задается преобразователем кода DD2. Замена или расширение набора символов требует переделки устройства Для оперативной смены Рис. 8.39 используемого для индикации алфавита удобнее воспользовать- ся растровым способом формирования символов на основе свето- диодной матрицы [69]. На рис. 8.40,а приведен пример точечного разложения символа «А», реализующая его светодиодная матрица (рис. 8.40,6) и таблица построчного кодирования символа «А». Возбуждение светодиодной матрицы производится построчно от до ¥, с помощью дешифратора двоичного номера (строки а2ага,, согласно таблице на рис. 8.40,в). Одновременно для каж- дой строки Yi формируется соответствующий код Х.А...ХЬ возбу- ждения светодиодов. Двоичные наборы Хг...Хъ считываются из ПЗУ по адресу, который определяется кодом отображаемого символа и кодом строки матричного разложения. Таким обра- зом, для отображения алфавита из 64 символов с матричным разложением 7X5 элементов необходимо сформировать 9-раз- 276
рядный адрес для считывания из ПЗУ 4-разрядных двоичных кодов. На рис. 8.41 изображена схема матричною светодиод- ного индикатора. Тактовые импульсы (ТИ) поступают на 3-раз- рядный двоичный счетчик DD1, его выходные сигналы опреде- □ □ □□□ □□□ □□□ □□□ □□□ а Нод строки Qg Of Of Стро- ка Столбец 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 f 1 1 Гг Г. Yf Ys Y, 0 ппт 0 !_ L 000 0 0 0 f 1 ппт J !_ i E HI 0 00 0 0 0 t r II Рис. 8.41 ляют код строки и поступают на дешифратор DD2, а как часть кода адреса — на ПЗУ DD3. Один из транзисторов VT1... VT7, на базу которого через резистор R2 с дешифратора поступает уровень логической «1», открывается и подключает эмиттеры светодиодов (строки) к источнику питания + Ua п. При этом излучают свет диоды столбцов, на которые через резисторы R1 из ПЗУ подаются нулевые уровни. Если Х(= 1, то i-й столбец 277
погашен. Полный цикл работы счетчика DD1 определяет время индикации одного символа. Многоразрядный матричный инди- катор позволяет обойтись общими для всех разрядов компонен- тами выбора индицируемой строки Yt(DDl, DD2, VT1...VT7) и общим ПЗУ DD3. Выходы ПЗУ к N матричным индикаторам подключаются через пять демультиплексоров (по количеству столбцов в знаке) с N выходами, М адресными входами, где М > log2A', которыми управляет дополнительный Л4-разрядиый двоичный счетчик, включаемый последовательно со счетчиком DDJ. Выходы каждого демультиплексора соединяют с одно- именными столбцами матричных индикаторов через резисторы R1, определяющие среднюю яркость свечения Вср ^ = ^^и.п-усд)/(^^ср), где L — количество строк матричного разложения знака; kN— коэффициент преобразования тока светодиода в яркость свече- ния W-разрядного индикатора. Глава 9 АНАЛОГО-ЦИФРОВЫЕ ПРЕОБРАЗОВАТЕЛИ 9.1. ДИСКРЕТИЗАЦИЯ НЕПРЕРЫВНЫХ СИГНАЛОВ Дискретизацией сигнала называется измерительное преобразование непрерывного сигнала х (/) в последовательность мгновенных значений этого сигнала (ЛТ^), соответствующих определенным моментам времени kTa (58]: k=l tx*t П* 27ц 37ц 57ц 67ц t Рис. 9.1 где fe==l, 2, 3......Л; Г —шаг дискретизации; х (/*) — значе- ние сигнала в момент 6 (t — /гТц) — функция Дирака. Дискретизацию сигнала по времени можно выполнить равно- мерно с посюянным шагом Т = const (рис. 9,1, а) и неравномерно с переменным шагом Т = var (рпс. 9.1, б). Однако в дискретизи- рованном сигнале отсутствуют промежуточные значения между моментами дискретизации /д, /д+1, поэтому во многих случаях необходимо восстановить в сигнале все промежуточные значения. При этом сигнал на интервале [Гц Т2] восстанавливается с задан-. 278
ной погрешностью. В качестве восстанавливающего сигнала исполь- зуют сумму базисных функций М0 = £аА(0. <=1 где с,- — коэффициенты ряда; Ct (/)— система ортогональных ба- зисных функций. Коэффициенты ряда а{ и базисные функции СД/) выбирают на основе критерия минимума средней квадратической погреш- ности восстановления или критерия совпадения восстанавлива- емого сигнала с мгновенными значениями дискретизированного сигнала. Если коэффициенты ряда и базисные функции выбирают по критерию минимума средней квадратической погрешности восстановления то система базисных функций выбирается ортогональной, а коэф- фициенты ряда определяют как тг а( = [ * (0 (0 dt. т, При этом для периодических сигналов в качестве базисных функций можно использовать систему тригонометрических функций /2л/ k2nt кратных аргументов sin-у—, cos—у , ортогональную на интер- вале [О, Т]. Если коэффициенты ряда и базисные функции выбирают по критерию совпадения в моменты дискретизации мгновенных Значений восстанавливаемого сигнала и мгновенных значений дискретизированного сигнала, то их параметры определяют путем решения системы уравнений xb(^i)= /=i хв = а(С( t=i ' Хв W ~ S aiCl Uk)- >•=1 ) В случае, если сигнал х (/), обладающий спектром с гранич- ной частотой /с, дискретизирован циклически с периодом Т1{ < <0,5/Д., то его можно восстановить с помощью ряда Котельникова I — | СО *в(0 = S xtkTJCtt-kTJ, k=—°e - . < 279
sin <oc (t — kTa) где C (t — 6ТЦ) =—o у___—функция отсчета, обладаю» щая следующими свойствами: в моменты t= kT[t достигает макси- мума, равного 1; в моменты времени t = (k qpn) Гц при п, равного любому целому числу, равна О'*, юс = 2л/с. Однако реальные сигналы х (/) всегда ограничены во вре- мени и имеют бесконечный частотный спектр. Поэтому из-за ограничения верхней части спектра сигнала возникает погреш- ность восстановления. При этом относительное значение средней квадратической погрешности при восстановлении, возникающей в результате ограничения спектра сигнала частотой <ос, можно определить из следующего неравенства [58]: [%(/) — хв (l)]2dt Г +» У J я/и ---00 где Ед = — J х2 (ш) d<o — энергия погрешности сигнала, возни- це ас кающая из-за ограничения спектра; Е = — I х2 (ш) d<o — полная Л J о во энергия сигнала; х (<о) = | J х (/) dt | — частотней спектр о сигнала. В качестве базисных функций при восстановлении сигнала часто используют степенной полином Ct — т) = Рт (т) П (т/7'j, где т = t — tk‘, Pm (т) = а0 + OjT + a2i2 + • - • + amim — полином I 1 при 0 < т < Т щ-й степени от т; П (т/Гц) = ( 0 при т < 0 и т > Тц. При восстановлении (аппроксимации) сигнала х (t) на каждом участке между моментами дискретизации tk, tk-ti базис- ная функция т) изменяется по определенному закону (например, горизонтальной прямой при использовании степен- ного полинома нулевого порядка, отрезком наклонной прямой — первого порядка и участком параболы — второго порядка). Погрешность восстановления (аппроксимации) Дхв = х (f) — — хв(/) зависит от закона изменения х (/), способа аппроксима- ции и шага дискретизации. Шаг дискретизации Т а следова- тельно, частоту дискретизации / = 1/7^ определяют по задан- ной погрешности восстановления (аппроксимации). 280
В случае использования степенных полиномов нулевого по- рядка т = 0 (ступенчатая аппроксимация, рис. 9.2, a) a( = x(tk), (tk + т) = П (т/Гц), тогда восстанавливаемый сигнал п (0 = £ * П 4=1 Максимальная погрешность аппроксимации в этом случае бу- дет на участке сигнала, где первая производная х„ (/) достигнет наибольшего значения Рис. 9.2 а 5 При использовании степенных полиномов первого порядка т = 1 (кусочно-линейная аппроксимация, рис. 9.2,6) восста- навливаемый сигнал имеет вид ХВ <0 == £ {* П + Iх + Т11) — х (**)] X 4=1 X T-Чп (т/гц)}. Погрешность аппроксимации при этом будет наибольшей на тех участках изменения сигнала, где вторая производная х^ (/) дости- гает наибольшего значения (0 Т’ц х'т (О При параболической аппроксимации погрешность будет наи- большей на тех участках изменения сигнала, где третья произ- водная имеет максимальное значение в 16 16/Г Дискретизация сигнала сопровождается кодированием ин- формации, заключающейся в том, что каждому дискретному зна- чению ставится в соответствие определенная кодовая комбина- ция, представленная в двоичном или двоично-десятичном ко- дах. При этом дискретизация сигнала, т. е. преобразование Сигнала в цифровой код реализуется с помощью АЦП и является 281
измерительным процессом, состоящим из ряда операций сравне- ния измеряемой величины с набором эталонных дискретных ве- личин. В АЦП аналоговая величина поступает на вход сравни- вающего устройства, на второй вход которого в определенной последовательности подаются величины одинаковой природы с измеряемой величиной, вырабатываемые блоком эталонных величин (преобразование вида код — аналог, осуществляемое с помощью ЦАП). Сравнивающее устройство вырабатывает последовательность кодовых комбинаций, являющихся ре- зультатом сравнения измеряемой величины с эталонной и ис- пользуемых Для управления цифровым автоматом. При этом состояние цифрового автомата в конце преобразования опреде- ляет цифровой код измерительной величины. Основной особенностью дискретизации сигнала является то, что за счет конечного времени одного преобразования и неопре- деленности момента его окончания не удается получить одно- значное соответствие между значениями отсчетов и Моментами времени, к которым их следует отнести. Таким образом, при дискретизации изменяющихся во времени сигналов возникают динамические погрешности, для оценки которых вводят понятие неопределенности [7]. При равномерной дискретизации возни- кают амплитудные погрешности, которые называются апертур- ными, численно равные приращению сигнала в течение апер- турного времени, равного шагу дискретизации Т . Для оценки апертурных погрешностей используют синусоидальный изме- рительный сигнал х (/) — Х.т sin w', для которого максимальная относительная апертурная погрешность А ха/Хт= <оГц. Если при- нять, что для m-разрядного АЦП е разрешением 2~т апертурная погрешность не должна превышать шага квантования по уровню А ха, то между частотой синусоидального сигнала f = ы/2л, апер- турным временем (шагом дискретизации Та) и относительной апер- турной погрешностью существует соотношение [7] А ха/Л,п = 1/2т = 2 л/7'ц. 9.2. ПАРАМЕТРЫ И МЕТОДЫ ПОСТРОЕНИЯ АЦП Основные параметры АЦП можно разделить на две группы: характеризующие статическую точность и динамическую точ- ность [7; 22]. Параметры, характеризующие статическую точность, опре- деляют работу АЦП при дискретизации квазипостоянных физи- ческих величин. К этим параметрам относятся: погрешность квантования, инструментальная погрешность (погрешность сме- щения нуля, погрешность коэффициента передачи, нелиней- ность), временная нестабильность, разрешающая способность, диапазон измеряемых величин, входное сопротивление. Погрешность квантования (дискретности) А*—методиче- ская погрешность (рис. 9.3,а), вызванная конечным значением шага квантования h (единица младшего разряда ЕМР), макси- мальное значение которой А/, = — 0,5 h (рис. 9.3, б), а среднеква- дратнческое отклонение ofc = 2 « 0,3 ft. Инструментальная погрешность возникает из-за несовер- шенства средств измерения и содержит следующие составля- ющие: погрешность смещения нуля (аддитивная погрешность), погрешность коэффициента передачи (мультипликативная по- 282
Ли 0,5h О грешность) и нелинейность. Погрешность смещения нуля (адди- тивная) Да— часть общей погрешности, характеризующая па- раллельный сдвиг всей передаточной характеристики реального АЦП по отношению к идеальному (рис.9.4,а). Погрешность коэффициента передачи (мультиг характеризующая отклонение крутизны усредненной переда- точной характеристики АЦП от крутизны идеальной характерис- тики (рис. 9.4,6). Нелинейность Дн—отклонение передаточной характеристики АЦП от идеаль- ной во всем диапазоне измене- ния входного сигнала, при этом часто используют понятие диффе- ренциальной нелинейности (риг-. 9.4,в). Временная нестабильность характеризует способность АЦП сохранять статическую точность в течение определенных интервалов времени. Различают кратковре- менную и долговременную ста- бильности. Разрешающая способность — это способность АЦП разли- чать два значения входного сигнала, характеризует потенци- альные возможности АЦП с точки зрения достижимой точности. Диапазон измеряемых величин — максимальные хп1а!) и мини- мальные xmjn для данного АЦП значения измеряемой вели- чины. а -o,5h 6 Рис. 9.3 Входное сопротивление характеризует степень влияния входа АЦП иа измеряемую величину. Если входное сопротив- ление невелико и соизмеримо с сопротивлением источника сиг- нала и оно не постоянно, то это может привести к появлению дополнительных погрешностей. Поэтому к величине входного сопротивления предъявляют жесткие требования по постоянству и величине. Возникновение динамических погрешностей связано с диск- ретизацией сигналов, изменяющихся во времени. К параметрам, 283
характеризующим динамическую точность, относятся: частота дискретизации (шаг дискретизации), время преобразования, время выборки (стробирования). Частота дискретизации — это частота f = 1/Тц, о кото- рой происходит образование дискретных значений сигнала. Время преобразования Тпр — это время, отсчитываемое от начала преобразования до появления на выходе кода, соответ- ствующего данной выборке. Время выборки Тв— это время, в течение которого происхо- дит образование одного выбранного значения (рис. 9.5). По принципу действия АЦП делятся на последовательные, параллельные и последовательно-параллельные. При этом Н последовательную процедуру можно реализовать с помощью как единичных (развертывающего или следящего уравновешива- ния), так и последовательных при- ближений (поразрядного уравнове- шивания) [7; 21; 22; 57; 58]. В АЦП последовательного типа развертывающего уравновешивания (рис. 9.6,а), состоящего из компара- тора К, генератора счетных импуль- сов ГСИ с периодом т0, счетчика Сч, схемы управления СУ и ЦАП, вход- ной сигнал поступает на один вход компаратора, а на другой — сигнал обратной связи с ЦАП. Работа пре- образователя начинается с прихода импульса запуска на СУ, который включает счетчик, выходной код ко- Тпр t Рис. 9.5 торого подается на ЦАП, осуществляющий его преобразование в сигнале обратной связи. Компенсирующее напряжение UK обратной связи с ЦАП растет от начального значения UK — 0 до значения UK >> > Ux. В момент достижения напряжением Uк величины Ux компа- ратор срабатывает, и его выходной сигнал заканчивает процесс пре- образования аналогового сигнала Цх в цифровой эквивалент. Время преобразования АЦП этого типа определяется вели- чиной входного сигнала. Его максимальное значение Т тах= = (2'»— 1)т0, где m — число разрядов двоичного счетчика; Tj— период следования счетных импульсов. Основные особен- ности АЦП последовательного типа — простота построения, небольшая частота дискретизации (/ = f----- = 1 ... 10 кГц), ма- 1 пр шах лая статическая погрешность ДЦ = Uх / 2я1. Области примене- ния — цифровые вольтметры постоянного тока и цифровые системы, предназначенные для работы с постоянными и медленно изменя- ющимися напряжениями. В АЦП последовательного типа следящего уравновешивания (рис. 9.6,6) в отличие от АЦП развертывающего уравновешива- ния вместо суммирующего счетчика используется реверсивный РевСч. В момент достижения UK величины Ux АЦП переходит в следящий режим и выходной код АЦП колеблется вокруг среднего значения о точностью до шага квантования. Если скорость изменения Ux(t) ие превышает максимальной скорости изменения компенсирующего напряжения (/к, то в любой момент 284
UK не отличается от измеряемого напряжения более чем на Шаг квантования h Uх (!) Это соотношение определяет апертурную погрешность АЦП, а период счетных импульсов т\ является апе- ртурным времени. В следящем режиме работы АЦП цифровой код измеряемой величины можно снять в любом такте работы преобра- зователя. В АЦП последовательного типа поразрядного уравновеши- вания (последовательных приближений) (рис. 9.6,в) процесс Рис. 9 6 уравновешивания происходит с помощью программного устрой- ства ПУ в направлении от старших разрядов с весом 2"-'-1 к млад- шим с весом 2 . Это позволяет для m-разрядного АЦП выполнить весь процесс преобразования за т последовательных шагов приближений (итераций) вместо 2'”—1 при развертывающем урав- новешивании и получить выигрыш в быстродействии. Алгоритм работы такого типа АЦП состоит в том, что ПУ и СУ задают направление перехода в зависимости выходного сигнала компаратора. В начальный момент по импульсу запуска П У устанавливается в состояние 10...О, при котором значение UK определяется весом старшего разряда выходного двоичного числа. Затем в течение т тактов напряжение Uк последователь- но приближается к значению входного сигнала Ux. При этом на каждом такте возможна одна из ситуаций: 1) если UK<UX, 2S5
то производится установка следующего младшего разряда в «Ц при сохранении состояния всех предшествующих старших разрядов; 2) если UK > Ux, то установка младшего разряда в «1» сопровожда- ется сбросом предыдущего старшего разряда в «О». В результате, по истечении т тактов приближения компенсирующее напряжение UK приблизится к Uх с точностью до шага квантования h — Отах/2т. При этом время преобразования Tnp = щг0. Таким образом, в АЦП поразрядного кодирования сокращение времени преобразования до- стигается усложнением ПУ и СУ процедурой последовательного изменения во времени компенсирующего напряжения с ЦАП, фор- мируемого цифровым способом. АЦП с двухтактным интегрированием [7; 57]. Основным недостатком рассмотренных АЦП последовательного типа яв- Рис. 9.7 ляется их низкая помехоустойчивость от сетевых иаводок . Этот недостаток можно устранить, если в процессе преобразо- вания использовать операцию интегрирования за фиксированный интервал времени. К преобразователям такого типа относится АЦП с двухтактным интегрированием (рис. 9.7,а), в котором полный цикл работы состоит из двух тактов. В первом такте с помощью аналогового интегратора (АИ) на операционном усилителе ОУ входное напряжение интегрируется за фиксированный интервал времени Т = Атахт0, где Атах—емкость счетчика, определяющая разрешающую способность АЦП. При этом на интегрирующем конденсаторе АИ накапливается заряд qx = — UXTIRC, где Ux—среднее входное напряжение за время Т, Во втором такте происходит разряд конденсатора от источника опорно- го напряжения £/ол1, который имеет полярность, противоположную входному напряжению и подключается к АИ с помощью аналого- вого ключа АК. Этот процесс продолжается до возвращения кон- денсатора в начальные условия (рис, 9.7, б), что фиксируется ком- паратором К. При этом удаленный ыз конденсатора заряд qt — = Uo xf/RC, где I = А’т0 •—время разряда конденсатора. Так как 91 = 9а. то t = UXTIUO п, тогда N = UxNmmJUon, a Ux<= AUKN, где Д1/[{ = UQ П/Л'тт1ах — шаг квантования. Таким образом, используя операцию интегрирования вход- ного сигнала, можно получить усреднение и сглаживание всех 286
быстрых по сравнению с временем интегрирования Т помех, иаводок (сетевых) и шумов. В частности, синхронизируя работу такого АЦП с напряжением сети и выбирая Т — i/50 Гц кратное периоду частоты питающей сети, можно полностью подавить наводки, проходящие по цепям питания. В параллельных АЦП выполняется одновременно квантова- ние сигнала с помощью набора компараторов К, включенных па- раллельно источнику сигнала Ux (рис. 9.8,а). Пороговые уров- ни компараторов устанавливают с помощью резистивных дели- 5 ООО 001 010 011 100 101 110 111 t------------Ь—-----1--М---1—:---1---М-4------1--М---1-- О @0,512 1,024 1,536 @2,048 2,56 @3,072 3,584 @4,098 В V в Рис. 9.8 телей. Число m пороговых уровней зависит от количества дис- кретных значении преобразуемого сигнала в полном диапазоне преобразования m ~ UmroyJh, Пороговые уровни компараторов Un{ = = hi для всех i = 1 in. Таким образом, если Ux превышает значе- ние Uni, то срабатывают компараторы, пороговые уровни которых Unl < Uх. При этом выходные сигналы с компараторов устанавли- вают в единичное состояние соответствующие разряды т-разрядно- го регистра. В регистре образуется число, пропорциональное Uх, в виде кода Джонсона, который затем с помощью преобразователя Кодов преобразуется в параллельный двоичный код. Достоинство АЦП параллельного типа —высокое быстро- действие, так как входной сигнал Ох за один шаг преобразова- ния сравнивается с полным набором пороговых уровней. Дли- тельность такой операции преобразования определяется вре- 287
меием срабатывания компараторов и быстродействием цифровых:^ элементов, входящих в регистр и преобразователь кодов. Одна- ко это достоинство достигается за счет аппаратных затрат, гак как количество компараторов и элементов памяти равно 2т. Поэтому АЦП параллельного типа применяют только для по- строения быстродействующих АЦП малой разрядности. В АЦП последовательно-параллельного типа ^-разрядов разбиваются на несколько п групп [21]. Внутри каждой группы применяется параллельное преобразование, ио группа за груп- пой работают последовательно во времени. При этом резко со- кращаются аппаратные затраты по сравнению с АЦП параллель- ного типа, а быстродействие уменьшается незначительно, так как полное время преобразования Тпр = п/пр, где ?пр — время пре- образования одной группы. Первый этап преобразования выполняется параллельным АЦП1 (ПАЦП1), имеющим п1 = 3 двоичных разряда. При этом после первого этапа преобразования образуется двоичное число, предста- вляющее разряды первой группы S'”"1, S'”"2, S'”"3, и остаточное напряжение ДУ, = Ux — Unl, где U п1 — результат обратного пре- образования ^-разрядного ЦАП1. Остаток ДУр не превышающий шага квантования п/3”‘, усиливается в 2”1 раз и подается на второй параллельный АЦП2 (ПАЦП2), имеющий п.2 = 3 двоич- ных разрядов. После второго этапа пробразования получается дво- ичное число, представляющее разряды второй группы *, 2”1—”i“2, 2'п—3. При этом шаг квантования после второго этапа,' преобразования не превышает Д1/2 = Аналогично для третьей группы Д3 = 170 п/2п‘~^Пг'^Пз и для i-й группы Д; = __и • • А~п1 ^о.п' На рис. 9.8,6 изображена структурная схема последова- тельно-параллельного АЦП на 12 разрядов, разбитого на четыре группы по три двоичных разряда в каждой. Пусть шаг квантова- ния АЦП составляет Д = 1 мВ, тогда при m = 12 диапазон входного сигнала U х будет от 0 до 4,096 В. Рассмотрим процесс преобразования для Uх2— 1,5835 В. Диапазон значений Ux от 0 до 4,096 В разделен на восемь равных участков (рис. 9.8,в). Границами между ними служат значения опорных напряжений Uol= 0,512 В; U02= 1,024 В; Ц03= 1,536 В; Цо4= 2,048 В; 1/05= 2,56 В; UM= 3,072 В; U07~ 3,584 В. Крестиками обозна- чены точки, соответствующие напряжению, поступающему на очередной АЦП в каждом из четырех тактов. Трехразрядные Таблица 9.1 Номер такта Номер АЦП Коды на выходе АЦП Номер ЦАП Напряже- ние на выходе ЦАП, В Номер усилителя Напряже- ние на выходе усилителя, В 1 ПАЦП1 он ЦАП1 1,536 У1 0,38 ‘ 2 ПАЦП2 000 ЦАП2 0 У2 3,04 3 ПАЦПЗ 101 ЦАПЗ 2,56 УЗ 3,84 4 ПАЦП4 111 — 3,584 — — 288
двоичные коды, образующиеся при этом на выходах АЦП, соот- ветствуют кодам, находящимся на рис. 9.8,в над участками с крестиками. Процесс преобразования показан в табл. 9.1. По окончании четвертого такта на выходе АЦП образуется код 011 000 101 111, что соответствует значению Uх= 1,5835 В. 9.3. ЭЛЕМЕНТЫ АЦП В АЦП можно выделить цифровую и аналоговую части. Цифровая часть выполняет кодирование и декодирование, за- поминание, сравнение, сдвиг, счет и сложение цифровой инфор- мации, прием и обработку команд на преобразование и выраба- тывает сигналы управления. В последнее время для выполнения перечне пенных выше операций широко используют микропро- цессоры. В аналоговой части осуществляются операция сравне- ния, усиления, выборки и хранения, коммутации аналогового сигнала, а также операции по его сложению и вычитанию, деле- нию и перемножению, интегрированию и дифференцированию. К элементам, выполняющим функции в цифровой части, относят логические схемы, триггеры, регистры, счетчики, запо- минающие устройства, микропроцессоры. При этом цифровую часть АЦП выполняют иа основе серийно выпускаемых отече- ственной промышленностью цифровых ИС. Характеристики серий ТТЛ, ТТЛШ, ЭСЛ, КМОП н И2Л приведены в табл. 4.5. К элементам, выполняющим функции аналоговой части, относят операционные усилители, компараторы, источники опорных напряжении, аналоговые ключи и коммутаторы ЦАП [6; 79]. Операционные усилители (ОУ) представляют собой усили- тели постоянного тока с дифференциальными входами. Их ис- пользуют для дифференцирования, интегрирования, масштаби- рования, фильтрации, а также для сравнения и запоминания сигналов. Особенности работы ОУ рассмотрены в работе [82]. Основные параметры ОУ в статическом и динамическом режимах. Коэффициент уси тения напряжения Ку—отношение вы- ходного напряжения ОУ к входному напряжению. Напряжение смещения UCA— напряжение постоянного тока, при котором выходное напряжение равно нулю. Л^аксимальное входное напряжение ^ВХтах— наиболь- шее входное напряжение ОУ между входными выводами ОУ, при котором напряжение соответствует заданному. Максимальное выходное напряжение Цвыхтах— наиболь- шее выходное напряжение между выводами выхода и корпуса ОУ, прн котором обеспечиваются заданные параметры ОУ. Максимальный выходной ток /вых тах — наибольший вы- ходной ток, при котором обеспечиваются заданные параметры Частота единичного усиления fa— частота, на которой коэф- фициент усиления ОУ равен единице. Скорость нарастания выходного напряжения иувых — скорость изменения выходного напряжения ОУ при воздействии импульса максимального входного напряжения прямоугольной формы» 10 274 289
Параметр Средней КР140УД1 К140УД5 К140УД6 К140УД7 Ку, В/мВ Усм. «В ^ах max’ В ^вых max’ В ^вых max’ мА Л, МГц »и вых- В/МКС ^уст* мкс /ах, мкА /?вх, кОм /?вых, кОм Уи.п ^пот’ мА Номер схемы 2 7 1,5 6 3 5 0,5 1,5 8 50 0,5 ±12,6 8 1 1 5 3 6,5 3 14 6 10 60 1 ±12,6 12 2 70 5 15 11 25 1 2,5 1,7 0,03 103 0,2 ±15 2,8 3 50 4 12 11 20 0,8 1 0,2 400 0,2 ±15 2,8 4 Параметр Прецизионные Быстро КМОУДЗ К140УД17 К553УД5 К140УД11 К154УД2 Кц, В/мВ 1/см, мВ ^вх max’ В U R вых max’ ° вых max’ мА Л, МГц «И вых’ В/мкс /уст, мкс 7ВХ, мкА 7?ах, кОм /?вых, кОм <4.n 7ПОт’ мА Номер схемы 10 0,05 1 1 0,1 0,05 10~з 50 . 10з 10 ±15 10 10 200 0,07 15 12 10 0,4 0,1 4 • 10'3 ±15 4 11 Юз 1 1 10 2к* 0,12 ±15 3,5 12 50 4 10 10 2к* 15 50 0,5 300 0,3 ±15 8 13 10 2 10 2к* 75 5 0,01 200 0,3 ±15 6 14 ♦ Минимальное сопротивление нагрузки. 290
Таблица 9.2 точности К140УД8 К140УД9 К140УД14 К140УД20 К544УД1 50 20 10 10 20 1 5 0,2 • 103 1000 0,2 *15 5 5 35 5 7 10 22 1 5 0,1 300 0,3 *12,6 8 6 50 2 10 13 20 0,3 0,2 2 • 10'3 30 • IO» 0,5 *15 0,6 8 30 5 12 20 0,55 0,3 0,2 4 • Юз 0,2 ±15 2,8 7 50 15 10 10 20 1 2 IO'» 12 . 10’ 0,4 *15 3,5 9 действующие Микромощные К154УДЗ К544УД2 К514УД1 К140УД12 К153УД4 К154УД1 8 9 0,5 10 80 0,5 0,2 ±15 7 15 20 30 10 10 2к* 20 20 IO'3 500 0,2 ±15 7 16 50 50 10 2к* 10 50 IO"3 12 • IO3 0,3 ±15 8 17 50 5 1 2 3 1 0,8 0,35 7 • 10-з 5 • Юз 5 ±15 1 16 5 5 4 4 5к* 0,7 0,12 0,4 400 0,4 *6 1 18 200 3 12 2к* 1 10 0,02 200 0,3 *5 1 19 291
T u 1 15 7TZ 1-у 5 ! -V гсНт СС FC У t£ fl 7^ 1- и FC -у «14- FC FC i1 ]_ Ц. ★и -и NC 4- нс — +V -и лзЦ- нс FC 5_ Л j i £j Zh7 5 [> 5. !> 12(Ю) *и ~и NC1 ж — 6_ +v -V © сс к в, Н 3® ±-и KV8) NC ДР -V Ч FC 8_ 8. 6 НС к Д-' А Zbr ч *сг -и 1 NC NC ® 5_ £ 8_. 5_ +и -и Ж f NC t> •4 J 7_ Ц_ *и -и НС к ГС 7_ Д-£Г НС NC К 5 8_ JI JH 8 -U !> FC +и -и NC NC ГС 5_ 8_ Я ' 7_ 2 ь 7 ю 7 > 6 © 1 8_ ★V и 2 1Щ, 2& *и 5 2 £ £ s И Р 3 6 © 6 в л 7 6 Время установления выходного напряжения /ует — ивтер- вал времени, в течение которого выходное напряжение ОУ изме- няется с первого достижения уровня 0,1 до последнего достиже- ния уровня 0,9 установившегося значения. Входной ток 1 вх— ток, втекающий (или вытекающий) в лю- бой из входных выводов при входном напряжении, равном 1/см, и выходном напряжении, равном нулю. Дифференциальное входное сопротивление 2?вх.д — отно- шение изменения дифференциального входного напряжения к результирующему изменению входного тока при сохранении линейности выходного напряжения. В АЦП в зависимости от требуемой точности и скорости преобразования и мощности потребления используют следующие ОУ: средней точности 1 % ((7С.Л = 5 . .. 10 мВ; /ЕХ — 100... ,. . 1000 нА); прецизионные 0,1 % (Усн = 1 ... 2 мВ; /вх = 1,.. ... 10 нА), быстродействующие (оу > 50.,, 100 В/мкс; /ус1 292
< 1 мкс) и микромощные (с мощностью потребления ие более 20 мВт). Электрические параметры ОУ приведены в табл. 9.2 [5; 33J. Компараторы являются специализированными ОУ с Диффе- ренциальным входом и с одним или парафазным цифровым вы- ходом. Входнон каскад компаратора построен аналогично ОУ и работает в линейном режиме. На выходе компаратора форми- руется сигнал логическая «1», если входной сигнал превышает пороговое напряжение, если же входной сигнал меньше порого- вого напряжения — логический «0». В АЦП компаратор ис- пользуется как пороговое устройство для квантования сигнала. Основные параметры компаратора. Ч увстеителыюсть (разрешающая способность) — мини- мальная разность аналоговых сигналов, которую может обнару- жить компаратор и зафиксировать на выходе как цифровой сиг- нал, соответствующий переходу из одного логического состояния Рис. 9.9 в другое. При этом разрешающая способность компаратора является функцией его быстродействия, определяемого таким параметром, как время переключения, которое обычно оцени- вают при подаче на вход компаратора скачка напряжения 100 мВ с заданным перевозбуждением, т е. превышением вход- ного сигнала над пороговым напряжением (рис. 9 9). Время, задержка переключения — время с момента подачи входного сигнала до момента, когда выходной сигнал превысит пороговый уровень напряжения, соответствующий логическим «Ь или «0» в зависимости от того, в каком начальном состоянии находится компаратор Выходные уровни напряжения ПБ°ЫХ или — напряжения, соответствующие логическим «0» или «1» на выходе компаратора. Напряжение смещения Ucta характеризует погрешность начального смещения, обусловленную отклонением порогового уровня по отношению к истинному значению входного сигнала. Электрические параметры компараторов приведены в табл. 9.3 [6; 33]. Источники опорных напряжений (ИОН) используют в АЦП для задания пороговых напряжений на компараторы, питания резистивных делителей, компенсации преобразуемого аналогово- го сигнала и т. д. В качестве ИОН применяют параметрические стабилизаторы напряжения с кремниевыми стабилитронами (табл. 9.4), у которых температурный коэффициент положитель- ный и составляет порядка 2 мВ/°C [35; 61J, Чтобы компенсиро- 293
7 аблица 9.3 Параметр 521СА1 521СА2 521САЗ 521СА4 К554СА1 К554СА2 К554САЗ j K597CAI К597СА2 К597САЗ 7/см. «В 3,5 5 3 4 7,5 7,5 3 3 3 5 Z3A. НС 120 90 300 26 120 130 300 6 12 300 f'Lx- в 2,5 2,5 — 2,5 2,5 2,5 — —0,9 2,5 7 ^вых- В 0,3 0,3 — 0,3 0,3 0,3 — —1,8 0,5 о,з "Н. ПР В 12 12 15 9 5 12 12 15 5 5 15 ^потР ^потЗ* мА 12 9 6 4 16 12 9 6 30 42 3 ^.п2. в —6 —6 —15 —9 —6 -6 —15 —6 —6 — 15 ^пот2’ МА 7 8 5 8 7 8 5 20 34 1 Номер схемы 1 2 3 4 5 6 7 8 9 10 вать эту нестабильность, по- следовательно со стабилитро- ном VD1 включают прямосме- щенный р-п-переход VD2 с от- рицательным температурным ко- эффициентом порядка 2 мВ/°С (рис. 9.10, а), где /?ст = ст вых ст 4* (/пр д. В схеме на рис. 9.10, б используют ОУ в качестве по- вюрителя, предохраняя термокомпенсированный стабилитрон от перегрузок, которые ухудшают его стабильность. Основой ИОН являются интегральные стабилизаторы напряжения компенсацией- Е ___П 17 вых I © 294
Таблица 9.4 ного типа [35], имеющие схему защиты от выхода из строя при коротком замыкании нагрузки [6] Основные параметры стабилизаторов напряжения: максималь- ное (минимальное) входное напряжение— (t/Bxmin); но- минальное выходное напряжение — Uвых; ток нагрузки — /н; мощ- ность рассеяния—Ррас; коэффициент нестабильности по напря- жению Кки—отношение относительного изменения выходного нап- ряжения Д(7вых/ивых к вызвавшему его относительному изменению входного напряжения Д(/вх/(/вх; коэффициент нестабильности по току &КН1 — отношение относительного изменения выходного на- VD2YZ а Рис. 9.10 пряжения ДПяых/(/вых к вызвавшему его относительному изменению тока нагрузки Д/н//и. Основные электрические параметры инте- гральных стабилизаторов напряжения серии К142 приведены в табл. 9.5. Аналоговые ключи и коммутаторы предназначены для ком- мутации аналоговых сигналов (тока или напряжения) от источ- ников на нагрузку с малыми искажениями. Для коммутации напряжения можно использовать либо однополюсный последова- тельный (рис. 9.11,о) ключ (прерыватель), либо переключатель (рис. 9.11,6) на два положения (нагрузка подключается к источ- нику напряжения или к общей точке схемы) При коммутации тока необходим переключатель на два положения (ток от источ- ника никогда не должен прерываться, а лишь переключаться в различные ветви цепи). Поэтому в цепи для коммутации на- пряжения нагрузка должна иметь достаточно высокое сопро- тивление по сравнению с выходным сопротивлением источника сигнала, а для коммутации тока — наоборот. Основные пара- 295
UBX, в "вых. В ^BLix)min* В KhU' % Кн/, % 1 А * н шах’ Номер схемы Тип стабилизатора СО со к. -° о о ; :. СЛ « К14 2ЕН1 р о о F F СЛ t<! со л. о о К142ЕН2 _ о О 5 3 "Ь -cag« LL о сл К142ЕНЗ к _ о о Р S ° g Ь •*. о о К142ЕН4 pl >£. “сс to o’ "о “ о “ : : о сл ^S71 “>—“0 К142ЕН5А, Б pl Ф» о 'со'со а -о к» о « : : 5 сл ьо “to К142ЕН5Б, Г
ТоСм.ца 9.5 К142ЕН6 КИ2ЕЦ8А, Б K142EHSB К142ЕН9А, Б КИ2ЕН9В *30 12...35 12...35 23...40 23...40 14,7... 15,3 8,7...9,3 11,6...12,3 14,5...15,4 19,6...20,4 23,5...24,5 26,4...27,8 2,2 2,5 2,5 2,5 2,5 0,001 0,001 0,05 0,05 0,05 0,2 1 1 1 1 0,2 1,5 1,5 1.5 1,5 36 4 4 4 4 метры аналоговых ключей: остаточное напряжение на замкну- том ключе, остаточный ток разомкнутого ключа и время пере» ключения. Рис. 9.11 а Рис. 9.12 Схема аналогового ключа на КМОП-транзисторах показана иа рис. 9.11,в. Собственно ключ собран на транзисторах VT1, VT2, а управляется ключ инвертором на транзисторах VT3, VT4. При управляющем напряжении t/ynp= ~Е транзистор 297
Таблица 9.6 Параметр КРШКТ1 КР168КТ2 К284КН1 543KH3 КР390КН2 КР590КН4 1 590КН5 590КН7 590КН8 1 К561КТЗ Уком- В rt5 ±5 10 5 *10 *15 *15 *15 15 ±7 ^упр 0,7 —G 2,4 0,4 0,4 0,4 0,4 0,4 —5 1 (У1), В 2,6 —15 2,4 4,1 4,1 4,1 4,1 4-5 5 КОМ- МА 10 20 — 20 1 — — 10 10 Япр. Ом 150 150 160 80 100 75 70 30 70 100 Znep, МКР 2,5 0,7 3 0,8 0,5 0,15 о,з 0,3 0,3 0,1 иа. П1, 2- В 5 —24 — —13 5 12 12 *15 *15 *15 — ±7,5 ^н. ПЗ- В 5 5 — —15 — — 5 — —15 Номер схемы / 2 3 4 с 6 7 8 9 10 + 12 В -15В 15 »Ы =# <153 -15 В W SWT 75 4^' g1 Чи * vm Чы ^<т «ы- 7 % 7# 4^ * =# 8_ Я 16 1} + 3 -158 8упр ~7Г УУФ -15 8 JHW Зм Чъ, — Чю QlH, Л. Vynp Чк, '.S- Ufa +755 -158 Ц SWH %, 15 'S. 4-7,5 8 -7,58 3 3 8 ц 5 9 0 5 и ' 2, 7 © © VT4 закрыт, VT3 открыт и ключ разомкнут (VT1, VT2 закрыты). При (/упр= +£ транзистор VT3 закрыт, VT4 открыт, при этом иа затвор транзистора VT1 подается отрицательное напряжение через открытый транзистор VT4^ а на VT2 — положительное 298
напряжение управляющего сигнала +£, и аналоговый ключ замкнут. Для замкнутого состояния ключа диапазон входного напряжения (7ВХ = ± Е. Прн UBK = 0 напряжение — — Е, а напряжение = = + Е транзисторы VTI и VT2 открыты и прямое сопротивление ключа /?пр = Rt/2 (где Rl внутреннее сопротивление открытого транзистора). Когда UBX = -f- Е, транзистор VT2 закрыт, так как = О, а транзистор VT1 открыт под действием напряжения = — 2.Е и /?пр « Ri. При Uвх = — Е транзистор VT1 закрыт, а транзистор VT2 открыт, так как = 4- Е и 7? = R{. Таким образом, при t/ = + Е и (7ВХ = ± Е прямое сопротивление клю. ча меняется в небольших пределах /?пр = /?,/2 а коэффици- ^вых ент передачи ключа Кп = -д— = р р , тогда при R{ <RHKnxs tn 1. В интегральном исполнении один корпус ИС содержит один или несколько электрически развязанных аналоговых ключа. Аналоговый коммутатор (мультиплексор) представляет со- бой устройство со многими входами для аналоговых сигналов и одним выходом, на который можно подать последовательно во времени любой из входных сигналов (рис. 9.12,я). Аналоговый коммутатор состоит из набора аналоговых ключей А К, подсое- диненных к общей выходной шине, и схемы управления. В со- став схемы управления входят дешифратор и формирователь сигналов управления ключами. На вход схемы управления по- даются цифровые сигналы в виде параллельного кода (код номе- Таблица 9.7 Параметр 543КН1 543КН2 К561 КП1 । К561КП2 КР590КТ1 KP590KHI КР590ННЗ КР590КН6 591КН1 Уком. В ±12 12 ±7,5 ±7,5 9 ±5 ±15 ±15 ±5 %₽ 0,4 0,4 ^Н. П1 ^Н. П1 0,4 0,4 0,4 0,4 0,4 1Д), В 2,4 2,4 п2 ^и. п2 7,7 3,6 4 4 3,6 ^КОМ* МА 10 10 10 10 5 10 20 20 5 /?пр. Ом 200 350 200 300 100 500 300 300 450 пер’ МКС 1 1,2 0,6 0,4 0,03 1 0,3 0,3 2,5 ^и. п!,2* В '—15 — 15 ±7,5 ±7,5 9 — 15 ±15 ±15 ±13 +5 ь +5 UH. пЗ. В 12 12 — — — — — — 5 Номер схемы 1 2 3 4 5 6 7 8 9 Г! о и м е ч а н п е. В,\—выборка ка плов адресная при ВК —О, последовательная ВК —I. Вывод-.i ПрК — предустановка каналов; РПрК—< Разрешение ПрК; Вх. сннхр. используется прн организации последователь- ной выборки в кольдезом режиме пр i подаче на них логической «I», в остальных случаях на эти выводы подается логический «О»; С — тактовый ВХОД для реализации последоватеиоиого отрааа каналов (f = 0...300 кГц). 299
32 Tv Z7 Л% 17 & f 3. Д £ Д £ и «_ 9_ 8_ 3 i 2 3 4 5 3 7 8 Я 10 11 12 13 S 15 V&ir. ШИр 6 Sum- M ?HpK A, At Аг A, C 8K JL 2 L ' 28 3. so 31 Я (7 -t5B *58 *123 pa канала). Согласование выходной шипы с нагрузкой осуществляется с помощью буфер- ного каскада на ОУ, работающего в режиме повторителя напряжения, который обеспечи- вает высокое входное в точке соединения вы- ходов ключей и низкое выходное сопротивле- ние коммутатора. Основные параметры аналоговых ключей и коммутаторов. Коммутируемое напряжение UKaM(UBK) — максимальное напряжение, подаваемое на аналоговые входы ключа или коммутатора. У правляющее напряжение Uynp(U°, U1) — напряжение на управляющем входе ключа или коммутатора, при котором обеспечиваются заданные уровни выходного напряжения. Коммутируемый ток 7КОМ — ток в цепи аналогового входа в замкнутом состоянии переключателя. Время переключения ta(.v — наибольшее время включения или выключения, которое определяется между фронтами .уп- 800
равляющего и выходного напряжения на заданных уровнях. Прямое сопротивление — сопротивление ключа или коммутатора в замкнутом состоянии переключателя при заданном коммутируемом напряжении. Основные параметры аналоговых ключей и коммутаторов приведены в табл. 9.6 и 9.7. 9.4. ЦИФРОАНАЛОГОВЫЕ ПРЕОБРАЗОВАТЕЛИ Цифроаналоговые преобразователи предназначены для пре- образования цифрового кода N в пропорциональные аналоговые уровни напряжения U (N). По принципу действия схемы ЦАП бывают: с суммированием и делением напряжения, с суммирова- нием токов |22]. Выходное напряжение в схеме ЦАП с суммированием на- пряжения (рис. 9.13/;) п-1 «да---------------------------- z=o R{ £ 2- («—/—D 1=0 где А = а0 2’ 21 + . .. + а,,.! 2я*1 — двоичный код; Rj = — j = R-t п—число двоичных разрядов. Выходное напряжение в схеме ЦАП с делением напряжения (рис. 9.13.6), где используется резистивная матрица типа R—2R п—1 1=0 Коэффициент использования опорного напряжения в схеме t/on 2 на рис. 9.13,6 угг-п-. = -5-, а в схеме на рис. 9.13,а этот ко- и V’ max' ° эффициент равен 1. Несмотря на это ЦАП по схеме на рис. 9.13,6 с резистивной матрицей типа R—2R имеет следующее преимуще- ство: для выполнения схемы требуются два резистора с сопро- тивлением R и 2R (в отличие от схемы на рис. 9.13,0, для кото- рой требуется п резисторов сопротивлением Ri= 2n~l~1R). Однако следует отметить, что схема на рис. 9.13,6 имеет более низкое быстродействие, чем схема на рис. 9.13,о, так каксодержит больше паразитных емкостей и в ней используется многозвенный принцип передачи напряжения. Для более высокой скорости преобразования на практике используют ЦАП с суммированием токов (рис. 9.13,в, с). Так как входное сопротивление п коэффициент усиления ОУ велики, Ю напряжение и ток во входной цепи ОУ близки к нулю. Сле- довательно, все токи /о + /1 + + . • + /п-1 — текущие че- рез элементы резистивной цепи, уравновешиваются током /0 с = в ^2» проходящим в цепи обратной связи. Выходное напряжение такого ЦАП U № = *о. Л. с = *о. ch = *o. с s' ai!i- i=Q 30»
Для схемы на рис. 9.13, в сопротивление резисторов Ri *= выходное напряжение п~1 п—1 VU а‘-1г Ki 1=0 V. Уоп i=0 Рис. 9.13 Для схемы на рис. 9.13, г с резистивной матрицей R — 2R ток 1( = 2”“ и выходное напряжение R п—1 (/W = /?o.oSa/T2~<n’"0, 1=0 Следует отметить, что в схемах ЦАП с суммированием токов (рис. 9.13, в, е) резистором /?01 0 можно менять коэффициент пре- 302
образования v V Uon Ч.с Rn-i ’ так при 7?0 c = /? = = Rn-i/2 Knp = 1. Токи в резисторах (рис. 9.13, в, г) почти не ва- висят от входного кода, что обеспечивает их стабильный тепловой режим, однако изменения сопротивлений переключателей вызывают погрешность. Для уменьшения влияния сопротивления ключей при- меняют схемы ЦАП с резистивными матрицами R— 2 R и одина- ковыми генераторами токов /0 (рис. 9.13, й). Выходное напряже- ние в таком ЦАП п-1 п—1 U (N) = l0Ro_ с V = /0. CRO. с2~ V afli = Swn Z * ЛШШВ 1=0 1=0 = Л^р. сЛГ, п—1 где N = У, а^2‘ — цифровой код; ДЦр. с =« I0R0/2n~1~ шаг кван- 1=0 товаиия (разрешающая способность). В этом ЦАП генераторы тока имеют одинаковые динамиче- ские свойства, так как нагружены на одинаковые резисторы со- противлением R. Это позволяет быстрее устанавливаться сигна- лам младших разрядов, а также уменьшает амплитуду и дли- тельность выбросов. Такие сигналы используют в быстродей- ствующих ЦАП, причем время установления не более 50 нс. Однако в этом ЦАП имеется зависимость токов в резисторах от входного кода, что приводит к нестабильности их теплового со- стояния. Выходное напряжение ЦАП можно рассматривать как фун- кцию входного сигнала Uon: UBb!X = Uonklt где k2 — коэффициент, пропорциональный цифровому коду на входе ЦАП. Если Uоп рассматривать как сигнал, который получается с помощью другого ЦАП, т.е. Uon = Umk2, где k2 — коэффициент пропорциональный цифровому коду Лг2, тогда t/Bblx = U kaklt что представляет со- бой аналоговое напряжение, пропорциональное произведению двух чисел Nr н N2. При этом полярность выходного сигнала зависит от полярности опорного напряжения при суммировании или деле- нии напряжения на резисторах (рис. 9.13, а, б) и имеет ту же полярность, что и UQn, от способов суммирования токов (рис. 9.13, в — д) и от того, к какому входу ОУ (неинвертирующему или инвертирующему) приложен этот сигнал (ток /2) (последний ва- риант включения ОУ наиболее распространенный). Таким образом, выходные сигналы ЦАП могут располагать- ся в одном, двух или четырех квадрантах в зависимости от того, какие значения принимают klt k2, U^n (рис. 9.13,е). В простей- ших ЦАП эти величины принимают только один знак и выходной сигнал формируется в I или IV квадранте. В более сложных ЦАП используют специальные коды k}, k2, имеющие обе поляр- ности; выходные устройства (операционные усилители), позво- ляющие изменять полярность выходного сигнала; коммутиру- емые источники опорного напряжения Uon разной полярности. Кроме того, разработаны умножающие ЦАП, работающие с из- меняющимися или биполярными опорными сигналами. 303
Точность преобразования ЦАГ1 зависит от степени темпера- турного согласования сопротивлений резистивных матриц, стабильности опорного напряжения, характеристик ОУ и внут- ренних сопротивлений переключателей. Погрешность пере- ходного процесса, т. е. выбросы на фоне выходною сигнала обу- словлены тем, что переключающие элементы ЦАП имеют разные времена включения и выключения. Особенно большие выбросы возникают, например, во время перехода кода от 011...11 к 100...00, при этом ключ самого старшего разряда ЦАП может открыться позже, чем закроются ключи младших разрядов. На выходе ЦАП некоторое время будет существовать код 000... 000. Этот появляющийся на мгновение код вызывает на выходе ЦАП активный сигнал Таким образом, если не принять необ- ходимые меры, выходные ст налы быстродействующих ЦАП во время переходных процессов будут иметь большие всплески (рнс. 9.14). Основной характеристикой ЦАП является передаточная ха- рактеристика U = / (М), которая представляет собой ступенчатую кривую. При этом реальная ха- рактеристика (рис. 9.15,6) отли- чается от идеальной (рис. 9.15,о). Для оценки этих различий вво- дятся статические н динамичес- кие параметры. Погрешность смещения нуля — Рис. 9.14 Статические параметры. выходное напряжение Псм (0), когда на вход ЦАП подан код N » = 0 (рис. 9.15, в). Эта погрешность называется аддитивной. Абсолютная погрешность преобразования <5П п—отклоне- ние выходного напряжения от номинального, соответствующего Конечной точке характеристики преобразования (рис. 9.15,г). Измеряется 6П П в единицах младшего разряда. Эта погреш- ность пазываетя мультипликативной. Нелинейность ол— отклонение реальной передаточной ха- рактеристики от идеальной (рис. 9.15,3). Значение нелинейности не должно выходить за пределы ct ЕМР. Разрешающая способность — приращение Д(/р> с прн преобра- вовании смежных значений Д(/р с = U (т)— U (т 1), Это при- ращение является шагом квантования Д(/р с == Птах (И)/2п, где п — количество двоичных разрядов ЦАП. Динамические параметры. Рремя установления выходного напряжения ZyCT— интервал времени от момента подачи входно- го кода т на вход ЦАП до момента, при котором выходное ана- логовое напряжение окончательно войдет в зону шириной а: ЕМР или другой оговоренной величины, симметрично распо- , ложенной относительно установившегося значения (рис. 9.15,г). Максимальная частота преобразования f — наибольшая частота дискретизации, при которой параметры ЦАП соответ- ствуют заданным. Отечественная промышленность выпускает ЦАП в инте- гралоном исполнении, параметры которых приведены в табл. 9.8 304
Рис. 9.15 2
Таблица 9.8 Параметр К572ПА1 К572ПА2 К594ПА1 КП08ПА1 КШ8ПА1 К1118ПА2 К427ПА1 и0. п- в ±17 ±15 10 10 10 — 1 ±10 % (и°> 0,8 0,4 0,4 0,4 -1,6 0,4 0,4 и1), в 3,6 2,4 2,4 2,4 -0,8 2,4 2,4 п, бит 10 12 12 12 8 10 15 «п п, % (ЕМР) (±3) ±0,5 — ±0,3 — (±5) 0,01 «л. % (ЕМР) 0,1 ±0,1 ±0,02 0,02 ±0,19 (^9,5) (±1) 0,006 ^уСТ* МКС 5 15 3,5 0,7 0,02 0,03 30 "и. П1>2- В 15 5; —15 5; —15 5; —15 —5,2 —5,2; 5 5; 15; —15 ^ост1, 2’ 2 0, 1, 2 25, 35 15, 46 130 85, 9 —- Микросхема К572ПА1 (рис. 9.16,а) являемся 10-раарядным ЦАП умножающего типа, построенного С суммированием токов и питающегося от опорного напряжения (рис. 9.13,г). Для ее функционирования необходимы внешние ЙОН и ОУ. Выход- Рис. 9.16 (/ х т вое напряжение схемы (7ВЫХ (М) — —\ aft1. Микросхема мо- г=о жет работать в режиме двухквадрантного умножающего преобра- зования. Для этого предусмотрено подключение двухполярного ИОН, значение которого можно изменять в широких пределах ± 17 В. Микросхема К572ПА2 (рис. 9.16,6) является 12-разрядным ЦАП умножающего типа. В отличие от К572ПА1 в ней имеются
два 12-разрядиых регистра РГ1, РГ2, позволяющих считывать и хранить промежуточные преобразованные цифровые данные. Выходное напряжение ЦАП определяется кодом, записанным в РГ2. Выходное напряжение ЦАП определяется кодом, запи- санным в РГ2. При этом код в РГ2 можно записать либо трансля- цией входного кода через РГ1 (Ct= 1, Сг— 1), либо данных из рГ1 в РГ2 и хранение данных в РГ1 (С3 = 0,С2 = 1), либо дан- ных в РП и хранение предыдущих данных в РГ2 (Сг = 1, С2= 0). Рнс. 9.17 Рис. 9.18 Длительность импульсов по входам управления Cl, С2 не должна превышать 5 мкс. Микросхема К594ПА1 (рис. 9.17) представляет собой 12- разрядный параллельный ЦАП с суммированием токов (рис. (рис. 9.13,5) и комбинированной матрицей (взвешенных рези- сторов в восьми старших разрядах и R — 2R в четырех младших разрядах), В схему ЦАП входят элементы, расширяющие ее Функциональне возможности: два резистора с номиналом 5 кОм (выводы Ro 3—4, No с2-> 4—5), включение которых в цепь обратной связи внешнего ОУ обеспечивает работу ЦАП С однополярным (рис. 9.17,а) выходом по напряжению 0...10 В 307
и 0...20 В. Резистор смещения выходного уровня Rc„— 10 кСм (вывод 1, 2) обеспечивает режимы двухполярпого (рис. 9.17,6) сигнала — 2,5; —5; — 10 В. Микросхема КН08ПА1 является быстродействующим 12- разрядным ЦАП с суммированием токов (рис. 9.13,3). При ис- пользовании внутреннего резистора обратной связи Ro с1 и Ro с1-|- + ^о.с2 напряженней конечной точке шкалы равно UQn и 2(/оп соответственно (рис. 9.18,а). Для перевода микросхемы в режим двухполярного сигнала необходимо подключить резистор (вы- воды 5, 7) между Uon и токовым выходом Ц (вывод S). Это вызы- вает поступление па вход ОУ дополнительного тока, равного по величине и противоположного по знаку току старшего разряда. В режиме двухполярного сигнала управлять ЦАП можно сме- щенным двоичным кодом. Для работы в составе АЦП последо- вательного приближения применяют режим с суммированием токов на внешнем резисторе. В этом случае максимальное напря- жение па выводе 8 не должно превышать 1 В. Микросхема КШ8ПА1 представляет собой 8-разряднып быстродействующий ЦАП для работы с ЭСЛ схемами. Выходной ток в конечной точке шкалы можно установить изменением опор- ного напряжения (рис. 9.18,6). 9.5. АНАЛОГО-ЦИФРОВЫЕ ПРЕОБРАЗОВАТЕЛИ Основные параметры АЦП в интегральном исполнении (6; 79] приведены в табл. 9.9, методы построения и функционирова- ние которых рассмотрены в § 9.2. Таблица 9.9 Параметр К512ПВ1 К572ПВ2 КР572ПВ2 К1107ПВ1 К1107ПВ2 К1Ю7ПВЗ КП08ПВ1 К1113ПВ1 в 0 <2(/оп —2 —2 2 3 10, -5 п, бит 12 8,5 6 8 6 10(8) 10 7-пр, мкс 170 320-103 0,1 0,1 0,02 1 30 Дк, % (ЕМР) (±3) (±1) (—3) (±2) (-0,25) С*4) (-4) Дл, % (ЕМР) -0,05 — (-0,5) — — ЦЫ) (-1) "и. nl, 2> В 5, 15 -5 5, —6 5,-6 5, —5,2 5,—5,2 5, —15 /пот1 2, мА 3 1,8 30 35 60 50 10 5 1,8 150 450 80 130 20 Um, в =Ы5 - 1,999 -2,1 —2 =±2,5 2,5 0,3 — 0,4 0,4 — 1,6 0,4 0,4 (/», (/», В 2,4 2,4 2,4 —0,8 2,4 2,4 Микросхема АЦП К512ПВ1 является универсальным много- функциональным узлом для устройств аналогового ввода-вг года микропроцессорных систем. Совместно с внешними компарато- ром (или ОУ), ИОН, генератором счетных импульсов (ГСИ), 308
микросхема выполняет функции (табл. 9.10) АЦП (рис. 9.19,а) последовательных приближений (поразрядного уравновешива- ния) с выводом параллельного двоичного кода через выход- ные каскады с тремя состояниями, а также функции ЦАП (рис. 9.19,6) с параллельным побайтным (или последовательным) Рис. 9.19 вводом информации с микропроцессора (МП).Назначение выво- дов микросхемы К512ПВ1 приведено в табл. 9.11. В режиме АЦП имеется возможность организации синхрон- ной и циклической работы ИС. произвольного уменьшения раз- рядности преобразования и вывода данных в парал- лельном коде. Сигнал в АЦП преобразуется за 12 рабочих и 2 вспомогатель- ных тактов (рис. 9.20). Пер- вый вспомогательный такт «Сброс» используется для синхронизации системы и установления всех уст- ройств в начальное состоя- ние, второй —для форми- рования сигнала «Конец преобразования» и органи- зации циклической работы. Каждый такт по длитель- ности занимает два перио- да СИ. Полярность входного напряжения UBX может быть любой и устанавли- вается соответствующим выбором полярности опорного на- пряжения Uon. Разрядность преобразования можно умень- шить до произвольного числа разрядов путем подачи на вы- вод «Запуск» повторного сигнала «Запуск» по окончании 1) такта. Для перевода АЦП из синхронного режима ра- боты в циклический необходимо вывод 22 Выход «Цикл» соеди- 309
Таблица 9.11 Номер вывода 1 2 3 4—15 16 17 22 23 24 25 26 27 28 29 30 31 32 40 41 42 43 41 45 46 47 48 Назначение выводов К512ПВ1 Последовательный вход ПВх Вход управления СР П1 Цифровой вход-выход Вход управления МР Вход управления режимом работы АЦП РР Выход «Цикл» Цвых Вход сравнения СР ип. п2 Вход «Счетные импульсы» СИ Конец преобразования КПр Вход «Запуск» Зап Вход «Цикл» Цвх Вход стробирования ЦАП СТ Цифровая земля Ц± Конечный вывод структуры R—2R Общий вывод резисторов R/2, R/4 Вывод резистора R/4 Вывод резистора R/2 Опорное напряжение Uon Аналоговый вход 1 Авх1 Аналоговый вход 2 Авх2 Общий вывод резисторов аналоговых входов 1, 2 Авх Апатитовый выход 1 Авых1 Аналоговый выход 2 Двых2 Аналоговая земля А. 310
нить с выводом 28 Вход «Цикл», а на вход 27 «Запуск» подать логический «О». Работа микросхемы К512ПВ1 в режиме ЦАП (рис. 9.19,6) возможна в двух вариантах (параллельный или последователь- ный ввод информации). При параллельном вводе информация подается на цифровые вход-выходы. При этом информация в ре- гистры ЦАП записывается подачей на вывод 25 («Вход СИ») пары счетных импульсов длительностью не менее 5 мкс. Для стирания информации на вывод 27 (вход «Запуск») подается логическая «1» с одновременной подачей пары счетных импульсов на вывод 25 («Вход СИ»), Для ввода информации в последова- тельном коде соответствующий 12-разрядный код подается на вывод 1 («Последовательный вход») с парами счетных импуль- сов, начиная с младшего разря- да (МР). Микросхема КР572ПВ2 (рис. -9.21) совместно с ИОН и не- сколькими резисторами и конден- саторами выполняет функцию АЦП, работающего по принципу двухкратного интегрирования с автоматической коррекцией нуля и определением полярности вход- ного сигнала. Цифровая информа- ция на выходе микросхемы пред- ставляется в семисегментном ко- де и отображается на 4-диапа- зонном светодиодном цифровом табло с семисегментными инди- каторами типа АЛС324Б. На- значение выводов микросхемы КР572ПВ2 и К572ПВ2 приведено в табл. 9.12. Рис. 9.21 Диапазон входного сигнала определяется внешним опор- ным напряжением (/вх = =t 1,999ПОП. Текущие показания цифрового табло соответствуют 1000 UBX/Um. Микросхема имеет дифференциальные входы для входного сигнала и ИОН. Это позволяет измерять напряжения, «плавающие» относитель- но источника питания, и устранять синфазные помехи в цепях входного сигнала и ИОН. При преобразовании входного си- гнала, измеряемого отноеит«льпо земли, выводы 30, 32, 35 КР572ПВ2 (7, 9, 12, К572) необходимо подсоединить к шине земля 21 (вывод 44). Для повышения точности гнутреннего ГСП можно использовать кварцевый резонатор, подключаемый между выводами 39 и 40 КР572ПВ2. Элементы R3 и С5 в этом случае не используют. При работе от внешнего ГСИ импульсы подают на вывод 40, а выводы 38 и 39 не используют. Для про- верки работоспособности выходных каскадов необходимо вывод 37 (20) кратковременно присоединить к источнику + 5 В, При этом на табло должно появиться значение — 1,888. Значения элементов коррекции для Уоп = 100 мВ, Uon = 1 В и /гси = = 50 кГц приведены в табл. 9.13. Микросхема КН07ПВ1 (К1107ПВ2) представляет собой 6(8)-разрядный быстродействующий АЦП параллельного пре- образования входного напряжения, изменяющегося от—2 В до 811^
Таблица 9.12 Номер вывода Назначение выводов КР572ПВ2 K572J1B2 1 24 и». п. 2 25 Цифровой выход 'll 3 26 Cl 4 27 » bl 5 28 » «1 6 29 /1 7 30 » gl 8 31 а Cl 9 32 о 10 33 » C10 11 34 » 610 12 35 13 36 » f 10 14 37 e10 15 38 ^100 16 39 » ^100 п 40 » /100 18 41 а C100 19 42 6f1000 20 43 £1000 21 44 Цифровая земля 22 45 Цифровой выход £100 23 46 Q100 24 47 C100 25 48 £10 26 3 г>2 27 4 Конденсатор интегрирующий С 28 5 Резистор интегрирующий R 29 6 Конденсатор автокоррекции С 30 7 Аналоговый вход цвх «-». 31 8 Аналоговый вход «+» 32 9 Аналоговый вход общ 33 10 Опорный конденсатор Соп 34 И Опорный конденсатор Соп 35 12 Опорное напряжение У~п 36 13 Опорный конденсатор У*п 37 20 Контрольный вход Квх 38 31 Конденсатор ГСП С 39 22 Резистор ГСИ R 40 23 Генератор ГСИ >12
О в двоичный иод (прямой или обратней) с дополнением до двух (прямого или обратного) (рис. 9.22,а, б). Тип выходного кода дЦП задае!ся кодовой комбинацией иа выводах 44, 48 (36, 41) соответственно: двоичной прямой код 1 1 (обратный 00); допол- нением до двух прямой код Ю (об- ратный 01). По выводу Uon(—0,07 В Табльца 9.13 ЗЛСМСИТ! 1 коррекции ^ОП 100 мВ 1 в С2, мкФ 0,47 0,047 С4, мкФ 1,0 0,01 R1, кОм 47 470 до 0) возможна коррекция напряже- ния смещения нуля на входе, а по выводу U*n (—1,9 до —2,1 В) — аб- солютной погрешности преобразова- ния в конечной точке шкалы. Вре- менная диаграмма работы АЦП по- казана на рнс. 9.22,г, из которой следует, что в момент времени ког- да иа выходе АЦП появляется ре- зультат n-й выборки, на входе — (п + 2)-я выборка. Частота преоб- разования АЦП не превышает 20 МГц. Следует предусмот- реть разделение цифровой п аналоговой земли с соедине- нием их только в одной точке — клемме источника питания. Назначение выводов приведено в табл. 9.14. Рис. 9.22 Микросхема КИ07ПВЗ (рис. 9.22,в) представляет собой 6-разрядный быстродействующий АЦП параллельного действия с временем преобразования 20 нс. Преобразователь имеет циф- ровой выход переполнения, позволяющий увеличить разряд- ность до семи путем параллельного соединения. 313
Таблица 9.14 Номер вывода Назначение выводов ПВ1 ПВ2 пвз 3 32 14 Выход 2° 2 33 13 » 21 1 34 12 » 22 47 35 11 » 23 46 37 10 » 24 45 38 9 » 25 39 » 26 — 40 — » 27 44 36 —- Выбор кода ВК1 48 41 — » » ВК2 4 36 6 Счетный импульс СИ 8, 39 28, 43 7 + УИ. П1 24, 37 47, 48 8 ’ ^и. п2 16 11 2 Опорное напряжение Uоп 9 22 4 » » ^о’п 10, 13, 15 13, 15 3 Аналоговый вход Авх 12 п Коррекция нелинейная КН —— «— 5 Контроль гистерезиса КГ — 15 Переполнение П 11, 14 14, 19 1 Аналоговая земля 5, 43 29, 42 16 Цифровая » Ц^ Микросхема К1108ПВ1 является 10(8)-разрядным преци- зионным АЦП последовательного приближения (поразрядного уравновешивания). Назначение выводов приведено в табл 9.15. Таблица 9.15 Номер вывода Назначение вывода Номер вывода Назначение вывода 1 2 3 4 5 6 7 8 9 10 11 12 13 Выход 2s » 28 » 27 » 2е » 25 » 24 » 23 » 22 » 21 » 2» Готовность данных ГД —5,2 (цифровая чаеть) Разрядность АЦП10 (8) (п) 14 15 16 17 18 19 20 21 22 23 24 Цифровая земля Ц^ —5,2 (аналоговая часть) Коррекция ОУ КОУ Аналоговый вход Авх Опорное напряжение Поп Коррекция ИОН КИОН Аналоге ая земля А, Л +5 В «Запуск» Зап Счетные импульсы СИ Разрешение считывания РСЧ 314
Содержит ИОН,ГСИ и выходной регистр на три состояния с хра- пением информации преобразования в течение последующего цикла преобразования и выдачей ее в двоичном параллельном коде. 10-раэрядный режим (рис. 9.23,а) устанавливается под- ключением вывода 13 к выводу 14, при 8-разрядном реткиме (рис. 9.23,6) вывод 13 соединяется с выводом 15. При работе с внутренним ИОН (рис. 9.23,а) необходимо вывод 19 через кон- денсатор 0,47 мкФ подключить на «землю» (вывод 20). Внешнее опорное напряжение (рис. 9.23,6) можно подать на вывод 18, а Тпр-(п-2) То л+5В 1,0 Рис. 9.23 при этом вывод 19 подключают через резистор сопротивлением 100 Ом к выводу 20. При работе с внутренним ГСИ (рис. 9.23,о) вывод 23 через конденсатор емкостью 25 пФ можно подключи 1ь к выводу 20. В системе с жесткой синхронизацией тактов преоб- разования внешний ГСИ (с периодом т0> 50 нс) подключается к выводу 23, при этом уровень СИ должен соответствовать уров- ням ЭСЛ схем (рис. 9.23,6). Для сопряжения тактового входа СИ (вывод 23) с ТТЛ можно использовать интегральную схему преобразователя уровня ТТЛ—> ЭСЛ типа К500ПУ124. 1 . Выборка АЦП производится по сигналу «Запуск» (уровень логический «0» ТТЛ) и по переднему фронту СИ и длится 12 пе- риодов„(рис. 9.23,в).Преобразование информации заканчивается выдачей сигнала АЦП в систему о готовности данных (уровень логический «0» ТТЛ на выводе 11 «Готовность данных»).Выво- дится информация из АЦП на шину данных по сигналу «Разре- 315
Микросхема К1113ПВ1 [84] обеспечивает аналого-цифровое преобразование однополярного или биполярного сигнала в 10- рэзрядный двоичный код. Микросхема содержит АЦП последо- вательного приближения с встроенными ИОН и ГСИ (с частотой 300—100 кГц). Выходные каскады с тремя состояниями позво- ляю! передавать информацию непосредственно на шину данных МП. При включении АЦП в однополярном режиме необходимо гызод 15 (однополярный или двухполярный сигнал О/Д) соеди- нить с выводом 16 («.Цифровая земля»), а в двухполярном режи- (-5...+5S) -15B +58 12 10 % 15 , № ^0/Д $ s- 22 23, 2* -f 95 ? ж (8 & 1 Выхов i банных 71 >2- 9, 17 Тб г’ 0 u О'0 * ten A -158 +53 a ГД ВЫЮй ОанНаШ 22ннС Начала преобразование ПР Данные готаЗы * 8 t Старт >2mhs Рис. 9.24 ме вывод 15 должен быть свободным. Для коррекции смещения нуля в диапазоне ± 3 ЕМР рекомендуется к выводу 14 (,'Ана- логовая земля») подключать схему регулировки смещении нуля (рис. 9.24,а), а для регулирования диапазона необходимой шка- лы входного напряжения до 10, 24 В в цепь аналогового входа (вывод 13) включают переменный регистр 100...200 Ом. Г1о внешнему сигналу «Преобразование» (ПР) (вывод 11) АЦП начинает преобразование входной информации в режиме однократного запуска (рис. 9.24,6) или стартстопном режиме (рис. 9.24,в) По окончании преобразования АЦП вырабатывает сигнал «Готовность данных» — ГД (вывод 17) и информация через выходные каскады с тремя состояниями поступает на цифровые выходы. Аппаратурное и программное обеспечение современных МП и микро-ЭВМ позволяет осуществлять цифровую обработку ин- формации с большой скоростью в информационно-измеритель- ных системах обработки сигналов. Поэтому одним из важней- ших требований АЦП и ЦАП является возможность их сопря- жения с МП по шине адреса, по шине данных и шине управле- ния, которые необходимы дли выбора начала преобразования, инициирования и управления процессом преобразования и пере- 316
Глава 10 ОДНОКРИСТАЛЬНЫЕ МИКРОПРОЦЕССОРЫ 10.1. ОСНОВНЫЕ ПАРАМЕТРЫ И ТИПЫ МИКРОПРОЦЕССОРНЫХ КОМПЛЕКТОВ г л ля реализации устройств обработки информации (УОИ) ис- J Апользуют аппаратные, программные и аппаратно-програм- мные средства. При аппаратных получают УОИ с «жесткой» логикой, что обеспечивает наибольшее быстродействие, но тре- буются большие аппаратные затраты. При программных УОИ реализуется в виде программы для типовой универсальной микро- ЭВМ, предназначенной для встраивания непосредственно в раз- рабатываемые блоки. При аппаратно-программных предпола- гается разработка как программных, так и аппаратных средств на базе микропроцессоров (МП). Интерфейс МП Шина аВреси (ША) ^Шина Зонных (ШД) л. '—1 U5 с________I I 5 1„ 1,4 е_________I I __________ С шина упразления /ШУ) . . . КПДП J ГТИ ПЗУ ОЗУ Интерфейс памяти ПЛИ Интерфейс периферийного оборудования Ряс. 10.1 Микропроцессор представляет собой функционально закон- ченное устройство, состоящее из одной или нескольких про- граммно-управляемых БИС и предназначенное для выполнения операций по обработке информации и управления вычислитель- ным процессом. На рис. 10.1 изображена структура микропро- цессорного устройства (системы). Следует отметить, что такую же структуру имеют микро-ЭВМ широкого назначения. Централь- ное место в этой структуре занимает микропроцессор, kotoj ый подобно процессору обычных ЭВМ непосредственно выполняет арифметические и логические операции над данными, про- граммное управление процессом обработки информации, орга- низует взаимодействие всех устройств, входящих в систему. Структура, изображенная на рис. 10.1, отражает магистралгпо- модульный принцип организации микропроцессорных устройств. Отдельные блоки (ПЗУ, ОЗУ) являются законченными функни- 317
ональпыми модулями в виде одной или нескольких БИС. Меж- модульные связи и обмен информацией между модулями осущест- вляются посредством коллективных шин (магистралей) адреса, данных и управления.Периферийное оборудование(УВВ и внеш- ние ЗУ) подсоединяется к шинам МП не непосредственно, а че- рез программно-управляемые интерфейсные БИС — контролле- ры (контроллер прямого доступа к памяти КПДП, программи- руемый параллельный интерфейс ППИ, программируемый последовательный интерфейс связи ППИС, программируемый контроллер приоритетных прерываний ПКПП, программируемый таймер ПТ и т. п.). При этом в каждый данный момент возможен обмен информацией только между двумя модулями системы [19; 37; 48; 50; 75; 78]. В схеме имеется генератор тактовых импуль- сов ГТ И. В МП обрабатываются машинные слова, представленные в двоичном коде. Машинные слова бывают двух типов: информа- Зн 2"-' ! 1 ! 2г ! 2' ' 2° " 1 ' ! з I г ! f Знак Модуль числа О Машинное слово ! | , _ | | | f 12 l...ln^ f 12 I...1^0 Ков операции Операнд t 1 г j з j... 1л„.„ 1 1 <1 1 1 1 1 1 1 2 1 3 ! 4 1 5 1 6 I...I...WM.M 1 1 If Illi Знак пыМка Знакмон тиссы Модуль порядка Модуль мантиссы Рис. 10.2 ционные (данные) и командные (команды). Информационные слова (данные) представляют собой числа, над которыми выпол- няется цифровая обработка. Длина слова данных определяется диапазоном чисел, обрабатываемых МП, и способом кодирова- ния (двоичный или двоично-десятичный код). Командное слово состоит из кода операции и операнда (рис. 10.2,а). Код опера- ции—это закодированное название операции, которую дол- жен выполнить МП. Совокупность кодов операции образует на- бор команд МП. Число разрядов пк. 0, выделенных для кодов операций, определяется набором А'к команд: nK, O=log2/VK. Опе- ранд— адрес информационного слова или само информационное слово. Адрес — месторасположение машинного слова в памяти. В МП используются преимущественно две формы представ- ления чисел: с фиксированной запятой — естественная форма (рис. 10.2,6) и с плавающей запятой — показательная форма (рис. 10.2,в). Прн записи чисел с фиксированной запятой поло- жение запятой фиксируется в определенном месте разрядов чис- ла (например, перед старшим разрядом или после младшего разряда) и сохраняется неизменным для всех чисел, с которыми оперирует МП. При этом старший разряд используется в ка- честве знакового, в нем записывается код знака числа (0 — поло- жительного, 1 —отрицательного). Остальные разряды числа используются для представления модуля числа: для положи- тельного числа оии соответствуют записи прямого кода, для отрицательного значения — дополнительного кода. Поэтому если машинное слово имеет п бит, то (п — 1) бит используется для представления числа Jot 0 до 2'г~1, Для представления боль- 318
шйх чисел можно использовать два или несколько слов: напри- мер, число —210 (отрицательное десятичное число) — 00102 (отрицательное двоичное число) => 1.1101 (2 — с фиксированной запятой, модуль числа в обратном коде) => 1.1110 (2 — с фикси- рованной запятой, модуль числа в коде дополнения до двух); число —2510=>—0010 01012_10 (отрицательное двоично-деся- тичное число) => 1.0111 ОЮОз.! (25 — с фиксированной запя- той, модуль числа в коде дополнения до 9). При записи чисел с плавающей запятой число выражается порядком и мантиссой (рис. 10.2,в). Числа с плавающей запя- той, как правило, нормализуются, т. е. запятая ставится пе- ред старшим разрядом мантиссы, содержащим 1. Например, Рис. 10.3 0,0025]о= 0,25 • 10-2; 0,0001012= 0,101 . 2-11. При использова- нии чисел с плавающей запятой довольно просто реализуются операции умножения п деления, при сложении н вычитании не- обходимо выполнить преобразование чисел так, чтобы они имели равные модули порядка. При такой форме записи диапазон чи- сел определяется прежде всего модулем порядка, а точность— разрядностью мантиссы. Основной функциональный блок микро-ЭВМ — процессор. Рассмотрим принцип работы процессора на примере выполнения арифметических операций (5 + 35)10. Пусть программа для вы- полнения приведенного примера находится в ячейках оператив- ной памяти с номерами 201...204, а данные — в ячейках с номе- рами 301...304 (рис. 10.3) Программа содержит следующие ко- манды: LDA — поместить содержимое ячейки памяти с адресом 301 в аккумулятор; ADD — сложить содержимое ячейки 302 с содержимым аккумулятора и результат поместить в аккумуля- тор; MUL — умножить содержимое ячейки 303 на содержимое аккумулятора; STA — загрузить содержимое аккумулятора в ячейку памяти с адресом 304. Для последовательного выпол- нения отдельных операций блок управления БУ должен обеспе- чить реализацию следующих функций: считывание команды; 319
дешифрацию кода операций; установку адреса операнда; уста- новку счетчика команд СК для выборки последующей команды; выполнение команды, заданной кодом операции. Прежде всего надо загрузить в счетчик команд адрес 201. Затем по сигналу ПУСК устройство управления передает адрес 201 в оперативную память (линия о). Командное слово, хранимое по этому адресу, пересылается в регистр команд РК устройства управления (лцния Ь). Код операции LDA дешифратором команд обеспечивает выработку соответствующих управляющих сигна- лов для загрузки в аккумулятор арифметико-логического устрой- ства АЛУ содержимого соответствующей ячейки памяти (ли- ния с). По адресу (в адресной части команды), хранимому в ре- гистре команд, извлекается через регистр адреса содержимое ячейки с номером 301 из оперативной памяти (линия d). Содер- жимое данной ячейки (число 5) передается в аккумулятор АЛУ (линия е) АЛУ выдаете устройство управления информа- цию об окончании операции (линия /), вследствие этого содер- жимое счетчика команд увеличивается на единицу, т. е. стано- вится равным 202. Рассмотренная последовательность должна повториться по командному слову, хранимому в ячейке памяти с адресом 202 и т. д. Совокупность микропроцессорных и интегральных микро- схем (ПКПП, КПДП, ППИ, ППИС, ПТ и др.), совместимых по кон- структивно-технологическому исполнению и предназначенных для использования при построении микропроцессорных систем, микро-ЭВМ и других вычислительных устройств называется микропроцессорным комплектом интегральных схем (МПК ИС). МПК состоит из четырех групп БИС; 1) базовый МПК; 2) БИС запоминающих устройств (ОЗУ, ПЗУ, ППЗУ); 3) БИС для связи между МП и УВВ (дисплеи, АЦПУ, внешние накопители информации — гибкие диски и др.); 4) БИС для связи с объектом (ЦАП, АЦП, преобразователи и т. д.). К основным параметрам МПК БИС относят: тип микроэлек- тронной технологии (КМОП, МОП, ТТЛШ, ЭСЛ, И2Л); коли- чество кристаллов, образующих МП; длину (количество разря- дов и) слова, обрабатываемого МП; быстродействие МП (такто- вая частота, время выполнения команд основных операций); емкость адресуемой памяти; эффективность системы команд (ко- личество команд, выполняемые операции, возможные способы адре- сации и т. п.); число уровней прерываний; возможность прямого доступа к памяти; номинальные параметры используемых сигна- лов; число входящих в МПК дополнительных БИС н выполня- емые ими функции; количество и уровни питающих напряже- ний; мощность рассеяния МП. По виду обрабатываемых входных сигналов различают ана- логовые и цифровые МПК. Сами МП — цифровые устройства, однако могут иметь встроенные АЦП и ЦАП. Поэтому аналого- вые сигналы передаются в МП через преобразователь в цифровой форме, обрабатываются и после обратного преобразования в ана- логовую форму поступают нл выход. Такие МП называются ана- логовыми микропроцессорами (АМП) Они выполняют функции любой аналоговой схемы (например, генерацию колебаний, фильтрацию, кодирование и декодирование сигналов в реальном времени и т. д.). Микропроцессорные БИС можно разделить на; однокри- стальные МП (табл. 10.1) с фиксированной разрядностью слова, с фиксированной системой команд и, как правило, с управля- 320
Таблица Ю 1 Обозначение Функциональное назначение п, бит ^так» МГц, (Гв, нс) < S3 = С Q £Ц С Однокристальные МП серии КР580 (п-МОП) КР580ВМ80А Центральный про- цессор 8 2,5 5; 12; —5 КР580ВВ51 Программируемый последовательный интерфейс связи 8 2 5 КР580ВВ55 Программируемый параллельный интерфейс 8, 4, 1 2 КР580ВИ53 Программируемый таймер 8, 16 2 5 КР580ВТ57 Программируемый контроллер пря- мого доступа к памяти 8, 16 2 5 КР580ВН59 Программируемый контроллер приоритетных прерываний 8 (500) КР580ВГ75 Программируемый контроллер ЭЛТ 8, 16 3 5 КР580ИР82 (83) Буферный регистр 8 (45) 5(160) КР580ВА86 (87) Шинные форми- рователи 8 (30) 5(160) КР580ВК28 (38) Системный конт- роллер и шинный формирователь 8 (60) 5(190) КР580ГФ24 Генератор такто- вых импульсов —— 27 12(12) 5(115) КР580ВВ79 Программируемый контроллер кла- виатуры и инди- кации 8 2 5 Серия К581 (п-МОП) [49: 70] К581ИК1 К581ИК2 БИС регистрового АЛУ БИС управления выполнением опе- 8, 16 16 (400) (400) 12 (25) -5 12 *5 К581РУ1 раций БИС хранения 22 (400) 4-12 микрокоманд dz5 К581РУ2 управления БИС МП ЗУ для 22 (400) 4-12 реализации стан- дартного набора ct5 системы команд И 274 321
Продолжение табл. 10,1 Обозначение Функциональное назначение п, бит Fтак» МГЦ, (Л, нс) £п, В. пот* мА) К581РУ2 БИС для расши- рения арифмети- ческих операций с плавающей запятой Серия 586 (п-MOI 2 7) [49; 70 (400) -4-12 zt:5 К586ИК1 Центральный процессор 16 2 К586ИК2 Устройство ввода- вывода 8X3 2 К586РУ1 Статическое ОЗУ 4X254 2 ±5 К586РЕ1 ПЗУ Серия К588 (КМОП Г6Х1024 ) [Hl 67; 2 81] + 12 +5 К588ВС2 Центральный про- 16 (1500) 5(1) К588ВУ2 БИС микро- программной управляющей памяти 16 (800) 5(3) К588ВГ1 БИС системного контроллера (500) 5(1) К588ИР1 БИС 8-разрядного многофункцио- нального буфер- ного регистра 8 (250) 5 К588ВР2 БИС аппаратного умножения 16-разрядных чисел 16 (2000) 5 К588ВГ2 БИС контроллера ЗУ (200) 5 К588ВТ1 БИС селекторов адреса 8 (300) 5 К588ВА1 БИС 8-разрядного магистрального приемопередат- чика 8 (150) 5 К588ВН1 БИС контроллера прерываний 7 (500) 5 (0,2) К588ВТ2 БИС контроллера прямого доступа к памяти (2000) 5 (0,8) К588ВГЗ БИС кодек муль- типлексорного канала 2/23 12 5 322
Продолжение табл. 10.1 Обозвачеине Функциональное назначение ц, бит Fтак* МГц, (Г2. нс) Еп, в (^пот» М-А) К588ВГ6 БИС адаптер мультиплексорного канала 16 12 5 К588ВГ5 БИС контроллера ЦАП 16/8 (500) 5 К588ВГ4 БИС контроллера АЦП 16/3 (500) 5 (0,5) К588ВИ1 БИС программи- руемый интерваль- ный таймер 16 (500) 5 (0,2) К588ВАЗ Усилитель-ограни- читель 1 (ЮО) 5(60) —5,2 (60) К588ВА2 Формирователь трансформаторной линии 1 (ЮО) 5 Серия К1801 (п-МОП) [17; 26; 70; 81] К1801ВМ1 Центральный про- 16 16 5(240) К1801ВМ2 цессор Центральный про- цессор 16 10 5(340) К1801ВМЗ Центральный про- цессор 16 6 5 (400) К1801ВЕ1 БИС однокри- стальной микро-ЭВМ 16 5 К1801ВП1-030 БИС управления ОЗУ (К565РУ6) 14 5 К1801ВП1-033 Бис интерфейса накопитель на ГМД интерфейса параллельного ввода-вывода 8 5(200) К1801ВП1-034 БИС устройства передачи инфор- мации, регистра данных и контрол- лера прерываний 8 5(200) К1801ВП1-035 БИС последова- тельного синхрон- ного приемопере- датчика 16 о 1 5 К1801РЕ1 БИС системного ПЗУ 16Х4К Серия К1806 (К 16 МОП) [81 (400) 5(50) К1806ВМ2 Центральный про- цессор 16 5 5 323
Продолжение табл. 10.1 Обозначение Функциональное назначение пг бит ^так» МГц, (Л> «О Еп. в (^пот> “А) К1809ВВ1 Серия К1809 (п- БИС программи- МОП) [81 8 ] 5 К1809ВВ2 руемого ввода- вывода БИС системного 4 5 К1809ВГ1 последователь- ного канала /Микроконтроллер 5 К1809ВГЗ магнитофона Микроконтроллер — 5 К1809РУ1 телевизора и маг- нитофона БИС статического 16 (400) 5 К1809РЕ1 ОЗУ 16Х1К ПЗУ 16х4к 16 (400) 5 Серия К1810 (п-МОП) [37; 43; 45; 50; 81] К1810ВМ86 Центральный про- цессор 20/16 5 5 (340) К1810ГФ84 Генератор такто- вых импульсов — 5 5(140) К1810ВГ88 Системный конт- 3 10 5 (200) К1810ВБ89 роллер Арбитр шины 3 10 5(165) К1810ВН59 Программируемый контроллер пре- рываний 8 (350) 5(90) Многокристальные МП серии К589 (К585), (ТТЛШ) [8; 63; 81] К589ИК02 Центральный про- 7/2 70 5 (200) К589ИК01 це< сор Блок микропро- граммного управ- ления 12 (ЮО) 5(200) К589ИК03 Блок ускоренного переноса 8 (40) 5(130) К589ИК14 Блок приоритетов прерывания 8 (80) 5(130) К589ИР12 К589АП16 Многорежимный буферный регистр 8 (35) 5(130) К589АП26 Шинный форми- рователь 4 (65) 5 (130) К589ХЛЧ Универсальный контроллер син- хронизации 4 (40) 5(100) 324
Продолжение табл. 10.1 Обозначение Функциональное назначение п, бит FTaK. МГц, (Г„ КС) £п> в (7пот* м^) Серия К1800 (ЭСЛ) [1; 63; 81] К1800ВС1 АДУ 4 (41) -5,2 2 К1800ВУ1 Блок микропро- граммного управ- ления 4 (25) —5,2 —2 К1800ВВ2 Универсальный контроллер син- хронизации 4 (25) —5,2 К1800ВТЗ Контроллер памяти 4 (37) —5,2 —2 К1800РП6 Двухадресная ре- гистровая память 32X9 9 (19) —5,2 К1800ВР8 Программируемый сдвигатель 16 (6) —5,2 К1800ВА4 Двунаправленный транслятор уров- ней ЭСЛ и ТТЛ 4 (6) —5,2 5 К1800ВА7 Двунаправленный магистральный транслятор 5 (5) —5,2 Серия КР1802 (ТТЛШ) [50; 63; 81] КР1802ВС1 Арифметическое 8 (140) 5 (300) устройство 5(180) КР1802ИР1 Блок регистров общего назначения 4 (60) KP18Q2BBI Схема обмена информацией 4 (80) 5 (300) КР1802ВВ2 Контроллер ин- терфейса — (8) 5(260) КР1802ВР1 Арифметический расширитель 16 (180) 5(300) КР1802ВР2 Секционный умножитель 8 (80) 5 (300) КР1802ВРЗ Умножитель 8X8 8 (140) 5 (300) КР1802ВР4 Умножитель 12Х 12 12 (145) 5(600) КР1802ВР5 Умножитель 16X16 16 (175) 5 (800) КР1802ИМ1 Параллельный 4-вхоДовой сумматор Серия К1804 (ТТЛ! 4 U) (50; 63 (55) ; 81) 5 (300) К1804ВС1 (2) Центральный про- 4 (100) 5(130) К1804ВУ1 (2) цессор Блок управления адресом микро- команд 4 (100) 5(130) 325
Продолжение табл. 10.1 Обозначение Функцион альное назначение п, бнт ^так> МГц, нс) *п. в (^ПОТ> К1804ВУЗ Контроллер последовательнос- тей микрокоманд 4—8 (50) 5(110) К1804ВУ4 Блок микро- командного управ- ления 12 (ЮО) 5 (300) К1804ВУ5 Контроллер адреса 4 (7) 5(120) К1804ВР1 Блок ускоренного переноса 4 (15) 5(200) К1804ВР2 Контроллер со- стояний 4 -j- 4 (60) 5 (300) К1804ИР1 Параллельный регистр 4 (50) 5(120) К1804ИР2 Многоцелевой буферный регистр 8 (45) 5(40) К1804ИРЗ Интерфейс ввода- вывода 8 (30) 5 (100) К1804ГГ1 Генератор син- хронизации •—- 30 5 (100) К1804ВА1 (2, 3) Магистральные приемопередат- чики 4 35 5 (100) ющим устройством со «схемной» логикой; многокристальные (секционные) микропрограммируемые МП (табл. 10.1) с изме- няемой разрядностью слова и с фиксированным набором микро- операций; однокристальные микро-ЭВМ (табл. 10.2), имеющие в своем составе центральный процессор с фиксированной струк- турой и системой команд, ОЗУ и ПЗУ; аналоговые микропро- цессоры (табл. 10.3) для цифровой обработки сигналов. Таблица 10.2 Серия омэвм Объем ПЗУ, бит памяти ОЗУ, бит Техноло- гия Число команд мкс F, МГц ! П, бит a ,J3 К1814 1024x8 64X4 р-МОП 43 20 0,3 4 -9 (7) К1816 1024X8 64X8 п-МОП 96 2,5 6 8 5(120) К1820 1024X8 64x4 п-МОП 49 4 1,6 4 5(35) КЮ13 1024X8 64X4 КМОП 53 61 0,03 4 —3 —1,5 К1801ВЕ1 1024Х 16 128x16 п-МОП 404 — — 16 5 Многокрпстальные (секционные) МП обеспечивают большую гибкость микропрограммируемые при разработке универ- 326
Таблица 10.3 <- — Обозначение Функциональное назначение л, бит ^так' МГц (Т, нс) Й CQ н • о С С 1ц КМ1813ВЕ1 ОМЭВМ цифровой обработки аналоговых сигналов 9 6 *5 К1815 МПК для цифровой обработки сигналов — — — К1815ВФ1 Универсальный процессор 16/8 (118) 5(60) 3 (300) К1815ВФ2 Накапливающий 24-разрядный сумматор с интерфейсом 24/12 (472) 5 (200) К1815ВФЗ МП для построения процессо- ра ВМФ 24 (ЮО) 1,5(300) К1815ИА1 Быстродействующее АЛУ 1 (Н8) 5 (150) К1815ИМ1 Быстродействующий 16-разряд- ный сумматор последователь- ных чисел 1 (Н8) 5(450) К1815ПР1 Преобразователь последователь- но-параллельных кодов 8, 16, 32 (И8) 5 (200) К1815ИР1 Ортогональная матрица регист- ровой памяти 4, 8, (П8) 5(450) сальных специализированных ЭВМ, реализующих различные системы команд в УОИ в реальном времени. Однако при этом пользователь должен разработать микропрограммы, реализу- ющие этн команды, и занести их в управляющую память МП. Использование микропрограммируемых МП связано с опре- деленными трудностями и требует от разработчика высокой квалификации в вопросах программирования и схемотехниче- ского проектирования. Наиболее широко используют однокри- стальные МП с фиксированной разрядностью и однокристаль- ные микро-ЭВМ. В настоящее время наибольшее распространение получили 8-разрядные МПК КР580 и 16-разрядные К1810 и К1801. По- этому рассмотрим эти типы МПК, так как построение микро- ЭВМ на базе МПК КР580, К1810, К1801 позволяет легко освоить работу других аналогичных МПК. 10.2. МИКРОПРОЦЕССОР СЕРИИ КР580 МПК КР580 предназначен для создания широкого класса средств вычислительной техники и обработки информации [3; 6; 37; 50; 64; 81]. МПК выполнен по п-МОП технологии и по напряжениям логических уровней согласуется с ИС ТТЛ. Состав базового комплекта КР580 и основные параметры приведены в табл. 10 1. Микропроцессор КР580ВМ80А представляет собой одно- кристальный 8-разрядный МП с фиксированной системой команд, обеспечивающий выполнение логических и арифметических опе- раций с 8-разрядными числами в двоичной и десятичной системах счисления. 327
Структурная схема (рис. 10,4) МП состоит из следующих блоков: арифметико-логического устройства АЛУ, блока реги- стров БР, блока управления БУ, блока синхронизации БС, блока обмена информации БОИ и интерфейса. Все блоки объеди- нены системой шив, сгруппированных в три магистрали: инфор- мационную 8-разрядную трехстабильную (три состояния — вы- сокий уровень, низкий уровень и высокоимпедансное состояние), двунаправленную шину данных ШД D0...D7, адресную 16-раз- рядную трехстабильную однонаправленную шину адреса ША А0...А15 и шину управления ШУ (четыре входных и шесть вы- ходных линий связи). Арифметико-логическое устройство обеспечивает выполнение арифметических и логических операций над 8-разрядными дан- ными, представленными в двоичном коде. В состав АЛУ входят аккумулятор А (регистр результата), временный регистр ВР. сумматор 2, регистр признаков РП, десятичный корректор ДК и временный аккумулятор В А. Аккумулятор предназначен для приема данных и хранения результата, полученного при выполнении арифметических и ло- гических операций. Временный регистр служит для приема и хранения данных на время выполнения команды. Сумматор — схема комбинационного типа с последовательным переносом — используется для выполнения арифметических и логических операций. Регистр признаков предназначен для фиксации результата выполнения команды. Его содержимое может под- вергаться анализу для выполнения команд перехода по усло- 328
Вию. В регистр признаков входят пять триггеров: триггер знака результата S (разряд D7) устанавливается в «1», если результат отрицательное число; триггер нулевого результата 1 (разряд D6) устанавливается в «1», если результат текущей операции равен 0; триггер вспомогательного переноса С' (разряд D4) устанавливается в «1» при наличии переноса из младших четы- рех разрядов в старшие; триггер четности Р (разряд D2) уста- навливается в «1», если результат содержит четное число еди- ничных битов; триггер переноса С (разряд D0) устанавливается в «1» при возникновении переноса из старшего разряда сумма- тора. Десятичный корректор предназначен для выполнения опе- раций с данными, представленными в двоично-десятичной форме. При выполнении арифметических или логических операций один из операндов пересылается из аккумулятора во временный Рис. 10.5 а 5 аккумулятор, а затем в сумматор. Второй операнд поступает из памяти или из блока регистров через внутреннюю шнну данных во временный регистр, а затем пересылается в сумматор.При этом результат операции через внутреннюю шину данных передается в аккумулятор или блок регистров, а признаки результата . запи- сываются в регистр признаков. Блок регистров предназначен для хранения и выдачи ин- формации, участвующей в процессе выполнения программы. Блок регистров состоит из статической памяти с произвольным доступом в виде семи 16-разрядных регистров (регистров общего назначения РОИ’. ВС, DE, HL), 16-разрядного временного ре- гистра 1FZ, регистра адреса РА, счетчика команд СК, указате- ля стека УС, мультиплексоров МлП1 и МлП2 и схемы инкремен- та (1/D) (декремента) адреса. Регистры общего назначения ВС, DE, HL можно исполь- зовать как шесть отдельных 8-разрядных программно доступных регистров В, С, D, Е, Н, L для временного хранения промежу- точных результатов. Содержание каждого из регистров можно передавать в АЛУ, память или между регистрами. Пары реги- стров ВС, DE, HL можно использовать как три 16-разрядных программно доступных регистра для хранения адресов, при косвенной адресации, или двухбайтовых операндов, а также для выполнения арифметических (логических) операций, где пер- вый операнд помещается в аккумулятор, а второй — во ВР (Рис. 10.5,а); и вычислений с удвоенной точностью с 16-раз- Рядными числами по команде DAD (рис. 10.5,6). 329
Временной регистр WZ программно недоступен и исполь- зуется для приема и временного хранения второго и третьего байтов команды и передачи этих операндов в регистр адреса или в регистр HL Регистр адреса предназначен для приема информации из других регистров и передачи ее на буфер адреса или на схему инкремента (декремента). Схема инкремента (декремента) позволяет увеличивать или уменьшать на единицу содержимое РОН и указателя стека, что упрощает адресацию. 16-разрядный счетчик команд СК исполь- зуется для приема и хранения адреса следующей выполняемой По программе команды, которая должна передаваться из памяти Команды Рис. 10.6 Память 6 33 Е в МП. Содержимое СК автоматически увеличивается на единицу после выборки каждого байта команды (рис. 10.6,с). Счетчик команд может загружаться содержимым регистра HL или вторым и третьим байтами команды перехода. 16-разрядный указатель стека УС предназначен для приема и хранения адреса ячейки стека, к которой было последнее обра- щение. Стек — область памяти МП, последовательность считы- вания данных из которой обратна последовательности их записи. Содержимое УС уменьшается (увеличивается) на единицу перед занесением (после извлечения) байта в стек (из стека). Стек пред- назначен в основном для временного хранения содержимого РОН, аккумулятора, регистра признаков и СК при переходе к подпрограммам. При этом содержимое СК автоматически за- гружается в стек, где оно сохраняется до конца действия под- программы, а содержимое РОН, аккумулятора и регистра при- знаков загружается по команде PUSH (рис. 10.6,6) и извле- кается по команде POP (рис. 10.6,в). Мультиплексор МлП1 служит для передачи информации с внутренней шины данных в блок регистров и обратно, мультиплексор МлП2 для передачи информации из РОН, СК и УС в РА. Блок управления вырабатывает в определенной последова- тельности микрооперации, необходимые для выполнения команд 330
в МП. БУ состоит из регистра команд РК и дешифратора команд ДШК. Регистр команд предназначен для приема кода опе- ' рации команды, поступающего в МП в такте ТЗ машинного цик- ла, и хранения кода во время выполнения команды. Дешифратор команд расшифровывает код операции команды и вырабатывает микроприказы в соответствии с микропрограммой выполнения команд. Блок синхронизации предназначен для синхронной работы всех внутренних узлов МП и синхронизирует прием и передачу потоков информации с помощью периодических импульсов, па ияваемых машинными тактами МТ и машинными циклами МЦ. В МП используется двухфазная система внешней синхронизации иеперекрывающимися импульсами Ф1, Ф2. Блок синхрониза- ции состоит из схем формирования МТ, МЦ, схемы формиро- вания сигнала сброса и схемы формирования сигнала синхро- низации. Схема формирования МТ предназначена для выработки машинных тактов (Ti—Т5), равных по длительности периоду тактовой частоты. В течение одного такта выполняется одна мик- рокоманда. Схема формирования МЦ предназначена для выра- ботки машинных циклов (Ml—М5), равных по длительности трем, четырем или пяти машинным тактам. Схема формирования сигнала сброса необходима для выработки сигнала сброса, устанавливающего все блоки МП в начальное состояние, а схема формирования сигнала синхронизации — для выработки сигна- ла синхронизации СИНХРО, определяющего начало каждого машинного цикла Блок обмена информации БОИ состоит из схем анализа пре- рываний, анализа захвата шин и анализа готовности. Схема анализа прерывания обеспечивает возможность работы МП в ре- альном времени. Она состоит из триггера разрешения прерыва- ния, который устанавливается в состояние «1» программно с по- мощью команды EI и триггера запроса прерывания. Запрос пре- рывания анализируется в последнем такте последнего машинного цикла каждой команды (кроме команды EI и DI). Схема анализа захвата шин способствует организации режима прямого доступа к памяти с помощью входного сигнала ЗАХВАТ шин и выходного сигнала ПОДТВЕРЖДЕНИЕ ЗАХВАТА. В зтом режиме МП находится до тех пор, пока действует сигнал ЗАХВАТ шии. Схема анализа готовности позволяет МП работать с памятью и внешними устройствами любого быстродействия. Это обеспе- чивается тем, что запуск такта ТЗ, который является тактом обмена информацией микропроцессора с внешними устройствами, управляется сигналом ГОТОВ. Блок интерфейса предназначен для связи МП с внешними устройствами. Он состоит из буфера адреса, буфера данных, и ши- ны управления ШУ. Буфер адреса используется для выдачи 16-разрядного адреса иа шину адреса ША АО...А15 Он обеспе- чивает адресацию внешней памяти объемом до 64 Кбайт и пред- ставляет собой однонаправленную схему с тремя устойчивыми состояниями: АО — младший разряд, А15 — старший разряд. Буфер данных служит для двустороннего обмена информацией (данными) между МП и внешними устройствами по 8-разрядной шине данных ШД D0...D7. Он представляет собой двунаправ- ленную трехстабильную схему: DO — младший разряд, D7 — старший разряд. Шина управления включает в себя сигналы приема и выдачи синхронизирующих и управляющих с 'налов между МП и внешними устройствами. К сигналам управления 331
относятся сигналы управления шиной данных, прямым доступом к памяти, прерываниями, асинхронной передачей данных, а к сигналам синхронизации —фазовые импульсы Ф/, Ф2, СИНХРО, СБРОС. Сигналы управления ШД: РАЗРЕШЕНИЕ ПРИЕМА — ПМ (DBIN) информации в МП с ШД (активное состояние — высокий потенциал) и выходной сигнал РАЗРЕШЕНИЕ ЗАПИ. СИ — ЗП (WR) информации с МП в память (активное состо- яние — низкий потенциал). Сигналы управления прямым доступом к памяти: входной сигнал ЗАХВАТ — ЗХ (HOLD) (активное состояние —высо- кий потенциал) обеспечивает запрос на прямой доступ к памяти со стороны внешнего устройства и выходной сигнал ПОДТВЕР- ЖДЕНИЕ ЗАХВАТА — ПЗХ (HLDA) разрешает допуск внеш- него устройства к ШД и ША, при этом ШД и ША микропроцес- сора переходят в высокоомное состояние. Сигналы управления прерыванием: входной сигнал ЗАПРОС ПРЕРЫВАНИЯ — ЗПР (INT) (активное состояние — высокий потенциал), который воспринимается МП только прн наличии выходного сигнала РАЗРЕШЕНИЕ ПРЕРЫВАНИЯ — РПР (1NTE). Если сигнал ЗПР воспринимается МП, то он переходит на подпрограмму обслуживания, при этом на выходе РПР уста- навливается низкий уровень, и запросы прерывания больше не воспринимаются, а МП после завершения подпрограммы обслу- живания возвращается к тому месту прерванной программы, откуда был совершен переход Сигнал управления асинхронной передачей данных: входной сигнал ГОТОВ — ГТ (READY) (активное состояние — высо- кий потенциал) разрешает работу МП и указывает на готов- ность внешнего устройства к обмену информацией с МП. Сигнал ГТ позволяет синхронизировать обмен информацией с виешиими устройствами более низкого быстродействия. При этом внешнее устройство при обращении к нему МП выдает на вход ГТ низкий потенциал и останавливает работу МП. Одно- временно МП выдает сигнал ОЖИДАНИЯ —ОЖ (WAIT) (высокий потенциал), подтверждающий вход МП в режим ожи- дания. Работа МП возобновляется при появлении высокого по- тенциала на входе ГТ Сигнал ГТ позволяет организовать ра- боту МП по машинным циклам, покомандно или с остановом по требуемому адресу МП. Сигналы синхронизации: входные сигналы фазовых непере- крывагощихся импульсов Ф/, Ф'г Выходной сигнал синхрони- зации СИНХРО — С (SYNC) определяет начало каждого ма- шинного цикла команды, во время действия которого через ШД выдается информация состояния МП. Входной сигнал СБРОС — f\ (RESET) обеспечивает начальную установку МП, т. е, прерывается выполнение операций в МП, СД и РД, а внут- ренние триггеры «Разрешения прерывания» и «Подтверждения захвата» устанавливаются в нулевое состояние. При этом во время действия сигнала R (его длительность должна быть не менее трех периодов Ф/), ШД и ША находятся в высокоомной состоянии, выходные сигналы управления переходят в неактив- ное состояние, а содержимое РОН, аккумулятора и регистра признаков остаются без изменения. Процесс выполнения команд в МП состоит из двух частей: подготовительной и исполнительной. В подготовительной части принимаются команды (состоящие из 1, 2 или 3 байтов) из ЗУ 332 linillllllllllllll I
я размещаются на регистрах МП. В исполнительной части де- шифрируется код команды. Команды МП выполняются по машинным циклам (М1...М5). В зависимости от типа команда выполняется за один — пять МЦ. В каждом МЦ микропроцессор обращается (чтение или запись) к ЗУ или УВВ. Во время машинного цикла Ml из памяти извле- кается код операции очередной команды. МЦ М2...М5 исполь- зуются для повторных обращений к памяти с целью извлечения или записи операндов. Каждый МЦ выполняется по машинным тактам (МТ). МЦ может содержать три, четыре или пять МТ (рис. 10.7). Число тактов в цикле определяется кодом выполняемой команды. Длительность каждого такта равна периоду тактовой частоты и определяется как интервал времени между двумя тактовыми импульсами Ф1. В начале каждого МЦ по фронту Ф2 МП вырабатывает сигнал СИНХРО. Для синхронизации МП с памятью или внешними устройствами он может находиться в состояниях ОЖИДАНИЕ, ЗАХВАТ, ПРЕРЫВАНИЕ, кото- рые инициируются внешними сигналами, и ОСТАНОВ, который инициируется программно по команде HLT. На рис. 10.8 изображена схема алгоритма работы МП КР580ВМ80А, показывающая последовательность перехода от такта к такту в МЦ и влияние внешних сигналов ГОТОВ, ЗА- ХВАТ и ЗАПРОС ПРЕРЫВАНИЯ на выполнение МЦ. При выполнении команды в такте Т1 содержимое СК (указателя стека Или РОН) через регистр адреса и буфер адреса выдается на ША. “ этом же такте МП выдает на ШД по фронту Ф2 информацию ° состоянии МП, которая указывает тип выполняемого машин- ного цикла (см. рис, 10.7). 333
Из такта Т1 МП переходит в такт Т2, в котором содержимое СК увеличивается на единицу, при этом содержимое регистра адреса остается неизменным до такта Т4. В такте Т2 по заднему фронту Ф2 анализируются входные сигналы ГОТОВ, ЗАХВАТ шин н сигналы состояния ПОДТВЕРЖДЕНИЕ ОСТАНОВА (Пост)- Если ня входе ГОТОВ в такте Т2 по фронту Ф/ уста- навливается напряжение логического «О» или вырабатывается сигнал состояния то МП переходит в состояния соответ- СВрос ГОТОВ V Пост Ла TZ ГОТОВ VnecmJZ~ <ГГЗахдат -- Дц НетТ HemJ^-— ^'"Сос^'-^ —^Гтояние оскида- 1 — лата то в ЗПР& РПР Состояние остонода Захёат 3а*Ват ЗахВат Состаянаё~[^] захВата _ Состояние захВата TZZ-, ЗахВат зпе&зх Т I Пост Восход из состояния ОСТАНОВ 'ЗахВат' ^ихин \jlem Ла Нет ^вьспояне-^- ~—<Гние команды за- ^^кончено .s' ----—। ЗахВат Состояние I захВата ЗахВат Ла Нет ^^заорос^--. 2^>^прерывания \ ^^-^Разрешение пре-^ ^^мВаниСг^ Установка триггера .Запрос прерывания’8.Г Рис. 108 ствеино ожидания (Гож) или останова (Гост). В состоянии ожи- дания МП находится до тех пор, пока на вход ГОТОВ не будет подано напряжение логической «1» В состоянии ОСТАНОВ МП выполняет действия, указанные при описании этого состояния. Если на входе ЗАХВАТ шин напряжение логической '!», то после окончания такта ТЗ МП переходит в состояние ЗАХВАТ шин и выполняет действия, указанные при описании этого со- стояния. После выполнения такта ТЗ цикл может закончиться или МП перейдет в такт Т4 После выполнения такта Т4 цикл также г. • жет закончиться либо МП перейдет в такт Т5. После выполнен я такта Т5 цикл закапчивается. Число тактов в цикле зависит т кода выполняемой команды. В течение тактов Т4 и Т5 вывод! «' 834
ются внутренние операции МП. В это время не происходит обра- щения к ЗУ, УВВ и не требуется подачи внешних управляющих сигналов. В конце машинного цикла анализируется сигнал ЗАХВАТ шин. Если на вход ЗАХВАТ шин подается напряжение логиче- ской «1», то продолжается выполнение состояния ЗАХВАТ шин. В противном случае анализируется окончание выполнения ко- манды. Если команда не закончена, то МП начинает выполнять следующий МЦ с такта Т1. В последнем такте последнего цикла команды по фронту Ф2 анализируется сигнал на входе ЗАПРОС ПРЕРЫВАНИЯ. Напряжение логической «1», поданное иа вход ЗАПРОС ПРЕРЫВАНИЯ, установит внутренний триггер «Запрос прерывания» в состояние «1», если на выходе РАЗРЕШЕ- НИЕ ПРЕРЫВАНИЯ имеется напряжение логической «1». При этом МП начинает выполнять действия, указанные при опи- сании состояния ПРЕРЫВАНИЕ. Если на входе ЗАПРОС ПРЕРЫВАНИЯ существует напряжение логического «О», то ЛЯП начинает выполнять первый цикл следующей команды с такта Т1. Самая длинная команда МП — команда обмена стека XTHL. В результате выполнения этой команды содержимое РОН /7Z/обменивается с содержимым двух ячеек стека. В циклах М2 и М3 извлекаются два верхних байта из стека, которые за- гружаются в регистры временного хранения WZ. В циклах М4 и М5 содержимое регистров HL загружается в стек и, на- конец, в тактах Т4 и Т5 последнего цикла М5 содержимое ре- гистров WZ пересылается в регистры HL. Действия, выполняемые МП в конкретном МЦ, определяют- ся 8-разрядной информацией состояния, которая выдается через канал данных в такте Т1 каждого МЦ. Эту информацию можно использовать для выработки сигналов обращения к ЗУ, УВВ и для организации различных режимов работы МП. Для запо- минания информации состояния ее заносят во внешний 8-раз- рядный регистр состояния по сигналу совпадения импульса Ф1 и сигнала СИНХРО (см. рис. 10.7) либо только по сигналу СИНХРО. Наименование, назначение сигналов состояния и их соот- ветствие разрядам канал данных: /7пр [D0] —лодтверждение прерывания; активный сигнал «1» используется для стробирования команды RST в МП из блока прерывания; ЗП, ВЫВ [D1] — запись-вывод; активный сигнал «0» свидетельствует о том, что в данном цикле выдается информация из МП в ЗУ или УВВ; СТЕК [D2] — операция со стеком; активный сигнал «1» свидетельствует о том, что на адресном канале установлено со- держимое указателя стека; Яост [D3] — подтверждение останова; активный сигнал «1» свидетельствует о том, что МП перешел в состояние ОСТАНОВ; ВЫВОД [D4] — вывод; активный сигнал «1» свидетель- ствует о том, что иа адресном канале установлен номер внешнего Устройства и информация выводится из регистра результата (аккумулятора) на УВВ; Ml [D5J — выборка кодов команды; активный сигнал «1» свидетелоствует о том, что в МП принимается первый байт команды; 835
ВВОД [D6]’— ввод; активный сигнал «1» свидетельствует о том, что на адресном канале установлен номер ВУ и вводится информация из УВВ в аккумулятор; ЧТЕНИЕ (D7) — чтение; активный сигнал «1» свидетель- ствует о чтении данных из ЗУ. Машинные циклы можно разбить на десять типов в зависи- мости от сочетания сигналов состояния, выдаваемых в одном цикле: 1) цикл Ml — выборка кода команды или прием первого байта команды в РК; 2) цикл ЧТЕНИЕ ЗУ — чтение ЗУ по со- держимому СК или по содержимому одного из регистров ВС, DE, HL-, 3) цикл ЗАПИСЬ в ЗУ — запись по содержимому од- ного из регистров ВС, DF, HL; 4) цикл ЧТЕНИЕ СТЕКА — чтение ЗУ по адресу, формирующимся указателем стека; 5) цикл ЗАПИСЬ В СТЕК — запись в ЗУ по адресу, формиру- ющимся указателем стека; 6) цикл ВВОД — ввод информации в аккумулятор из ВУ; 7) цикл ВЫВОД — вывод информации из аккумулятора во ВУ; 8) цикл ПРЕРЫВАНИЕ — прием кода команды RST из блока прерывания; 9) цикл ОСТАНОВ; 10) цикл ПРЕРЫВАНИЕ в режиме ОСТАНОВ — прием кода команды при выводе МП из режима ОСТАНОВ по прерыванию. Соответствие сигналов состояния типам машинных циклов приведено в табл. 10.4. Первым МЦ выполнения команды является цикл выборки кода команды — Ml (рис. 10.9). Он начинается фронтом фазы Таблица 10.4 Разряд канала данных Е0 D1 D2 D3 D4 D5 D6 D7 Машинный цикл съ с t: зп. выв СТЕК и о t; вывод S ввод | ЧТЕНИЕ Выбор кода команды Ml 0 1 0 0 0 1 0 1 Чтение ЗУ 0 1 0 0 0 0 0 1 Запись в ЗУ 0 0 0 0 0 0 0 0 Чтение стека 0 1 1 0 0 0 0 1 Запись в стек 0 0 1 0 0 0 0 0 Чтение ВУ (ввод) 0 1 0 0 0 0 1 0 Запись по ВУ (вывод) 0 0 0 0 1 0 0 0 Подтвержде- ние преры- вания 1 1 0 0 0 1 0 0 Подтвержде- ние оста нова 0 1 0 1 0 0 0 1 Подтверж- дение преры- вания в режиме ОСТАНОВ 1 1 0 1 0 1 0 0 ЗЗв
Ф1 в такте Т1. Сигнал СИНХРО вырабатывается по фронту Ф2 в такте Т1. Информация состояния выдается на шины данных по Ф2 в такте Т1 и должна быть записана во внешний регистр со- стояния по сигналу совпадения фазы Ф1 и СИНХРО. Посколь- ку цикл Ml является циклом выборки кода команды, только два разряда канала находятся в активном состоянии логической Ml СИНХРО TI Т2 ТЗ Т4 Т5 Гогов V ПРИЕМ / \ t ЗАПИСЬ D7S0 — - t (Соа паяние % к оманда — t AI3-A0 ( РА ) — t. выдача кода кома чды _Декодирода ние команды t / Рис. 10.9 «1». Разряд D5 (Ml) указывает, что выбирается первый байт команды; D7 (ЧТЕНИЕ) указывает, что байт команды выбирав!ся из внешней памяти. Содержимое СК выдается на шины адреса по Ф2 в такте Т1. Информация на адресных шинах остается не- изменной по Ф2 такта Т4. Сигналы состояния сбрасываются по мается в РК в такте ТЗ. Декодирование кода команды происхо- дит в тактах Т4 и Т5. При работе с медленнодействующей па- мятью сигнал ГОТОВ можно использовать для введения задерж- ки между тактами Т2 и ТЗ, чтобы обеспечить требуемое время выборки информации из памяти Цикл ЧТЕНИЕ ЗУ идентичен циклу выборки команды за исключением того, что сигнал состояния М.1 не вырабатывается и байт информации заносится в один из внутренних регистров МП, а не в РК (рис, 10.10), Циклы ЧТЕНИЕ — М2...М5, Един- 337
ственным сигналом состояния, выдаваемым на шинах данных логической «1», является сигнал состояния D7 (ЧТЕНИЕ). Цикл ЗАПИСЬ В ЗУ отличается от цикла ЧТЕНИЕ тем, что информация, выданная из МП, записывается в указанную ячейку памяти (рис. 10.11). МЦ ЗАПИСИ может быть любой из циклов М2...М5, в котором выполняется операция записи. Временные соотношения сигналов подобны циклам ВЫБОРКА КОМАНДЫ и ЧТЕНИЕ за исключением информации на ши- нах данных. В циклах ЧТЕНИЕ и ВЫБОРКА КОМАНДЫ мик- ропроцессор переводит канал данных в режим приема, а в цикле ЗАПИСЬ по Ф2 такта Т2 на шины данных выдается информация из МП для записи во внешнюю память и хранится состояние в течение всего такта ТЗ. Шины данных переходят в высокоим- педансное состояние по фронту Ф2 в такте, следующем за тактом ТЗ (обычно это такт Т1 следующего МЦ). МЦ ЧТЕНИЕ СТЕКА и ЗАПИСЬ В СТЕК идентичны МЦ ЧТЕНИЕ ЗУ и ЗАПИСЬ В ЗУ за исключением дополнительного сигнала состояния СТЕК, выдаваемого по шине D2 в такте Т1. Сигнал состояния СТЕК можно реализовать для организации отдельно адресуемой области памяти объемом до 64 Кбайт. Если под стековую память отведена область основной оперативной памяти, то сигнал СТЕК можно использовать для формирова- ния сигнала запрета выбора нестековой области памяти при выполнении циклов ЧТЕНИЕ СТЕКА и ЗАПИСЬ В СТЕК. Циклы ЧТЕНИЕ ВУ и ЗАПИСЬ В ВУ могут наступить только при выполнении команд соответственно IN и OUT (рис. 10.10 и 10.11). Отличие заключается в том, что на канал данных в цикле М3 в такте Т1 выдается сигнал состояния D6 (ВВОД) для цикла ЧТЕНИЕ ВУ, а на D7 и сигнал D4 (ВЫВОД) для цикла ЗАПИСЬ В ВУ. МЦ ПОДТВЕРЖДЕНИЕ ОСТАНОВА может наступить только в результате выполнения команды HLT (рис. 10.12). Этот цикл характеризуется сигналами D7 (ЧТЕНИЕ) и D3 (,ПКТ}. Остальные сигналы неактивны. Состояния микропроцессора. При работе МП может нахо- диться в состояниях ОЖИДАНИЕ, ЗАХВАТ, ОСТАНОВ и ПРЕРЫВАНИЕ. Эти состояния синхронизированы МТ, а дли- 338
тельность определяется внешними сигналами ГОТОВ, ЗАХВАТ, ЗАПРОС ПРЕРЫВАНИЯ. Состояние ОЖИДАНИЕ исполь- зуется для введения задержки между тактами Т2 и ТЗ при обра- щении к медленнодействующей памяти или УВВ, состояние ЗАХВАТ —для отключения адресных шин и шин данных не- зависимо от МП. Состояние ОСТАНОВ необходимо для про- мг Ф1 77 Т2 Тост Тост 1 I Ф2 1 1 1 1 | | 1 1 t СИНХРО / \ t прием i ож / ——— t I7-V0 (Рост о яние У — — t А15-А0 i Рис. 10.12 t граммного прекращения выполнения программы с помощью команды HLT, состояние ПРЕРЫВАНИЕ — для прерывания выполнения текущей программы по сигналу ЗПР и перехода по команде RST на подпрограмму обслуживания. Состояние ОЖИДАНИЕ можно использовать для увеличе- ния времени обращения МП к медленнодействующим ЗУ или УВВ, остановки выполнения любого МЦ в команде, чтобы про- контролировать информацию на каналах адреса и данных (обыч- но при отладке устройства и программы). Состояние ОЖИ- ДАНИЕ всегда длится целое число МТ Тож между Т2 и ТЗ. На рис. 10.13 показана временная диаграмма МЦ ЧТЕНИЕ ЗУ с состоянием ОЖИДАНИЕ, включенными между тактами Т2 и ТЗ, МП переходит в состояние ОЖИДАНИЕ при подаче па вход ГОТОВ по фронту Ф1 в такте Т2 напряжения логиче- ского «0». Анализируется сигнал ГОТОВ по спаду Ф2 в такте 339
Т2 (Тож). Причем сигнал ГОТОВ должен устанавливаться в со- стояние логического «О» не менее, чем за 120 нс до спада Ф2, В МП системе, использующей такты Тож, при обращении к па- мяти и УВВ время выполнения команды определяется следу- ющим образом: tK= Тп. + 72 Kt, где Т — период тактовой ча- стоты; п — число тактов, требуемое для выполнения команды; К. — число тактов ожидания в t-м цикле выполнения команды. Состояние ЗАХВАТ характеризуется тем, что МП заканчи- вает выполнение текущего МЦ команды и переводит шины адре- са и данных в высокоимпедансное состояние. Это позволяет ВУ, например контроллеру прямого доступа к памяти, управлять шинами без участия МП. Состояние ЗАХВАТ инициируется подачей по фронту Ф1 напряжения логической «1» иа вход Ф1 <Р2 AIS-AO Д7-В0 ЗАХВАТ/ ГОТОВ ПОДТВЕР- - /РДЕНИЕ ЗАХВАТА с ПРИЕМ . Tt Т2 Тот ТЗ Тзпхвт)т3ахВ(ТБ) Tsaxt Tt Т2 ’ РА Рис. 10.14 Данные - [Состояние t t ЗАХВАТ. Занесение внешнего сигнала ЗАХВАТ на внутренний триггер «Захвата» происходит по Ф2, если МП выполняет такт Т2 (или такт Гож) и сигнал на входе ГОТОВ в состоянии логи- ческой «1» (при условии, что в предыдущем цикле внешний сиг- нал ЗАПРОС ПРЕРЫВАНИЯ не поступил на внутренний триг- гер «Запроса прерывания»). При поступлении сигнала ЗАХВАТ на внутренний триггер «Захвата» МП выполняет следующие действия: 1) оканчивает выполнение текущего МЦ; 2) переходит в состояние ЗАХВАТ и подтверждает это выдачей сигнала ПОДТВЕРЖДЕНИЕ ЗА- ХВАТА. Если текущий МЦ — ЧТЕНИЕ, то сигнал ПОД- ТВЕРЖДЕНИЕ ЗАХВАТА выдается по фронту Ф1 в такте ТЗ, а для МЦ записи сигнал ПЗХ выдается по фоонту фазы Ф1, следующем за тактом ТЗ (это может быть такт Т4 текущего МЦ или первый такт состояния ЗАХВАТ) Шины адреса и данных переходят в высокоимпедансное состояние (отключаются от системных шин и не оказывают влияния на информацию, кото- рая пересылается по каналам адреса и данных); 3) после оконча- ния действия сигнала ЗАХВАТ (сигнал переходит в состояние логического «0» по фронту Ф]) МП выполняет следующий МЦ прерванной команды или первый цикл новой команды с такта Т1. На рис. 10 14 изображена временная диаграмма перевода МП в состояние ЗАХВАТ в цикле ЧТЕНИЕ. Для избежания сбоя в работе МП при вводе его в состояние ЗАХВАТ необхо- димо, чтобы сигнал ЗАХВАТ был установлен в состояние логи- 340 Ш1 uiilul
ческой «1» за время не менее, чем 120 нс до спада фазы Ф2 Это требование можно выполнить синхронизадней внешнего сигнала ЗАХВАТ фронтом фазы Ф1 или фазы Ф2. При этом сигнал ПОД ТВЕРЖДЕНИЕ ЗАХВАТА выдается по фазе Ф1 в такте ТЗ Шины данных и адреса переходят в высокоомное состояние по фронту фазы Ф2 в такте ТЗ Если текущий МЦ содержит такты Т4 и Т5, то они выполняются, хотя МП и перешел в состо яние ЗАХВАТ (в состоянии ЗАХВАТ блокируется запуск йа ШИИН01 о цикла с такта Т1. но не блокируется выработка тактов в текущем цикле). Сбро: внешнего сигнала ЗАХВАТ можно ин- хронизировать фронтом фазы Ф1. На рис. 10.15 показана временная диаграмма перехода МП в состояние ЗАХВАТ в цикле ЗАПИСЬ В этом случае состо- яние ЗАХВАТ наступает по фазе Ф1 в такте, следующем а так- том ТЗ. Шина данных переходит в высокоимпедансное состо- яние но фазе Ф2 такта, следующего за тактом ТЗ. В систему команд МП введена команда HLT (ОСТАНОВ), при выполнении которой прекращается выполнение программы и МП переходит в состояние ОСТАНОВ. Состояние ОСТАНОВ (Т0С1) характеризуется тем, что шины адреса и данных перехо- дят в высокоимпедансное состояние и на выходе ОЖИДАНИЕ устанавливается напряжение логической «1». В это состояние МП переходит при выполнении команды HLT в МЦ ПОДТВЕР- ЖДЕНИЕ ОСТАНОВА после такта Т2 (рис. 10 16). Состояние ОСТАНОВ отличается от состояния ОЖИДАНИЕ следующим: состояние ОСТАНОВ инициируется выполнением команды HLT, а состояние ОЖИДАНИЕ — подачей напряже- ния логического «0» на вход ГОТОВ, такты Тож можно ввести между тактами Т2 и ТЗ в любом МЦ, а такты Тост —только в МЦ ПОДТВЕРЖДЕНИЕ ОСТАНОВА, которым является МЦ М2, если команда, принятая в цикле Ml, расшифрована как HLT. Состояние ОСТАНОВ можно прерывать в трех слу- чаях (рис. 10.17). 1. При подаче на вход ЗАХВАТ напряжения логической «1». При этом МП переходит в состояние ЗАХВАТ, о чем свидетель- ствует сигиал ПОДТВЕРЖДЕНИЕ ЗАХВАТА, ио сигнал ОЖИ- ДАНИЕ остается в состоянии логической <!». После окончания состояния ЗАХВАТА МП переходит в состояние ОСТАНОВ, 341
2. При подаче иа вход ЗАПРОС ПРЕРЫВАНИЯ напряже- ния логической «1», если до команды HLT выполнялась коман- да EI, разрешившая прерывание, т. е. триггер «Запроса преры- вания» был установлен в г1». В этом случае МП выполняет MU подтверждения прерывания при останове в соответствии с описанием состояний прерывания 3. При подаче на вход СБРОС напряжения логической «1» МП начинает работу с такта Т1 цикла Ml (СК устанавливается в состояние «О»). Рис. 10.16 Временная диаграмма соотношения входных сигналов ЗА- ХВАТ и ЗАПРОС ПРЕРЫВАНИЯ в состоянии ОСТАНОВ по- казана на рис. 10.18. Если МП находится в состоянии ЗАХВАТ, то поступление сигнала ЗАПРОС ПРЕРЫВАНИЯ на внутрен- ний триггер «Запроса прерывания» блокируется. Если внутренний триггер «Запроса прерывания» установлен в «1», то поступ- ление сигнала ЗАХВАТ на внутренний триггер «Захвата» бло- Рис. 10.17 кируется на время, пока внутренний триггер «Запроса преры- вания» не сбросится в состояние «0», т е до окончания выпол- нения только первого цикла — цикла чтения команды RST. По окончании состояния ЗАХВАТ завершается выполнение прерванной подпрограммы обслуживания прерывания. Система прерываний. МП содержит схемы, позволяющие ВУ прервать выполнение текущей программы н перейти на вы- полнение новой программы, которая называется подпрограммой обслуживания прерывания. Когда выполнение подпрограммы обслуживания заканчивается, МП переходит к выполнению ос- новной программы с команды, которая должна выполняться, если бы не произошло прерывания (рис. 10.19,а). 342
Для перехода на подпрограмму обслуживания прерывания выполняются следующие действия: 1) внешний сигнал ЗАПРОС ПРЕРЫВАНИЯ поступает на схему прерывания, если преры- вание разрешено, сигнал РАЗРЕШЕНИЕ ПРЕРЫВАНИЯ на- ходится в состоянии логической «I»; 2) заканчивается выпол- нение текущей команды; 3) выдается сигнал состояния ПОД- ТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ, сигнализирующий, что МП принял запрос прерывания и готов обработать запрос прерыва- вия; 4) сбрасывается в состояние логический «О» выходной сигнал РАЗРЕШЕНИЕ ПРЕРЫВАНИЯ; 5) ВУ, выдавшее сигнал ЗАПРОС ПРЕРЫВАНИЯ, обеспечивает выдачу на шину данных команды, определяющей адрес подпрограммы обслуживания; Рис. 10.18 6) содержимое СК запоминается в стеке; 7) адрес новой команды подпрограммы обслуживания записывается в СК. После окончания обслуживания подпрограммы прерывания МП возвращается в основную программу следующим образом: 1) выполняется команда возврата RET; 2) адрес команды ос- новной программы, перед которой произошло прерывание, вы- бирается из стека и используется для выборки команды; 3) адрес команды инкременируется, и результат засылается в СК- В МП используются три сигнала управления прерыванием: 1) РАЗРЕШЕНИЕ ПРЕРЫВАНИЯ — выходной сигнал уста- навливается в состояние «1» по команде EI (разрешение преры- вания), показывающий готовность МП к прерыванию; 2) ЗАПРОС ПРЕРЫВАНИЯ — входной сигнал, используемый для подачи запроса прерывания; 3) ПОДТВЕРЖДЕНИЕ^ ПРЕРЫВА- НИЯ — сигнал состояния, являющийся реакцией МП на сигнал ЗАПРОС ПРЕРЫВАНИЯ. После обнаружения логической «1» на входе . ЗАПРОС ПРЕРЫВАНИЯ МП заканчивает выполнение текущей команды и выполняет МЦ ПОДТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ. При »том выходной сигнал РАЗРЕШЕНИЕ ПРЕРЫВАНИЯ сбра- 343
Прерывание — Выполнение основной программы Ф! Птз Продолжение Выполнения основной программы Выполнение подпрограммы оВслуниВания прерывании а I I fl Ч>2 А/5-А0 ----- В7-В0 1 синхро ПРИЕМ ЗАПИСЬ I--- Разрешение прерывания Запрос _ прерывания / Внутренний триггер Г „Запрос —' прерывания" Рис. 10.19

сывается в состояние логического «О» по фронту Ф2 такта Т1, 0 может быть сброшен также подачей на вход СБРОС напря- жения логической «1» или выполнением команды DI (запрет прерывания). Входной сигнал ЗАПРОС ПРЕРЫВАНИЯ может быть установлен в состояние логического «О» в любой момент после начала МЦ ПОДТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ, ио обязательно до выполнения команды EI. Если ЗАПРОС ПРЕ- РЫВАНИЯ не будет установлен в состояние логического «О», то появляется возможность прерывания, т. е. выполнения нового цикла ПОДТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ. Для сброса внеш- него сигнала ЗАПРОС ПРЕРЫВАНИЯ можно использовать сигнал состояния ПОДТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ, ко- торый выдается на DO в такте Т1 по фазе Ф2. Л^ашинный цикл ПОДТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ ха- рактеризуется наличием напряжения логической «1» на выхо- дах DO (Z7np) и D5 (Ml) при выдаче информации состояния (рис. 10.19,6). Переход на подпрограмму обслуживания преры- вания инициируется внешним сигналом ЗАПРОС ПРЕРЫВА- НИЯ, который должен установиться в состояние логической «1» за время не менее, чем 120 нс до спада фазы Ф2 в последнем такте последнего МЦ текущей команды. Следующим выполняется цикл ПОДТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ, в котором прини- мается один байт информации от ВУ. Принятый байт записы- вается в РК и интерпретируется как код команды. В МЦ ПОДТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ выполняются следующие действия: 1) выходной сигнал РАЗРЕШЕНИЕ ПРЕРЫВАНИЯ сбра- сывается в состояние логического «0» по фронту Ф2 в такте Т1, и блокируется поступление новых запросов прерывания; 2) со- держимое СК выдается на шины адреса, но не инкрементируется в этом цикле; 3) сигнал состояния ЧТЕНИЕ не выдается; 4) ВУ, выдавшее адрес прерывания, во время действия сигнала ПРИЕМ, обеспечивает подачу команды прерывания на шину данных пу- тем стробирования сигналом состояния /7пр (D0 = 1) и воспри- нимается МП как слово данных, которое принимается РК в такте ТЗ. Обычно команда, формируемая прерывающим устройством, является командой перехода на подпрограмму обслуживания. В системе команд МП такой командой является команда RST, формат которой 11ААА111, где ААА —вектор прерывания. Эта команда представляет собой однобайтную инструкцию пере- хода на подпрограмму н обеспечивает сохранение содержимого СК в стеке н занесение в СК начального адреса подпрограммы 0000 0000 00AA А000. Для выбора одного из восьми фиксирован- ных адресов перехода на подпрограмму прерывания исполь- зуется трехбиговый вектор ААА. В табл. 10.5 приведены восемь векторов команды прерывания и соответствующие адреса В восьми байтах памяти, отведенных для каждого прерыва- ния, можно записать начало подпрограммы обслуживания пре- рывания. Последней выполняемой командой в этой подпрограм- ме обычно является команда возврата RET из подпрограммы, которая передает управление прерванной программе. Для продолжения основной программы подпрограмма обслу- живания прерывания должна сохранять, а затем восстанавли- вать содержимое всех регистров, используемых в основной программе. Так как прерывание сбрасывает внутренний триггер 345
Таблица 10.5 Команда Вектор прерыв ання ААА Адрес подпрограммы прерывания десятичный шестнадца- теричный восьмеричный RSTO ООО 0000 0000 000 000 RST1 001 0008 0008 000 010 RST2 010 0016 0010 000 020 RST3 он 0024 0018 000 030 RST4 100 0032 0020 000 040 RST5 101 0040 0028 000 050 RST6 по 0048 0030 000060 RST7 111 0056 0038 000070 прерывания в «О», по окончании подпрограммы обслуживания прерывания необходимо установить командой EI триггер пре- рывания в «1», чтобы дать возможность МП обрабатывать сле- дующие запросы на прерывание. Таким образом, подпрограмма обслуживания прерывания может иметь следующий вид: PUSH В PUSHD PUSH Н PUSH RSW EI Участок подпрограммы, обслуживания В У Загрузить содер- жимое РОН осно- вной программы в стек POPPSW POP н POPD POP В Восстановить РОН основной ' программы Разрешить преры- вание EI Разрешить пре- рывание RET Возврат Если в подпрограмме обработки прерывания триггер пре- рывания установлен командой EI в состояние «1», то выполне- ние этой подпрограммы, в свою очередь, можно прервать запро- сом на прерывание от другого ВУ. Максимальное количество прерываний описанным способом равно восьми. Временная диаграмма выполнения команды RST показана иа рис. 10.19,6. Цикл Ml — это цикл подтверждения прерыва- ния. В этом цикле ВУ передает в МП команду RST, которая дешифрируется в тактах Т4 и Т5, и содержимое УС декременти- руется. В циклах М2 и М3 содержимое СК записывается в па- мять по указателю стека, а в СК формируется адрес подпрограм- мы обслуживания из разрядов, содержащих вектор прерывания. ВУ имеет возможность подавать в МП не только команду RST, ио и команду CALL (Aj ) ( А2). Получив команду CALL, МП автоматически переходит в режим ввода двух байт адреса (Аг) и ( Аг) в циклах М2 и М3. После этого сохраняется содержимое СК в стеке и МП обращается к началу подпрограм- мы обслуживания. Начало подпрограммы указано во втором и третьем байтах ((А1)(А2)) команды CALL. Если МП находится в состоянии ЗАХВАТ или ОЖИДА- НИЕ, то прерывание не воспринимается, но МП может пере- йти в эти состояния нз цикла ПОДТВЕРЖДЕНИЕ ПРЕРЫ- ВАНИЯ. 846
Машинный цикл ПОДТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ ПРИ ОСТАНОВЕ выполняется при поступлении ЗАПРОСА ПРЕРЫВАНИЯ в то время, когда МП находится в состоянии ОСТАНОВ. Машинный цикл ПОДТВЕРЖДЕНИЕ ПРЕРЫ- ВАНИЯ ПРИ ОСТАНОВЕ аналогичен машинному циклу ПОД- ТВЕРЖДЕНИЕ ПРЕРЫВАНИЯ. Единственное отличие заклю- чается в том, что по фазе Ф2 в такте Т1 на шинах данных выдает- ся дополнительный сигнал — состояния ПОДТВЕРЖДЕНИЕ ОСТАНОВА Система команд МП. Микро-ЭВМ на основе серии КР580 представляет собой массив программно доступных регистров, Внешние регистры Внутренние регистры МП Регистр данных Регистр управления Рис. 10.20 Память Средства В В Адрес над содержимым которых выполняются указанные в командах операции (рис. 10.20). Эффективность программы определяется полнотой системы команд МП и умением применять эту систему команд при решении поставленной задачи. Программа состоит из последовательности команд, размещенных в памяти, которую можно представить как последовательность ячеек размером один байт. МП последовательно байт за байтом считывает содержимое ячеек памяти, адрес которых указывает сч<. 1чьк команд, де- шифрирует их и выполняет считанную команду. Особенностью программной модели (рис. 10.20) МП яв- ляется возможность программного объединения 8-битовых РОН g, С, D Е, Н, L в 16-битовые регистровые пары ВС, DE, HL. d™ пары могут хранить 16-битовые операнды или выступать в качестве указателей памяти. Основным указателем памяти служит ///.-пара и ячейка памяти, адрес которой определяется содержимым этой пары и обозначается М, Программно доступ- ными являются также 16-битовые счетчик команд, указатель 347
стека, слово-состояние AF—PSW (аккумулятор А и регистр признаков F) и триггер «Разрешения прерывании», а также признаки переноса С, знака S, нулевого результата Z и четно- сти Р. МП можно адресовать до 256 регистров ввода, 256 реги- стров вывода, а также 64 Кбайт памяти. Формат Команды Однобайтная команда |ДО' ' XH0\^°Sоперации Возможные адоесные поля Регистровые, арифметически сдвигов, операцъ со стеком Двухбайтная команда Байт / \ВТ1 ' 1 ' ' 1 'ДО | Код операции Байт2 |до' 1 1 ' 1 1 'т\°^д ипи С непосредст- венным операн. дом, ввода - Вывода Трехбайтная команда Байт 1 | Д7' ' ' ' 1 ‘ 1 ДО |Код операции |и' 1 1 1 Переходов и вы сова подпрограь мы, загрузки регистров и па- мяти, с прямой адрессицеа Рис. 10.21 Команда МП может содержать один, два или три байта (рис. 10.21). Первый байт команды всегда содержит код опера- ции, а в остальных двух байтах размещаются операнды или ад- реса операндов. Адресация может быть прямая, непосредствен- ная, регистровая, косвенная. Рис. 10.22 При прямой адресации исполнительный адрес операнда содержится непосредственно в команде (рис, 10.22). В первом байте расположен код операции, а во втором и в третьем бай- тах — адрес операнда. С помощью прямой адресации можно обращаться к любой ячейке памяти, однако многобайтные ко- манды занимают много места в памяти, а их выполнение требует многократного обращения к памяти. 348
При непосредственной адресации во втором или во втором я в третьем байтах команды непосредственно содержится опе- ранд (данные) или адрес, заносимый в РОН (рис. 10.23). Этот способ адресации используется при работе с константами. 0155 0156 МУ!г Вг-В ^Память N Содер- жите НУ1р\~00 jBBBlf f 0 I 0 0 1 . г ВВС ------------------ В ООО С 001 ВОЮ Е 011 НЮО L 101 М 110 А 111 1 Второй байт Вг команды MVIP передается д регистр г (ВЕЕ) РОН Вг Рис. 10 23 Память Содер- жимое Pt Рг моур,,ргloo (ГД J |sTT] 00 0 0 0 1 Содержимое регистра р2 передается д регистр rt при зтом содержимое Гг не изменяется I сн | PH U___ -Гл* I - [ в 1 с ~\н-02 ! £=55 7=гт~ I 02 РА- 35 Рис. 10.25 N 0183 М.0Ус,М 0235 В При MDVp,MlOf iBBBlf 1 5| 0 0 I Содержимое м с адресом [H, L] передается S регистр г регистровой адресации ад- реса РОН, участвующие в опера- ции, содержатся в байте кода опе- рации (рис. 10.24). Регистровая адресация используется для адре- сации одно- или двухбайтовых слов, содержащихся в РОН. При косвенной адресации в однобайтной команде указывается аДрес, по которому хранится исполнительный адрес опе- ранда (рис. 10.25). Исполнительный адрес операнда, т. е. Указатель памяти, располагается в одной из пар РОН ВС, DE, HL, код которых указывается по команде. Косвенную адреса- цию широко используют в циклических программах, например "PH обработке регулярных структур данных типа массивов. ° Указатель памяти сначала загружается базовый (начальный) ДРес массива, а переход к последовательным элементам масси- 349
ва осуществляется с помощью инкремента указателя памяти, В командах загрузки стека и извлечения из стека также приме- няют косвенную адресацию При атом исполнительным адресом является указатель стека. Базовая система команд МП КР580ИК80А содержит 111 ко- манд (табл. 10.6). Классификация команд МП по формату, архи- тектурным и функциональным признакам показана на рис. 10.26. В зависимости от функционального назначения систему команд МП можно разделить на следующие группы: команды передачи (пересылки) данных, команды арифметических и логических операций, команды управления программой, команды стека, команды управления МП [25; 48; 59; 70]. Команды передачи (пересылки) данных обеспечивают дву- сторонний обмен информацией между внешней памятью и блоком РОН или между различными регистрами блока РОН. Группа команд передачи содержит следующие команды: пересылки байта данных MOV DS между регистрами В, С, D, Е, И, L, т. е. содержимое регистра-источника S пересылается В регистр-получатель D без изменения содержимого регистра- источника S или между РОН и памятью MOV М, г (г—>- М по адресу HL), MOV г, М (М [Н, L]-> г); непосредственной загрузки РОН MVI г (<В2) —> г) или ячеек памяти MVI М (<В2) -> М [Н, L]); прямой загрузки аккумулятора LDA ([(В3) (В2) ]—>А) или по адресу в паре регистров ВС, DE, LDAX {[ВС]—>- А); прямого запоминания содержимого аккумулятора STA (А-* -> [(В3) (В2)]) или по адресу в паре регистров ВС, OB'STAX (А—> [ВС]); 350
команду загрузки регистров LHLD ([( В3} (В2)]->- L, f/В,) (В2) + 1]-> #) и команду запоминания содержимого HL SHLD (L-*- [<В3> <В2>], Н-^ [<В3> ( В2> + 1]); непосредственной загрузки регистровых пар В, D, И и УС SXI В«В2)-*С, <В>3-> В); загрузки указатели стека SPHL (HL-> УС) и счетчика ко- манд PCHL (HL-> СК); команду обмена между регистрами HL и DE XCHG (Н о D, Lo Е), и команду обмена XTHL содержимого регистров НЕ и содержимого М с адресом [УС + 1, УС]. Команды арифметических операций позволяют выполнять операции сложения и вычитания 8-битовых операндов. В этой группе команд адресуется только один операнд, второй операнд находится в аккумуляторе, при этом результат сложения загру- жается в аккумулятор, и признаки устанавливаются в регистре признаков F. Операции умножения и деления, а также операции с другими форматами данных реализуются подпрограммами, что приводит к значительному уменьшению производительности МП. Группа арифметических команд содержит следующие ко- манды: сложения содержимого аккумулятора с содержимым РОН ADDr (А + г—>- А) или ячейки памяти М [Н, L]ADD М (А + -j- М [Н, L]—► А) или со вторым байтом (В2) команды ADI (А + (В2)—»• А); сложения с переносом ADC г (А + г + С—> A); ADC М (А + + М [Н, L] + С—>• A), ACI (А + <В2} + С—► А), что позво- ляет реализовать сложение многобайтных чисел; вычитания из содержимого аккумулятора байта данных РОН SUB г (А—г)—>- А или ячейки памяти М [Н, L] SUB Л) (А — —М [Н, L]—► А) или второго байта (В2) команды SUI (А— — <В2}-> А); вычитания с заемом SBB г (А—г—С—>• A), SBB М (А — - М [Н, L] — С-^- A), SBI (А — <В2» — С-+ А), что позво- ляет реализовать вычитание многобайтных чисел; сложения двухбайтовых слов DAD гр (HL, грHL), при этом суммируется содержимое регистра НЕ с содержимым реги- стровой пары гр РОН ВС, DE, HL, УС, а результат заносится в регистр НЕ и устанавливается бит переноса С (см. рис.10.5,б); инкремента (увеличения) / декремента (уменьшения) на единицу содержимого РОН А, В, С, D, Е, Н, L, памяти М INR г (г + 1->г) / DCR г(г — 1 —>-г) или регистровой пары ВС, DE, НЕ, УС INXrp(rp+ 1->гр) / DCXr (гр— 1—>гр); команду десятичной коррекции аккумулятора DAA, кото- рая используется при сложении двоично-десятичных чисел. Коррекция осуществляется следующим образом: 1) если млад- шие 4 бита аккумулятора представляют число больше 9 или признак С'= 1, то к А прибавляется число О61о, в противном случае содержимое аккумулятора не изменяется; 2) если стар- шие 4 бита аккумулятора представляют число больше 9 и если признак С — 1, то к старшим 4 битам прибавляется число 610. Следует заметить, что команда DAA не корректирует результат двоичного вычитания. Команды логических операций выполняют операции буле- вой алгебры, при этом указанные команды адресуют только один операнд, второй операнд находится в аккумуляторе, туда же загружается результат операции. По результату операции моди- фицируются состояния всех признаков регистра F, кроме при- 351
Таблица 10.6 № п/п Обозначе- ние ком анды Наименование команды Код Признаки Число Содержание команды Байт СО О X Т актов Время, I мкс с Z S R С' Команды передачи 1 MOVi-L г2 Пересылка из Г2 В Г) IDS 16S — 2 MOV М ,г Пересылка из г в память .'И —• — — — —, 3 MOV г, М Пересылка из памяти М в г 1D6 — 4 MVI г Посылка в г 0D6 —. — — — —“ 5 MVI М Посылка в хМ 066 — — — — —“ 6 LDA Загрузка А (прямая) 072 — 7 STA Загрузка М (прямая) 062 — — — — — 8 LHLD Загрузка (Н) и (L) содержимым М 052 — — — — — 1 1 5 2,5 (г2)-»-(г1). Содержимое г2 передается в 1 2 7 3,5 гь при этом содержимое г2 не изменяемся (г)-<-(М). Содержимое г передается в М 1 2 7 3,5 с адресом (Н, L) (М)->(г). Содержимое М с адресом (Н, 2 2 7 3,5 L) передается в регистр г <;В21>(г). Второй байт команды В2 е 3 10 5,0 передается в регистр г <В2>-»-(М). Второй байт команды В2 3 4 13 6,5 передается в ячейку памяти М с адресом (Н, L) [<В3> <В2>] -> (А). Содержимое 3 4 13 6,5 ячейки памяти, адрес которой опре- деляется 2-м и 3-м байтами команды по- мещается в А (А)-> [<В3> <В2>]. Содержимое А 3 5 16 8,0 помещается в М, адрес которой опреде- ляется 2-м и 3-м байтами команды [<B3><B2>]-*(L), [<Вз><В2> + 4-1] -* (Н). Загрузить регистры Н и L из М с адресом, указанным в байтах В2 и В3 команды
к? 9 SHLD Запоминание Н и 042 — — — — — 3 to L по адресу [<В3> <В2> ] 10 LXI В Загрузка В и С 001 — —- — — — 3 11 LXI D Загрузка D и Е 021 — — — — — 3 12 LXI Н Загрузка Н и L 041 — — __ — — 13} LXI SP Загрузка УС 061 — — — — —. 3 14 LDAX В Посылка в А со- держимого М 012 — — — — I 15 LDAX D с адресом [(В) (С)] Посылка в А со- 032 — ,— — —. 1 держимого М 16 STAX В с адресом [(D) (Е) ] Запоминание А 002 —» — — г— 1 17 STAX D по“адресу [(В) (С)] Запоминание А 022 — — — 1 с>-> СП СП по адресу [(D) (Е)]
(L)-Н<В3ХВ2>], (H)->[<B3> <В2> + 1]. Содержимое Н и L записы- вается в М по адресу, указанному в В2 и В3 команды <_В2>->-(С), <В3>-*(В). Содержимое 2-го байта команды помещается в С, а 3-го байта команды — в В <В2>(Е), <B3>->(D). Содержи- мое 2-го байта команды помещается в Е, а 3-го байта команды — в D <B2>->(L), <Вз>->(Н). Содержи- мое 2-го байта команды помещается в L, а 3-го байта команды — в Н <В2> -> (УС)МЛ, <В3>(УС)ст. Со- держимое 2-го банта команды помеща- ется в младшие разряды УС, а 3-го бай- та — в старшие разряды УС [(В) (С) ] -> (А). Содержимое М, адрес которого определяется содержимым ре- гистров В и С, записывается в А [(D) (Е)] (А). Содержимое М, адрес которого определяется содержимым ре- гистров D и Е, записывается в А (А"*[(В)(С)]. .Содержимое А записы- вается в М, адрес которой определяется содержимым В и С (A)->-[(D) (Е)]. Содержимое А записы- вается в М, адрес которой определяется содержимым D и Е
05 Продолжение табл. 10.6 № п/п Обозначе- ние команды Н анменование команды Код Признаки Число Содержание команды Байт ЙЭ о Тактов 1 Время, ; МКС С 2 S р С' 18 XCHG Обмен содержи- мым регистров 353 — — — — — 1 1 4 2,0 (Н)<-> (D), (L) «-> (Е). Происходит об- мен между содержимым регистров Н, D и L, Е 19 XTHL Обмен содержи- мым регистров и стека 343 '— — 1 5 18 9,0 (L) +-> [УС], (Н) о [УС+1], Происходит обмен между содержимым регистров Н, L и содержимым М с адресом [УС+1], [УС] (Н), (L) -> (УС). Содержимое Н и L по- мещается в указатель стека. Содержимое Н и L не изменяется 20 SPHL Загрузка УС 371 — 1 1 5 2,5 21 PCHL Загрузка СК 351 Ко ман ды ари 1 р.ме 1 тич 5 еские 2,5 (Н), (L) -* (СК). Содержимое Н поме- щается в старшие разряды СК, a L — в младшие разряды СК. Содержимое Н и L не изменяется. 22 ADD г Сложение А и г 20 S + + + + + 1 1 4 2,0 (А) + (г) -> (А). Содержимое А и г скла- дывается, результат помещается в А 23 ADD М Сложение А и М 206 + + + + 4- 1 2 7 3,5 (А) + (М) -* (А). Содержимое А и М складывается, результат помещается в А 24 ADC г Сложение А и г с переносом 21S + + + 1 1 4 2,0 (А) + (г) + (С) (А). Содержимое А, г и триггера переноса С складывается, ре- зультат помещается в А
25 ADC M Сложение А н M н с переносом 216 + + + 4- 26 ADI Сложение A co 2-м байтом команды 306 + + + 4- 27 A CI Сложение A co 2-м байтом команды и с пе- реносом 316 + 4- 4- 28 DAD В Сложение регист- ров В и С с реги- страми Н и L он + 29 DAD D Сложение регист- ров D и Е с реги- страми Н н L 031 + — — — 30 DAD H Двойное сложение регистров Н и L 051 + — — 31 DAD SP Сложение УС с ре- гистрами Н и L 071 + — — — CO СП СП 32 SUB r Вычитание из А регистра г 22 + 4- 4- 4-
1 2 2 2 1 3 1 3 1 3 I 3 1 1 7 7 7 10 10 10 10 4 3,5 3,5 3,5 5,0 5,0 5,0 5,0 2,0 (A) + (M)+ (С) -> (А). Содержимое A, M и триггера переноса С складывается, ре- зультат помещается в А (А) + <В2Т>—>(А). Содержимое А и 2-го байта команды <В2> складывает- ся, результат помещается в А (А) + <В2> + (С)—*-(А). Содержимое А, 2-го байта команды <В2> и триггера переноса С складывается, результат по- мещается в А (В) (С) + (Н) (L) -► (Н) (L). Содержимое в регистрах В и С складывается с Н и L, Результат заносится в Н и L. Содержи- мое А не изменяется (D) (Е) + (Н) (L) (Н) (L). Содержимое в регистрах D и Е складывается с Н и L. Результат заносится в Н и L. Содержи- мое А не изменяется (Н) (L) + (Н) (L) -> (Н) (L). Содержимое в регистрах Н и L складывается с самим собой. Результат заносится в Н и L. Со- держимое А ие изменяется (Н) (L) + (УС) (Н) (L). Содержимое в регистрах Ни! складывается с УС. Ре- зультат заносится в Н и L. Содержимое А не изменяется (А) — (г)-*- (А). Содержимое г вычита- ется из содержимого А, результат поме- щается в А
co О1 о № п/п Обозначе- ние команды Наименование команды Код С Признаки Z S р ° 33 SUB м Вычитание из А памяти М 226 + + + + + 34 SBB г Вычитание из А регистра г с за- емом 23S + + -Г + 35 SBB м Вычитание из А памяти М с за- емом 236 4- + + + 4- 36 SBI Вычитание 2-го байта из А с пере- носом 336 + -1- + 4- + 37 SUI Вычитание 2-го байта команды из А 326 4- 4- + -4- -1 38 DCR г Декремент ре- гистра г 5 — + + 4- + 39 OCX в Декремент регист- ров В, С 013 — — — — — 40 DCX D Декремент регист- ров D, Е 033
Продолжение табл. 10.6 Байт 1 | Циклов .с нсло Содержание команды Тактов Время, мкс 1 1 7 3,5 (А) — (М) -> (А). Содержимое М вычи- тается из содержимого А, результат по- мещается в А 1 1 4 а,0 (А) — (г) — (С)->(А). Содержимое г и триггера переноса С вычитается из А, результат помещается в А 1 2 7 3,5 (А) — (М) — (С) (А). Содержимое М и триггера переноса С вычитается из А, результат помещается в А 2 7 3,5 (А) — <В22> — (С) -> (А). Содержимое 2-го байта команды <В2> и триггера переноса С вычитается из А, результат помещается в А 2 2 7 3,5 (А) — <В2> -* (А). Содержимое 2-го байта команды <В25> вычитается из А, результат помещается в А 1 1 5 2,5 (г) — 1-* (г). Содержимое регистра г уменьшается на 1 1 1 5 2,5 (В) (С) — 1 (В) (С). Содержимое ре- гистров В, С уменьшается на 1 1 1 5 2,5 (D) (Е) — 1 -* (D) (Е). Содержимое ре- гистров D, Е уменьшается на 1
41 DCX H Декремент ров H, L регист- 053 — — — — — 1 I 5 2,5 (H)(L) — 1 -> (И) (L). Содержимое ре- гистров И, L уменьшается на 1 42 DCX SP Декремент УС 073 — — '— — — 1 1 5 2,5 (УС) — 1 -> (УС). Содержимое УС умень- шается на 1 43 DCR M Декремент памяти 065 — + + + + 1 3 10 5,0 (М) — I (М). Содержимое памяти М уменьшается на 1 44 INR r Инкремент гистра г ре- 0D4 — + + + + I 1 5 2,5 (г) + 1 -* (г). Содержимое регистра г уве- личивается па 1 45 INX В Инкремент ров В, С регист- 063 — — — — — 1 1 5 2,5 (В) (C)-(-l (В) (С). Содержимое реги- стров В, С увеличивается на 1 46 INX D Инкремент ров D, Е регист- 023 — — — — I I 5 2,5 (D) (Е) + 1 -* (D) (Е). Содержимое реш- ет ров D, Е увеличивается на 1 47 INX H Инкремент ров И, L регист- 043 — — — — — 1 1 5 2,5 (Н) (L) 4-1 -► (Н) (L). Содержимое реги- стров И, L увеличивается на 1 48 INX SP Инкремент УС 063 — — — — — 1 1 5 2,5 (УС) + 1 -* (УС). Содержимое УС увели- чивается на 1 49 INR M Инкремент памяти 064 — -ь + Н" + 1 3 10 5,0 (М.) + 1 -* (М). Содержимое памяти М увеличивается на 1 50 DAA Десятичная на- стройка аккуму- лятора 047 + + + + + 1 1 4 2,0 Десятичная коррекция аккумулятора с образованием двух 4-разрядных двоично- десятичных чисел Команды логические 51 52 ANA г ANA М Логическое умно- жение А и г Логическое умно- 24S 246 0 0 + + + + + — 1 1 1 2 4 7 2,0 3,5 (А) А (г) -> (А). Содержимое А и г пораз- рядно логически умножается, результат помещается в А (А)Д(М) (А). Содержимое А и М по- СО Сл жение А и М разрядно логически умножается, резуль- тат помещается в А
№ п/п Обозначе- ние команды Наименование команды Код Признаки с Z S р С' S3 ANI Логическое умно- жение А и <В2> 346 0 + + + — 54 ORA г Логическое сло- жение А н г 26S 0 + + + — 55 ORA М Логическое сло- жение А и М. 266 0 + + + — 56 0R1 Логическое сло- жение А и <В2> 36'0 0 4- + — 57 XRA г Отрицание равно- значности А и г 25S 0 4~ + + 1— 58 XRA М Отрицание равно- значности А и М 256 0 + + + — 59 XRI Отрицание равно- значности А и <В2) 356 0 4- + -г
Продолжение табл. 10.6 Число Содержание команды (А)Л<В2> —*-(А). Содержимое А и <В2> поразрядно логически умножа- ется, результат помещается в А (A) V(r) -* (А). Содержимое А и г по- разрядно логически складывается, резуль- тат помещается в А (A)V(M) -*• (А). Содержимое А и М по- разрядно логически складывается, резуль- тат помещается в А (A)V<B2>(А). Содержимое А и <В2> поразрядно логически складыва- ется, результат помещается в А (А)ф(г)-* (А). Производится поразряд- ное отрицание равнозначности содержи- мых А и г, результат помещается в А (А)ф(М)(А). Производится пораз- рядное отрицание равнозначности содер- жимых А и М, результат помещается в А (А)Ф<В2>-* (А). Производится по- разрядное отрицание равнозначности со- держимых А и В, результат помещается в А
Команды инвертирования и установки переноса 60 СМА Ирвертироваиие А 057 — 1 1 4 2,0 (А) (А). Содержимое А инвертируется 61 СМС Инвертирование переноса С 077 + — — — — 1 1 4 2,0 (С; -> (С). Содержимое триггера перено- са С инвертируется 62 STC Установка пере- носа С 067 + Ком анд ы с 1, vast 1 гени 4 я 2,0 1 ->-(С). Триггер переноса устанавлива- ется в «1» 63 СМР г Сравнение А и г 27S + + + + + 1 1 4 2,0 (А) = (г). Содержимые А и г поразрядно сравнивается путем вычитания из А, оставляя А неизменным. Если А=г, 7— 1; А<г, С=1 64 СМР м Сравнение А и М 276 + + + + + 1 2 7 3,5 (А) = (М). Содержимые А и М поразряд- но сравниваются путем вычитания М из А, оставляя А неизменным 65 CPI Сравнение А и <В2> 376 + + + К + ома + нды 2 еде 2 ига 7 3,5 (А) = <В2>. Содержимые А и <В2> поразрядно сравниваются вычитанием <В2> из А, оставляя А неизменным 66 RLC Сдвиг влево циклический 007 + — — — — 1 1 4 2,0 А/п-н *'" Ад-*— А7, С-(-А7. Цикли- ческий сдвиг содержимого А на один разряд влево 67 05 RRC Сдвиг вправо циклический 017 + 1 1 4 2,0 Am+i-»-Am, Ао->-А7, Ао->-С. Цикличе- ский сдвиг содержимого А на один раз- ряд вправо
Продолжение т'абл. 10.6 № п/п Обозначе- ние команды Наименование команды Код П ризнаки Число Содержание команды Байт I о X ю о а: го Время, мкс С Z S р С" 68 69 RAL RAR Сдвиг влево с переносом Сдвиг вправо с переносом 027 037 + + — __ — 1 3 1 4 10 2,0 5,0 Am+i*-Am, Сч-А,, Аоч-С. Цикличе- ский сдвиг содержимого А на один раз- ряд влево через перенос Am+1->Am, С->А7, А0->С. Цикличе- ский сдвиг содержимого на один разряд вправо через перенос Команды перехода, вызова, возврата 70 JMP Переход 303 — — — — 71 JNZ Переход, если Z = 0 302 — — — — 72 JZ Переход, если Z=1 312 — — — — 73 JNC Переход, если С = 0 322 — — — — 74 JC Переход, если С=1 332 — — — — 75 JPO Переход, если Р = 0 342 — — — — — 3 3 10 5,0 <В2> <В3>СК. Производится без- условный переход к команде, по адресу, указанному в <В2> и <Вз7 JMP > команды — 3 з 10 5,0 Если Z=0, то <В2><В3 иначе (СК) = (СК)+3 > -> (СК), — з 3 10 5,0 Если Z = l, то <В2><Вз иначе (СК) = (СК)+3 >-> (СК), — 3 3 10 5,0 Если С = 0, то <В2><В3 иначе (СК) = (СК)+3 >-> (СК), — 3 10 5,0 Если С = 1, то <В2><В3 иначе (СК) = (СК)+ 3 >-* (СК), — 3 3 10 5,0 Если Р = 0, то <В2><В3 иначе (СК) = (СК)+3 > -> (СК),
76 77 78 79 JPE JP JM CALL Переход, если Р=1 Переход, если S = 0 Переход, если S—1 Вызов 352 362 372 315 — — — — — 80 CNZ Вызов, если Z = 0 304 — — — — — 81 cz Вызов, если Z=1 314 — — — — 82 CNC Вызов, если с=о 324 — — — — — 83 cc Вызов, если С=1 334 — — — — — 84 CPO Вызов, если Р = 0 344 — — — — —- 85 CPE Вызов, если Р=1 354 — — — — — 86 CP Вызов, если s=o 364 — — — — — 87 CM Вызов, если S = 1 374 — ё
3 3 10 3 з JO 3 3 10 3 5 17 3 J Ц 5 17 3 A 11 5 17 3 3_ n 5 17 3 3_ Ц 5 17 3 A 11 17 3 _3 П 5 17 3 3 11 5 17 3 3_ и 5 17 5,0 5,0 5,0 8,5 5Л 8,5 5,5 8,5 5,5 8,5 5,5 8J5 5,5 О 5,5 8,5 5,5 8,5 5,5 8,5 Если P = l, то <B2><B3>(CK), иначе (СК) = (CK) + 3 Если S = 0, to <B2><B3>-> (CK), иначе (CK) = (CK)+3 Если S = l, to <B2> <B3>-> (CK), иначе (CK) = (CK)+3 <B2><B3> (СК); (СК)—ГУС —11 [УС — 2]; (УС) = (УС) — 2. Производит- ся безусловный переход к команде, по адресу <В2ХВ3> команды CALL Если Z = 0, то <В2> <В3>-»-(СК), (СК)—[УС—1] [УС—2], (УС) = (УС— — 2), иначе (СК) = (СК)+3 Если Z=l, то действия аналогичные как для команды 80 Если С = 0, то действия аналогичные как для команды 80 Если С=1, то действия аналогичные как для команды 80 Если Р = 0, то действия аналогичные как для команды 80 Если Р= 1, то действия аналогичные как для команды 80 Если S = 0, то действия аналогичные как для команды 80 Если S = l, то действия аналогичные как для команды 80
№ п/п Обозначе- ние команды Наименование команды Код Признаки С Z S р с- 88 RET Возврат 311 — — — — 89 RNZ Возврат, если Z = 0 300 — — — — — 90 RZ Возврат, если Z = 1 310 — — — — 91 RNC Возврат, если 320 — — — — 92 RC Возврат, если С=1 330 — — — — — 93 RPO Возврат, если Р = 0 340 — —. — — — 94 RPE Возврат, если Р = 1 350 —. — — — —
Продолжение табл. 10.6 Число я СО i Циклов Тактов к* 4» U о. а: CD S Содержание команды 1 3 11 5,5 [УС+1] [УС] (СК), (УС) = (УС)+2. Безусловный возврат к команде по адре- су в верхней паре ячеек стека. Содержи- мое УС увеличивается на 2 1 1 5 2,5 Если Z=0, то [УС+1] [УС]-* (СК), 3 11 5,5 (УС) = (УС) +2, иначе (СК) = (СК) +1 1 1 5 2,5 Если Z = 1, то действия аналогичные как 3 11 5,5 для команды 89 1 1 5 2,5 Если С = 0, то действия аналогичные как 3 11 5,5 для команды 89 J 1 5 2,5 Если С=1, то действия аналогичные как 3 11 5,5 для команды 89 1 1 5 2,5 Если Р—0, то действия аналогичные как 3 11 5,5 для команды 89 1 1 5 2,5 Если Р=1, то действия аналогичные как |_3 11 5,5 для команды 89 f
F 95 96 RP RM Возврат, если s=o Возврат, если S = 1 360 370 — — — '— 1 1 1 3 1 3 5 11 5_ 11 2,5 5,5 2,5 5,5 Если S = 0, то действия аналогичные как для команды 89 Если S=l, то действия аналогичные как для команды 89 Команды стека - 97 PUSH В Ввод в стек В и С 305 — — .— — — 1 3 11 5,5 (В)—[УС—1], (С)->[УС—2] (УС) = = (УС—2). Содержимое помещается в память с адресом [УС—1], а С-> [УС—2]. Содержимое УС уменьшается на 2 98 PUSH D Ввод в стек D и Е 325 —, — — — — 1 3 11 5,5 (D)->[YC—1], (Е)->[УС—2], (УС)=. = (УС—2) Содержимое помещается в память с адресом [УС—1], а Е->-[УС—2]. Содержимое УС уменьшается на 2 99 PUSH H Ввод в стек Н и L 345 — — — — 1 3 11 5,5 (Н)->[УС—1], (Ь)->-[УС—2], (УС) = = (УС—2). Содержимое Н помещается в память с адресом [УС—1J, а Ь-*[УС— —2]. Содержимое УС уменьшается на 2 100 RUSH PSW Ввод в стек А и F 365 — — — — — 1 3 И 5,5 (А)^[УС-1[, (Е)->[УС-2[, (УС) = = (УС—2). Содержимое А помещается в память с адресом [УС—1], а Е->[УС— —2]. Содержимое УС уменьшается на 2 I
s? № п/n Обозначе- ние команды Наименование ком аиды Код Признаки С 7 S Р С' 101 POP в Вывод из стека В и С 301 — __ — — — 102 POP D Вывод из стека D и Е 321 — — — — — 103 POP H Вывод из стека Н и L 341 ___ — — — 104 POP PSW i Вывод из стека А и F 361 — - — — —
Продолжение табл. 10.6 Число Содержание команды 1 Байт j Циклов Тактов Время, MI с 1 3 10 5,0 [УС]-* (С), [УС+ 1] —(В), (УС) = = [УС+2] Содержимое памяти с адре- сом [УС] помещается в С, а с адресом [УС+1]—в В. Содержимое УС увели- чивается на 2 1 3 10 5,0 [УС] —(Е), [УС+1] —(D), (УС) = = (УС+2). Содержимое памяти с адре- сом [УС] помещается в Е, а с адресом [УС+1] —в D. Содержимое УС увели- чивается на 2 1 о 10 5,0 [УС] —(L), [УС+1] —(Н), (УС) = — (УС + 2). Содержимое памяти с адре- сом [УС] помещается в L, а с адресом [УС+1]—в Н. Содержимое УС увели- чивается на 2 1 10 5,0 [УС]-(F), [УС+1]-(А), (УС) = = (ЁС)+2. Содержимое памяти с адре- сом [УС] помещается в F, а с адресом [УС+1]—в А. Содержимое УС увели- чивается на 2
управления МП Команды ввода-вывода и 105 1N Ввод данных 333 — — — 106 OUT Вывод данных 323 — 107 RST Повторный запуск ЗА7 — 108 El Разрешение прерывания 373 — 1 109 DI Запрет прерыва- ния 363 — 1 НО NOP Холостая команда 000 — 1 Ml HLT Останов 166 — 1 СО о СП 10 10 11 4 4 4 7 (ВУ)->-(А) . Содержимое ВУ по адресу <В2> команды помещается в регистр 5,0 (А)->(ВУ). Содержимоое А помещается в ВУ по адресу <В2> команды 5,5 (СК)->[УС—1] [УС—2], 00000000 ООАААОО->(СК), (УС) = (УС)—2. Содер- жимое СК записывается в стек, в СК за- носится код 00000000 ООАААООО 2,0 Триггер прерывания устанавливается в «1», прерывание разрешено 2,0 Триггер прерывания устанавливается в «0», прерывание запрещено 2,0 Пустая операция. Выполнение програм- мы продолжается по следующему адресу 3,5 Работа МП прекращается. В СК заноси- тся адрес следующей команды
внака переноса С, который всегда сбрасывается в «Ол. Группа Жоманд логических операций содержит следующие команды: операции конъюнкции (логического умножения И) над содержимым аккумулятора и содержимым одного из РОН ANA.r (А Д г—> А) или ячейки памяти ANA М (А Д М [Н, LI—► -+ А), или вторым байтом (В,) команды ANI (А Д ( В2)-»-А). 8ти команды можно использовать для проверки бита слова в аккумуляторе с помощью другого слова-маски; операции дизъюнкции (логического сложения ИЛИ) над «одержимым аккумулятора и содержимым одного из РОН OR А г (А V г—>- А) или содержимым ячейки памяти ORA М (А V V М [Н, LJ—> А), или вторым байтом (В2) команды ORI (А V V (В2)-> А). Эти команды используют для установки опре- деленных битов слов в аккумуляторе с помощью другого слова- RLO ЦС Z 6 С Аккумулятор I „ „ исходное состояние 1/ИФ1Ф1/Г71 ЕЕЙ 0ZEE Состояние после однократного выполнения команды ФНФ1/1Ф1 kPPl ЕЕЕЕ состояние после двукратного^ыполуения команды Аккумулятор Рис. 10.27 маски, а также для синтеза нового слова нз полей других слов; операции ИСКЛЮЧАЮЩЕЕ ИЛИ (отрицание равнознач- ности, сумма по модулю 2) над содержимым аккумулятора и со- держимым одного из РОН XRA г (А ф г—>• А) или ячейки па- мяти XRA М(АфМ[Н, L]-> А), нли вторым байтом В2 команды XRI (А ф (В2) -> А). Эти команды используют для инвертирования определенных битов слов с помощью слова- маски на основе тождества 1 ф Хр= X;, а также для сравнения слова на абсолютное равенство (Х/ф Х,== 0 и Z = 1); операций инвертирования содержимого аккумулятора СМА (А-»-А) илн признака переноса С СМС (С—>-С) и установки Переноса С =» 1 STC (1—► С); операции арифметического сравнения содержимого акку- мулятора и содержимого одного из РОН СМР г (А—г—>А), или ячейки памяти CMP М (А — М [HL] —> А), или второго байта В2 команды CPI (А — (В2)—>А), которые из содержимого А вычитают значения адресуемого операнда, модифицируют по результату все признаки (если А = г, Z = 1;А <r, С = 1; Л> г, С = 0), но не изменяют содержимое аккумулятора; операции циклического сдвига (рис. 10.27 и 10.28) содер- жимого аккумулятора на один разряд влево (вправо) с запол- нением признака переноса RLC (RRC) или с включением при- вивка переноса в цепь сдвига RAL (RAR). Команды управлении (ветвления) программой позволяют наменять обычную последовательность выполнения команд 366
программы в зависимости от результатов обработки данных. Команды управления программой состоят из трех байтов. Во втором и в третьем байтах содержится адрес, по которому выби- раются следующие команды другой части программы. Команды управления программой состоят из команд безусловного и ус- ловного переходов и используются для создания ветвления и циклов в программе. К командам безусловного перехода от- носятся: команда перехода JMP (<В2) (В3) —>• СК) без возврата, по которой в СК. заносится новый адрес программы, содержащийся во втором и третьем байтах команды; команда вызова подпрограммы CALL (СК—► [УС — 1], [УС — 2[, <В2) (В3) —>- СК) с возвратом, по которой со- держимое СК, т. е. адрес следующей команды (адрес возврата), сохраняется в стеке, а в СК заносится адрес перехода (адрес IS С состояние Аккумулятор Аккумулятор J/ |jg]~ S C _____________________ Z z S с Состояние после однократного Выполнения команды Z S С Состояние после Одукратного Выполнения команды- ГФИНФРЯ 1ФИ 1Ф1Ф Рис. 10.28 первой команды подпрограммы); при этом после выполнения подпрограммы по ее последней команде ВОЗВРАТ RET ([УС +1, УС]—>СК) восстанавливается содержимое СК, т. е. адрес воз- врата из стека, адресуемый указателем стека. Команды условного перехода осуществляют передачу управ- ления только при выполнении некоторого условия (Z = 0 или Z = 1, С = 0 или С = 1, Р = 0 или Р = 1, S = 0 или S = 1), при этом программа переходит по адресу, указанному в коман- де, если же условия не выполняются, то передача управления не происходит, а выполняется следующая по порядку команда. Команды условного перехода, условия, их мнемонические обо- значения приведены в табл. 10.7. Команды стека обеспечивают размещение в стековой области памяти содержимого РОН при выполнении команд управления программой и при обработке прерывания. Команды стека со- держат следующие команды: ввода в стек PUSH гр содержимого регистровой пары гр(5, D, Н, PSW), при этом в ячейки памяти с адресом [УС— 1] заносится старший байт гр, а в ячейку с адресом [УС — 2] — младший байт гр (см. рис. 10.6,6); вывода из стека POP гр, при этом содержимое По адресу УС передается в младшие 8 бит гр, а содержимое по адресу [УС + + 1] — в старшие 8 бит гр. После этого содержимое УС увели- чивается еще на единицу (см. рис. 10.6,в). Для правильной ра- 367
Таблица 10.7 Условие Мнемони- ческое обозна- чен ие Команда условного перехода вызова возврата Неравенство нулю (Z #=0) NZ JNZ CNZ RNZ Равенство нулю (Z = 1) Z JZ CZ RZ Нет переноса (С — 0) NC JNC CNC RNC Наличие переноса (С = 1) С JC СС RC Нечетность (Р = 0) РО JPO СРО RPO . Четность (Р = 1) РЕ JPE СРЕ RPE Плюс (S — 0) Р JP СР RP Минус (S = 1) М J.M СМ RM ' боты стека команды PUSH и POP обязательно должны быть парными. Команды управления МП применяют для задания режимов работы МП. Они содер?кат: команду останова HLT, которая обеспечивает прекращение выполнения программы и переводит МП в состояние останов; команду разрешения (запрещения) прерывания EI (DI), которая устанавливает внутренний триггер разрешения преры- вания в состояние «1» («О»), тогда МП реагирует (не реагирует) на запросы прерываний, поступающие от внешних устройств, инициирующих обмен данными; команду повторного запуска, т. е. перехода на подпрограм- му обслуживания прерывания RST А, где вектор прерывания А формируется подсистемой прерывания в зависимости от значе- ний А (11ААА111), МП переходит к одной из восьми ячеек, находящихся в первых адресах памяти (ОООО1о, 0008п, ОО161о, 0024,в, ...); холостую команду NOP, которая не производит никаких действий, кроме инкремента СК для перехода к следующей команде, и исдол'Г'уегея для создания программных циклов за- держки, в которых МП генерирует сигналы программируемой дл ительности; команды ввода-вывода IN (ВУ по адресу (В2) —*• -> A)/OUT (А-»- ВУ (В2)); второй байт (В2) этих команд указы- вает адрес регистров ввода-вывода, а получателем (или источ- ником) может быть только аккумулятор. На рис. 10.29 показаны команды, которые влияют на акку- мулятор Команды, указанные над аккумулятором, действуют на данные в этом регистре и за исключением команды СМА (ин- вертирование аккумулятора) влияют на один илн на несколько триггеров (-Регистра признаков». Команды, расположенные ниже аккумулятора, пересылают данные в аккумулятор илн из пего и не влияют на триггеры «Регистра признаков». Здесь же пока- заны команды STC (установки переноса) и СМС (инвертирова- ние переноса). Команды управления программой (рис. 10.30) изменяют содержимое СК, изменяя при этом нормальное последователь- ное выполнение команд. Команды перехода JMP влияют только на СК. Команды вызова CALL и возврата RET воздействуют на СК, УС и стек. Команды для пары регистров (рис. 10.31) ЗС8
REOMa ADD ADC SUB SBB ANA XRA ОНА CMP RLC RAR RAL CMA INR DCR RRC DAA RESMt ADI AC I SUI SB! >-Dt<Be> AN! XRI CRI CPI STC.CMC J Аккумулятор Регистр признаке! 8 D H c £ L Указатель I стека Счетчик i коман! STAX }a!S[<bs><b2>1 MVI ds<b2> MOV REBMp.REGMt Память Стек Аккумулятор Регистр „ признаков Память Стек INPe Устройство Hoia OUT ps Устройств; lulata j Рис. 10.29 Указатель i стека Счетчик | команд ~RST команды управления МП RST ПОР HLT п1Е Ы Л1 I СМР ПС ж 3Z Ж ПР СМ JPEJP0 CALL СС CNC С2 CNZ СР СМ CPE СРО RET RC RNC\ RZ RNz\lt, RP RM RPE RPO J Рис. 10.30 Рис. 10.31
Рис. 10.32 a Mi М2' Ф1 Г П и тз Tf Т^ 1 1 п 1— 1 <Р2 п __п_ _п_ t синхро / U t ПРИЕМ _/ v_ р t Запись t А15-А0 —\ Адрес и 1МСН0Ы Адрес 0 анных t 07-00 —-tyocmi 1йние\Но/ мнда )- —— — — —фт енид^Дс/ )ные t СИГНАЛЫ состоя- ния М1,Чт Зп-дыд Чт Зп-дыд t MOVr, M,MVIr\LDAX а t ПРИЕМ J v_ ЗАПИСЬ t СИ/ НАлы СОСТОЯ- НИЯ М1,Чт Зп-дыд 07-1)0=0 1 t MOV М,Г, STAX 5 t Рис. 10 33
связаны с 16-битовыми словами За исключением команды DAD, которая суммирует содержимое пары регистров В и С (или D и Е) с Н и L, ни одна из этих команд не изменяет состояние «Ре- гистра признаков^, a DAD влияет только на признак переноса. Временные диаграммы выполнения различных команд, изображенные на рис. 10 32, даны для одного машинного цикла: М1,Чт Зп-Оыб I Чт I Зп-ОыВ ОМ 1 ПРИЕМ AI5-A0 07-00 СИГНАЛЫ СОСТОЯ НИЯ Рис. 10.34 обмена регистрами XCHG, инвертирования аккумулятора СМА, установки переноса STC, инвертирования переноса СМС, десятичной коррекции аккумулятора DAA, разрешения преры- вания EI, запрета прерывания DI, холостой команды NOP —. на рис. 10.32, а; пересылки MOV Г1Г2. инкремента регистра INR г, декремен- та регистра DCR г, загрузки указателя стека SPHL, загрузки счетчика команд PCHL, инкремента пары регистров ВС, DE, HL и указателя стека INX, декремента пары регистров ВС, DE, НЕ и указателя стека DCX — на рис. 10.32,6. 371 .
Временные диаграммы для двух машинных циклов изобра- жены на рис. 10.33: пересылки MOV г, М, посылки MVIr, посылки в А содер- жимого [(ВС) или (DE)] ячейки (LDAX) — на рис. 10.33,а; пересылки MOVM, г, запоминания А по адресу [(ВС) или (DE)] STAX — на рис. 10.33,6. Временные диаграммы для трех машинных циклов изобра- жены на рис. 10.34 и 10.35: вывода из стека POP — на рис. 10.34,а; ввода IN — на рис. 10.34,6; <Pt Ф2 СИНХРО ПРИЕМ ЗАПИСЬ AI5-A0 27-1)0 СИГНАЛЫ состоя- ния Рис. 10.35. загрузки пары регистров ВС, DE, HL и указателя стека LXI, безусловного перехода JMP и условных переходов JC, JNC, JM, JP, JZ, JNZ, JPO, JPE — на рис. 10.34,в; посылки MVI М, инкремента ячейки памяти INR М,декре- мента ячейки памяти DCR М, вывода OUT — на рис. 10.34,г; двойного сложения DAD — на рис. 10.34,6; условных возвратов RC, RNG, RZ, RNZ, RP, RM, RPE, RPO — на рис. 10.35,о; ввода в стек PUSH, повторного запуска RST — на рис. 10.35,6. Временные диаграммы для четырех машинных циклов изображены на рис. 10.36: загрузки памяти STA — на рис. 10.36,а; загрузки аккумулятора LDA — на рис. 10.36,6. Временные диаграммы для пяти машинных циклов изобра- жены на рис. 10 37: вызова подпрограммы CALL условных переходов на под- программу СС, CNC, CZ, CNZ, СР, CM, CPE, СРО — на рис. 10.37, а; запоминания регистров Н н L по адресу [(В3) (В2)] SHLD—на рис. 10.37,6;,^ 372
Mt М2 М3 _ Mb T3 Tt T2 T3 Ф2 СИНХРО ПРИЕМ ЗАПИСЬ t СИГНАЛЫ^ СОСТОЯ- I нш ПРИЕМ -{Адрес данных У^дрес данных \Адрес данных 07-ю ; Mt,4m За-ды8. асттнч^анные _Чт Зп-вы8 _____а Кт1тМ>№ Нт Зг-8ы8. t t 07-10=0 STA ЗАПИСЬ СИГНАЙЬ' СОСТОЯ- НИЯ t Mt Чт Зп-быб- Чт._ Зп-б id Чт Зп-Т it. Чт ' Зп-М. t LOA 6 t Рис. 10.36 Рис. 10.37
обмена стека XTHL — на рис. 10.37,в; загрузки регистров Н и L содержимым ячейки памяти LHLD — на рис. 10.37,г. Временная диаграмма соотношения входных и выходных управляющих, адресных и информационных сигналов, фазовых импульсов Ф1 и Ф2.показана на рис. 10.38. Пример выполнения команды CALL (вызов подпрограммы). Это трехбайтовая команда выполняется за пять МЦ и предна- значена для запоминания содержимого СК в стеке по адресу, указанному в УС, и приема в СК второго и третьего байтов команды, являющихся соответственно младшей и старшей час- тями первого адреса подпрограммы (рис. 10.37,а). В такте Т1 цикла Л4/ содержимое СК посылается через мультиплексор МлП2 на 16-разрядьый РА, а г РА —на БА и схему I/D. Этот адрес хранится в РА на протяжении трех тактов (Т1—ТЗ) цикла Ml. В такте Т1 по импульсу Ф2 на шииы данных выдается информация состояния. Действительными для данного цикла являются три бита: ЧТЕНИЕ, Ml,ЗАПИСЬ- ВЫВОД. Содержимое РА, увеличенное на единицу, засылает- ся в СК через мультиплексор МлП2; СК, таким образом, подготовлен к выбору следующего байта команды по адресу (СК + 1). В такте ТЗ цикла Ml управляющий внутренний сигнал ПРИЕМ вместе с сигналом состояния ЧТЕНИЕ стробирует поступление в РК первого байта команды, выбранного из внеш- него ЗУ. Содержимое РК расшифровывается в ДК в такте Т4, и схемы управления начинают вырабатывать сигналы для управ- ления последующими стадиями выполнения команды. В тактах Т4 и Т5 цикла Ml содержимое УС уменьшается на единицу для получения адреса (УС—1), по которому запи- сывается старший байт СК. Это происходит следующим обра- зом. В такте Т4 цикла Ml содержимое УС посылается на РАК с РА — на схему декремента. В такте Т1 цикла М2 содержимое СК = (СК + 1) посылается на РА для выборки второго байта ко- манды. В такте Т2 цикла М2 информация состояния ЧТЕНИЕ, ЗАПИСЬ-ВЫВОД с шин данных заносится во внешний регистр состояния. В цикле Ml информация состояния не характеризует выполняемую команду, так как в это время команда еще не за- писана в РК и не декодирована.В цикле М2 и следующих циклах информация состояния отражает действия, выполняемые МП в этих циклах. В такте ТЗ цикла М2 второй байт команды засылается в младшую половину временного регистра (WZ), расположен- ного в группе общих регистров. В цикле М3, аналогично циклу М2, третий байт команды записывается в старшую половину врёменного регистра (WZ). Эти два байта, расположенные во временном регистре (WZ), и определяют начальный адрес подпрограммы. В такте Т1 цикла М4 на 16-разрядную шину адреса вы- дается содержимое УС, равное (УС—1). С этого момента шины адреса указывают адрес ячейки области ЗУ, отведенной под стековую память. Адрес первой ячейки стека должен быть вве- ден в УС ранее выполненной команды LXI SP (загрузка указа- теля стека). Командой LXI SP задается начало стека в любой области ЗУ. В такте ТЗ цикла М4 старший байт содер- жимого СК выдается на шины данных и запоминается в стеке по адресу (УС — 1), В этом же такте в УС заносится содержи- 374

мое РА, уменьшенное на единицу. При этом содержимое УС становится равным (УС — 2). В такте Т1 цикла М5 содержимое УС, равное (УС — 2), выдается на канал адреса. В такте Т2 циклов М4 и М5 на внеш- ний регистр состояния заносятся сигналы состояния СТЕК и ЗАПИСЬ-ВЫВОД. В такте ТЗ цикла М5 младший байт содер- жимого СК выдается на шину данных и запоминается в сте- ке по адресу (УС — 2). Напряжение логического <0» на выходе ЗАПИСЬ стробирует информацию, выдаваемую через канал дан- ных в циклах М4 и М5. Содержимое регистра (WZ) передается в РА и на БА в такте Т1 цикла Ml следующей команды (являющейся первой коман- дой подпрограммы) и записывается в СК при пересылке содер- Рис. 10 39 жнмого РА через схему инкремента — декремента и мульти- плексор МлП2. Центральный процессор на БИС КР580 состоит из МП (КР580ВМ80А), шинных формирователей (КР580ВА86) адреса и данных, генератора тактовых импульсов (КР580ГФ24) и си- стемного контроллера (КР580ВК28) (рис. 10.39). Указанные микросхемы МПК КР580 [47; 64] непосредственно взаимодей- ствуют с МП (КР580ВК80А), расширяют его функциональные возможности и позволяют создавать экономичные и компактные микропроцессорные системы. Шинный формирователь КР580ВА86 (87) представляет собой двунаправленный 8-разрядный приемопередатчик с высокой нагрузочной способностью (обеспечивающий токи нагрузки до 32 мА) и связывает микропроцессор с периферийными jCTpoii- ствами ввода-вывода информации (рис. 10.40,6). Микросхема КР580ВА87 отличается от КР580ВА86 тем, что двунаправ- ленная передача осуществляется с инверсией. Микросхема КР580ВА86 (87) имеет двунаправленный канал А, подключа- емый к МП и двунаправленный В, подключаемый к магистраль- ной шине. Передача информации возможна при поступлении сигнала РАЗРЕШЕНИЕ CS = 0 в направлении А—>• В (Т = 1) и А«-В (Т = 0). При CS = 1 все выходы каналов А и В от- ключаются н переходят в высокоомное состояние. 376
Функции шинного формирователя в магистрали ША может выполнять также микросхема КР580ИР82 (83), представляющая собой 8-разрядный буферный регистр, предназначенный для ввода-вывода информации со стробированием (рис. 10.40,в). Микросхема КР580ИР83 отличаетсяог КР580ИР82 тем, что име- ет инвертирующие выходы. При CS — 0 и СТРОБ СОСТОЯНИЯ СТС = 1 осуществляется нетактируемая передача информации от входов DI до выходов DO. При подаче СТС = 0 микросхема хранит информацию предыдущего такта, при подаче на вход +»# — Ф1 15 12 Ф2 23 ГТ ЗХ $ г- АО^ Al[^ А2 АЗ А4 А5 tfL Аб А7 3/Р 10 9 8 7 * То 01 AS АЮ АН А12 А13 A1U AS- из W 25 28 27 29 30 31 32 33 34 35 _7_ W № 38 36 в 24 f Об ^07 ох РПрГ— ПН — зп& 16 17 18 Л Ц б R <3 45 Рис. 10.40 д СТС положительного перепада импульса происходит «защелки- вание» входной информации. При CS = 1 буферы переводятся в состояние «выключено», т. е. высокоомное состояние. Генератор тактовых импульсов КР580ГФ24 предназначен для синхронизации МП систем на основе МП К КР580 *Тис. 10.40,г). ГТИ формирует высокоуровневые (12 В) такто- вые сигналы Ф1 и Ф2 с несовпадающими фазами; тактовый сигнал Ф2Т, по уровню совместимый с ТТЛ-сигналом и синхронизи- рованный с сигналом Ф2; сигнал СТРОБ СОСТОЯНИЯ СТС (STSTB), фиксирующий состояние шины данных МП; сигнал СБРОС (RESET) — R. К выводам КР1 и КР2 подключается кварцевый резонатор, обеспечивающий высокую стабильность генератора опорной частоты (вывод ГОЧ), частота которого 377
не должна превышать 27 МГц, при этом на выходах Ф1 и Ф2 формируются тактовые импульсы с частотой до 2,5 МГц. При по- ступлении сигнала СИНХРО (SYNC) — С с МП на одноименный вход микросхемы КР580ГФ24 на ее выходе СТС формируется сигнал длительностью, равной длительности тактового сигнала Ф1. По сигналу СИСТЕМНЫЙ СБРОС — R и ГОТОВНОСТЬ — ГТ микросхема ГФ24 формирует сигнал СБРОС — R, обеспе- чивающий установку в исходное состояние микропроцессорной системы, и сигнал ГОТОВ (READY), стробированный тактовым сигналом Ф2. Системный контроллео и шинный формирователь КР580ВК28 (38) предназначен для фиксации слова-состояния МП, выработки системных управляющих сигналов, буферизации шины данных МП и управления передачей данных (рис. 10.40,5). Отличие мик- срохемы КР580ВК28 от КР580ВК38 состоит в способе форми- рования сигналов ЗАПИСЬ В ВУ — ЗПВУ (I / OW), ЗАПИСЬ В ЗУ ЗПЗУ (MEMW). Микросхема ВК28 формирует эти сигна- лы относительно сигнала ЗАПИСЬ—ЗП (WR), а микросхема ВК38 — относительно сигнала СТРОБ СОСТОЯНИЯ СТС (ST STB), что позволяет при применении в микропроцессорной системе микросхемы ВК38 использовать ЗУ и УВВ с более ши- роким диапазоном быстродействия Системный контроллер подключается к ШД микропроцес- сора с помощью двунаправленных выводов D0...D7, к системной шине — с помощью двунаправленных выводов DB0...DB7. Пе- редача информации по двунаправленной шине возможна при УПРАВЛЕНИЕ СИСТЕМНОЙ ШИНОЙ'УСШ = 0 (BUSEN = =0 ), а направление передачи определяется сигналами ПРИЕМ ПМ (DBIN) и ЗАПИСЬ"ЗП (WR) при ПМ = 1 и ЗП = 1 пере- дача D ч—DB, а прн ПМ = 0 и ЗП = 0 передача D—> DB. При УСШ-1 выводы DB системного контроллера переходят в состо- яние «выключено», т. е. высокоомное. Запись слова-состояния МП, поступающего по шине дан- ных DO. D7, осуществляется по сигналу СТС = 0 (STSTB), по- ступающему в начале каждого машинного цикла. При этом в зависимости от режима работы МП (слова-состояния) и выход- ных управляющих сигналов ПЗХ (HLDA), ЗП (WR), ПМ (DB1N) формируется сигнал ППР(1ИТА) («Подтверждеиие'прерывания») или сигналы чтения (записи) при обращении к ЗУ или ВУ. Микро-ЭВМ на основе МПК КР580 строят по модульному принципу, который обеспечивает подключение к единой ма- гистрали ЦП, ЗУ и УВВ, что расширяет возможности системы. Информацию на магистраль в любой момент времени выдает один из модулей-передатчиков. Принимает информацию один или несколько приемников. Одновременная работа двух пар модулей передатчик-приемник запрещена. 10.3. УНИВЕРСАЛЬНЫЕ ИНТЕРФЕЙСНЫЕ БИС СЕРИИ КР580 Магистрально-модульная архитектура микропроцессорных систем основана на унификации и мультиплексировании маги- стральных шин адреса, данных и управления. Это позволяет наращивать объем памяти, число и номенклатуру внешних устройств (ВУ), которые сопрягаются с МП системой посред- 378
ством унифицированных интерфейсных БИС. Такие БИС могут быть программируемыми и применяться для двунаправленной передачи данных и сигналов управления. Функционально назначение интерфейсных БИС устанавливается либо подачей на них управляющих сигналов, приходящих или от ВУ, или со стороны МП, либо путем предварительной коммутации их управляющих входов. В МП системе большое значение имеют средства обмена ин- формацией с разнообразными периферийными устройствами ввода-вывода (счетчики, регистры, АЦП и др.). Эти средства образуют подсистему ввода-вывода (ВВ), включающую в себя аппаратные и программные средства интерфейса с УВВ, а также информацию, участвующую в обмене. Микропроцессорные си- стемы могут работать в трех режимах с УВВ: программно-управ- ляемом, все действия по обмену информацией в котором иници- ируются прикладной программой, а УВВ отводится пассивная роль сигнализации о своей готовности к обмену; в режиме прямого доступа к памяти (ПДП), в котором на время передачи информации от УВВ в ЗУ МП приостанав- ливает свою работу и от- ключается от шин адреса и данных, УВВ инициирует и управляет обменом ин- формацией между УВВ и ЗУ с помощью контроллера ПДП, а информация между МП системой и УВВ пере- дается по линиям D0...D7 Рис. 10.11 шины данных; ввода-вывода по прерываниям, в котором дей- ствия по обмену информацией инициируют сами УВВ, гене- рируя сигналы прерывания. При программно-управляемом используется два способа ВВ: изолированный и отображенный на память. При изолирован- ном ВВ применяют двухбайтовые команды ввода IN и вывода OUT, в которых второй байт (В2) представляет собой адрес регистра ввода или вывода, общее число регистров ввода п вы- вода в отдельности не превышает 256, а их адреса изолированы от адресного пространства ЗУ. При этом управляющие сигналы ЧТВУ и ЗПВУ, генерируемые в цикле М3 команд IN и OUT схемой формирования управляющих сигналов, определяют мо- мент и направление передачи данных. Ввод-вывод, отображен- ный на память, не требует специальных команд При этом ре- гистры ввода и вывода считаются ячейками адресного простран- ства памяти, и все команды с обращением к памяти, содержащие адреса УВВ, превращаются в команды ВВ: команды загрузки осуществляют ввод, а команды запоминания — вывод. При ре- ализации ВВ, отображенного на память, адресное пространство разделяется на две области: память ЗУ с адресами 0... (32К — 1) и ввод-вывод с адресами 32К...(64К — 1). При этом разделитель- ным признаком служит старший разряд адреса А15.Если А1 5 = = 0, то адресуется память, если А15 = 1, то — УВВ (рис.10.41). Алгоритм программно-управляемого ВВ включает в себя выполнение следующих действий (рис. 10.42): 1) в ВУ передается приказ об инициировании действия ВВ (запуск ВУ); 2) МП счи- тывает состояние ВУ; 3) проверяется готовность устройства 379
к обмену данными; 4) если устройство не готово к обмену, то действия пп. 2, 3 циклически повторяются; 5) если устройство готово к обмену, то МП выполняет собственно ввод или вывод (передача данных). Чтобы сократить непроизводительные потери времени на ожидание готовности УВВ к обмену данными, вводят периодиче- 34 33 32 31 30 29 28 27 Рис. 10.42 +5S 2В\ +5В ДО 01 02 03 04 05 08 07 АО А1 ЧТ ЗП 6 CS 4 3 2 1 НО 21 38 РАО РА1 РА2 РАЗ РАО FA5 Р№ РА7 КО РС1 К2 РСЗ РС4 PCS Кб К7 ДО РВ1 РВ2 РВЗ РВЧ^ РВ5 РВб РВ7 — 4.W 15 17_ кз_ 11. 11 18 21 22 23 24 25 Рис. 27 28 1 2 5 6 7 8 00 01 02 ОЗ 04 05 Об 07 ШИ ГП^Р-'Р- ЗПр7- ГПр 14 , П 15 8ьм/;э № OUgl lr~T до» 15 18 зо 29 28 27 26 23 22 21 $ ПО 01 02 03 04 05 06 07 АО А1 А2 11 Р-КЗ 20 21 25 9 >СПр 10.44 ВС 16 19 18_~ 12 6 7_ 13 11. ЗГ(0П0 ЗПДП1 3Pffl2 зпцвз 'ЗПВВ '4ПВ <Р2Т ГТ ПЗХ В 'CS 32 33 34 A3JL М ГЙ И5 А6 А7 39 НО опою ВПДП2- 11 !4 ЗЛЗУ^~ чтзэ№- П128 КС СТАИ РАН. ЗЗХ. 5 36 8_ 9 10 д 8 5 +.>а 1L> т 8 2 *5 а скую проверку готовности УВВ (рис. 10.43). Частоту опроса выбирают так, чтобы система обеспечивала работу УВВ с их воминальным быстродействием. Для реализации ВВ в МПК КР580 имеются программируе- мые параллельный интерфейс (ППИ) КР580ВВ55 и последо- вательный интерфейс связи (ППИС) КР580ВВ51, а для прямого Hl 380
доступа к памяти — контроллер ПДП КР580ВТ57 (рис. 10.44) [3; 37; 81]. Программируемый параллельный интерфейс КР580ВВ55 пред- назначен для сопряжения УВВ с системной шиной .МП си- стемы на базе МП КР580ИК80А. Для сопряжения ВУ с шиной данных микропроцессора ППИ имеет трн 8-разрядных канала- А, В и С. Управляются каналы по программе путем выдачи слова управления, которое содержит информацию о режиме работы (0 — основной ВВ каналов А, В и С; 1 — ВВ со строби- рованием каналов А и В; 2 — двунаправленная шина со строби- рованием канала А) и об установке или о сбросе отдельных раз- рядов канала С. Канал А состоит из входного и выходного 8-разрядных ре- гистров формирователя и может работать в режимах «0», «1» I- решим „ Г’ Рис. 10.45 О О t О f о Решим „1" 0 I Решим „г" f X Cf С2 С7 ' В а и «2». В режиме «0» информация (А—>ШД) вводится непрерывно» т. е. входной регистр изменяет свое состояние в соответствии с изменением данных на входе. Выводится информация(ШД—>- А) в режиме «0г и «1» также непрерывно, но до смены информации в выходном регистре. Информация вводится в режимах «1» и к2» на входной регистр и выводится в режиме «2» непрерывно в те- чение действия внешнего сигнала управления ПРИЕМ, постук паюшим на входы регистра канала «0». Канал В состоит из 8-разрядного регистра ввода-вывода, входных и выходных формирователей и может работать при вводе информации (В—► ШД) в режимах «0» и «1». В режиме «0» регистр канала изменяет свое состояние в соответствии с ее изме- нением на входе канала. В режиме «1» информация вводится так же, но только в течение действия внешнего сигнала управ- ления ПРИЕМ, поступающим на входы регистра С. Канал С состоит из двух 4-разрядных регистров, содержа- щих разряды 0—3 и 4—7. Канал может работать в режимах «0» и «1». В режиме «0» осуществляется ввод и вывод 4-разрядны^ слов. В режиме «1’> канал С используется для приема и выдачи управляющих сигналов. Регистры канала С в совокупности используются как 8-разрядный регистр состояния. Режим работы каждого канала определяется содержимым регистра управляющего слова (РУС), в который заносится код управляющего слова (рис. 10.45,а). Для установки/сброса от- дельных разрядов регистра канала С используется управЛя- 381
ющее слово с форматом, показанным на рис. 10.45,в. При каж- дом изменении режима работы любого канала все входные и вы- ходные регистры каналов сбрасываются в состояние «О». Режим работы Г1ПИ можно устанавливать в любом месте выполнения Программы, что позволяет обслуживать различные ВУ в очеред- ном порядке одним ППИ. Вид передачи информации (табл. 10.8) между МП и ППИ выбирается с помощью линий АО, А1 шины адреса и сигналов управления: выбор микросхемы CS, чтение (ввод ВУ—>• ШД) ЧТ, запись (вывод ШД-> ВУ) ЗП. При подаче на вход R (СБРОС) напряжения логической «1» РУС устанавли- вается в состояние, при котором каналы А, В, С переходят в ре- жим «0» для ввода информации, а шины каналов А, В, С пере- ходят в высокоомное состояние. Режим «0» применяется при синхронном обмене или при программной организации асин- хронного обмена. На рис. 10.46,а изображена схема сопряжения Таблица 10.8 А1 АО ЧТ ЗП CS Направление передачи и вид операции 0 0 1 0 0 ШД- >А 0 1 1 0 0 ШД- >-в 1 0 1 0 0 ШД- >с 1 1 1 0 0 ШД- > регистр управления Запрет X X X X 1 ШД-»-3-е состояние 1 1 0 1 0 Запрещенное состояние сигналов X X 1 1 1 0 ШД->3-е состояние 382
МП с ВУ через ППИ и временные диаграммы ввода (рис. 10.46,6) и вывода (рис. 10.46,8) информации. Для записи управляющего слова в РУС используется коман- да вывода OUT. При этом управляющее слово предварительно записывается в аккумулятор, а затем выводится в соответ- ствующий интерфейс с указанным адресом (РУС Al, А0=11). Например, для записи УПС (режим «0», каналы А, В, С — на вывод) в интерфейс с адресом 01111111 требуется следующая по- следовательность команд: выборка РУС MVI А, 10000000—загрузка УПС в А OUT <В2> =01111111 Адрес интерфейса Выборка РУС Рис. 10.46 После этого интерфейс готов к выводу информации. Напри* мер, команда вывода через канал А OUT <В2> = 01111100 I Т Адрес интерфейса Выборка канала А Режим «1» обеспечивает стробируемый обмен информацией с ВУ. Данные передаются по каналам А и В, а линии канала G управляют передачей. Причем входные и выходные данные фик- сируются в регистрах канала А и В. В режиме «1» для ввода используются управляющие сигналы (рис. 10,47,а): строб при- ема СТПД(В) — входной сигнал, формируемый ВУ, по которому данные записываются в регистр канала А (В); подтверждениа приема ПТПА(В) — выходной сигнал ППИ, сообщающий В У о фиксации данных во входной регистр канала; запрос преры- вания ЗПРА(В)— выходной сигнал ППИ, информирующий МП о завершении приема информации в канале. Состояние выходов фиксируется в регистрах канала С и может быть прочитано МП в слове состояния (СС) интерфейса (рис. 10.45,6) Для чтения информации состояния используется обычная операция чтения канала С с последующим выделением управляющих, разрядов. Для вывода информации в режиме «1» используются управ- ляющие сигналы (рис. 10.47,6): сгроб записи СТЗА(В) — выход- ной сигнал, указывающий ВУ о готовности к выводу; подтвер- 383
ждения записи ПТЗА(В)—входной сигнал от ВУ, подтвержда- ющий прием информации от ППИ; запрос прерывания ЗПРД(В) — выходной сигнал ППИ, информирующий МП о завершении вы- вода информации. В режиме «1» не используемые для передачи управляю- щих сигналов разряды РС6, РС7 (рис. 10 47,р) и РС4, РС5 (рис 10 47,6) можно запрограммировать на ввод (D3 = 1) или вывод (D3 = 0). После перевода ППИ в режим «1» на вывод данных сигналы Рис. 10 47 ческого «0» и необходимо выполнить их начальную установку. Для этого на вход ПТЗА(В) следует подать логический «0» (т. е. выполнить ложное подтверждение) или, используя режим уста- новки,— сброса разрядов регистра С, установить РС7, РС1 и РС6, РС2 в состояние логической «1». При этом на выходах СТЗА(В) и ЗПРА(В) установится состояние логической «1». После этих действий интерфейс готов к выводу данных в режи- ме «1», Режим «2» обеспечивает двунаправленную передачу инфор- мации по каналу А к ВУ и обратно. Процесс обмена сопрово- ждают пять управляющих сит налов, подаваемых по линиям РС7—РСЗ. Оставшиеся линии РС2 — PC0 можно использо- вать для управления каналом В в режиме «0» или «1» (рис. 10.48). В управляющем слове для режима «2» разряд D0 определяет настройку на ввод (DO = 1) или вывод (D0 — 0) интерфейсных 384
линий РС2 — РСО. После перехода канала А в режим 2 на вы- ходах СТЗА, ЗПРА устанавливаются логические «О». Для приве- дения сигнала СТЗА в состояние логическая «1» после записи управляющего слова необходимо РС7 установить в состояние логическая «1» словом установки сброса разрядов канала С, а для установки в состояние логическая «1» сигнала ЗПРА сле- дует РС4 н РС6 установить также в состояние логическая «1» Программируемый последовательный интерфейс связи (ППИС) КР580ВВ51 (рис. 10.44,6) представляет собой универсаль- ный синхронно-асинхронный приемопередатчик и предна- значен под воздействием команд ввода-вывода IN/OUT прини- мать информацию, представленную последовательным кодом, преобразовывать ее в параллельный код для ввода в МП, а так- же принимать байт данных из МП в параллельном коде с пре- образованием его в последовательный код со служебными сим- волами (стартовым, стоповым и контрольным битами) для их передачи по каналам связи с различной скоростью. ППИС может работать в пяти режимах: асинхронная передача, асинхронный прием, синхронная передача, синхронный прием с внутренней синхронизацией, синхронный прием с внешней синхронизацией. Обмен данными производится в асинхронном режиме со скоро- стью передачи до 9,6 Кбит/с, в синхронном со скоростью 56 Кбит/с. Длина передаваемых символов от 5 до 8 бит. При передаче в МП символов длиной'менее 8 бит неиспользуемые биты заполняются нулями. Формат символа включает также служеб- ные биты и необязательный бит контроля по четности (нечет- ности), __ Основные сигналы (ЗП — запись, ЧТ — чтение, CS — выборка 'микросхемы, УС/D — управляющий сигнал / данные) управления работой ППИС, подаваемые от МП, определяют вид обрабатываемой информации и направление передачи (табл. 10.9). Режим работы ППИС задается программно путем загрузки в не- го управляющих слов из МП. Для управления ППИС использу- ются два формата управляющих слов: управляющие слова ре- жима (УСР) и управляющие слова команды (УСК). 13 274 385
Таблица 10.9 УС/D ЧТ зп CS Направление передачи и вид операции 0 0 1 0 ППИС -> ШД Чтение данных 0 1 0 0 ППИС —> ШД Запись дацных 1 0 1 0 ППИС -> ШД Чтение состояния 1 1 0 0 ПГ1ИС->П1Д Запись управляющего слова X X X 1 ШД — высокий импеданс Управляющие слова режима задают синхронный (рис. 10.49,о) или асинхронный (рис. 10.49,6) режим работы, формат данных, скорость приема или передачи необходимого Скоцасто wtfami а Рис. 10.49 контроля. УСР заносится программно после установки ППИС в исходное состояние или после сигнала СБРОС и заменяет- ся лишь при смене режима. Управляющее слово команды (рис. 10.50,а) устанавливает режим обмена и его можно много- кратно задавать в процессе обмена и управления различными этапами. ППИС позволяет осуществлять как программно-управля- емый обмен между МП и ВУ, так и обмен в режиме прерывания 386
программами. Для этого информация о состоянии приемного и передающего буферов выводится на внешние выводы БИС и ис- пользуется для инициализации прерываний МП и обмена в ре- жиме прерывания. Для обеспечения программно-управляемого обмена предусмотрена возможность программного считывания слова-состояния (рис. 10.50,6). Это слово содержит информацию о текущем состоянии приемного и передающего буферов, на- личие ошибок приема и передачи. Считывается слово-состояния при УС/D = 1. Dt Разрешение передачи_______ 1-разрешоно | О-запрещеке | _______Запрае передачи f’MiifiOc гатодкаати передачи ВУ к обману Раррфение приема S1 1-разрешоне Jo-lanpecteKo [ Песета искажения симдерр 0- иарма 11-кенац aepadavuj Сброс ошабек IS Разрешение поисно синхрос/юд 0-нет1з-да ________Запрос приема 1-шрбВ мтодноети приемника ВУ к абмзну Программный сброс 0• кет I f-да М Л Рис, 10.50 Режим приема или передачи данных программируется по* дачей УСР на ППИС при УС/D = 1. Последовательность про- граммирования следующая: MVI А, УСР; загрузка УСР в аккумулятор ОПТ ППИС; запись УСР в ППИС программирование режима MVI А, 0 1 11 11 01 УСР Один Контроль Длина Асинхронный режим стоп на чет- слова скорость 1 : 1 бит ность 8 бит OUT ППИС программирование работы MVI А, 00 1 1 00 0 1 ->УСК OUT ППИС Структура программного блока, управляемого работой ППИС, имеет вид: 13* 3S7
CS = 0 Сброс сигналом R или командой У С/D = 1 УСР У С/р= 1 Синхросимвол П Для синхронного режима У С/D = 1 Синхросимвол 2| У С/р= 1 УСК У С/D = 0 Данные У С/р= 1 УСК У С/D = 0 Данные При асинхронном обмене приемник автоматически синхро- низируется стартовым битом так, что можно производить отбор данных в моменты, соответствующие середине каждого бита. В результате этого получается небольшой дрейф частоты генера- торов тактовых импульсов. В режиме асинхронной передачи (рис. 10.51,а) символ, представленный последовательным кодом, выдвигается из буфера ППИС поразрядно по заднему фронту сигнала «Синхронизация передатчика» СПД с частотой 1/16 или 1/64 частоты синхронизации СПД. При отсутствии данных передатчик выдает в линию высокий уровень напряжения, при их поступлении — низкий уровень. Длительность одной посыл- ки (бита информации) определяется сигналами СПД (для пере- датчика) и СПр (для приемника). Получив низкий уровень напряжения, приемник воспринимает его как стартовый бит, а последующие восемь посылок (или десять, если используется контроль на четность или нечетность) — как бить информации. После передачи байта информации передатчик передает, а при- емник принимает столовый бит, представленный высоким уров- нем напряжения. После приема слова данных (рис. 10.51,6) в приемный буфер, сигнал «Готовность приемника» (ГПр) уста- навливается в состояние логической «1», подтверждающей готов- ность слова данных для ввода в МП. Если МП не считает из бу- фера это слово до начала приема приемником следующего слова, автоматически устанавливается триггер ошибки по переполне- нию, а слово данных, находившееся в буфере, теряется. Сбра- сывается триггер ошибок управляющим словом команды. 388
Программа обмена МП и ППИС во время передачи XMIT:IN ПОРТ С; чтение слова состояния (YC/D= 1) ANIMACK1; выделение бита DO (МАСК1 =00000001) JZ XMIT; переход к чтению, если ГПд = 0 MOV А, М; загрузка слова данных в аккумулятор из ЗУ ОПТ ПОРТ D; вывод слова данных (УС/D = 0). Программа приема данных из ППИС при программном обмене RECY:IN ПОРТ С; чтение слова состояния (YC/D=1) AN1 МАСК2; выделение бита DI (МАСК2 = 00000010) JZ RECY; переход к чтению, если ГПр = 0 AN1 МАСКЗ; анализ ошибок (МАСКЗ = 00111000) CNZ ERROR; переход к подпрограмме обработки оши- бок, если она обнаружена IN ПОРТ D; чтение данных (УС/Б = 0). В синхронном режиме прием и передача данных возможны только на частоте, которая подается на входы СПр приемника и СПД передатчика ППИС. При внутренней синхронизации ППИС выдает на линию передачи символы синхронизации до тех пор, пока не загрузится его выходной буфер. В это время выходной сигнал «Запрос приемника терминала» ЗПрТ = 1. После загрузки слова данных из МП в ППИС этот сигнал Рис. 10.52 ЗПрТ сбрасывается в состояние логического «0» и начинается выдача слова данных на линию. Выдачу очередного слова дан- ных можно запретить подачей логической «1» на вход «Готов- ность приемника терминала» (ГПрТ) передатчика Для задания работы приемника в режиме внутренней синхронизации вывод «Вид синхронизации» (ВС) приемника программируется управ- ляющей командой на работу в качестве выхода. Затем управ- ляющим словом команды приемник переводится в режим поиска символов синхронизации. Обнаружив символ синхронизации, последующую информацию приемник начинает воспринимать как последовательность слов, формат которых определен. При синхронизации (во время поступления последнего бита символа синхронизации) устанавливается сигнал ВС = 1, который ав- томатически сбрасывается по команде считывания слова-состо- яния (рис. 10.52,а). В режиме внешней синхронизации вывод ВС в ППИС приемника программируется на работу в качестве 389
входа, а в ППИС передатчика — в качестве выхода. Вход ВС приемника и выход ВС передатчика соединяются. При ьтом прием слов данных приемником синхронизируется по фронту сигнала ВС от передатчика (рис. 10.52,6). Подключение микросхемы КР580ВВ51 к магистрали МП системы показано на рис. 10.53 Выход передатчика (ВыхПд), вход приемника (ВхПр), выводы сигналов «Запрос передатчика (приемника) терминалов» (ЗПдТ (ЗПрТ), «Готовность передат- чика (приемника) терминалов» (ГПдТ (ГПрТ)) подключаются к схеме сопряжения по уровню преобразующей ТТЛ-уровни входных и выходных сигналов в уровни, необходимые для ра- боты с ВУ (дисплеем, накопителем на магнитной ленте и т. д.). Рис. 10.53 Программируемый контроллер ПДП (КПДП) (КР580ВТ57) [3; 76] представляет собой четырехканальный программируемый контроллер для организации непосредственной связи между ВУ и ЗУ в микропроцессорных системах. Основная функция контрол- лера — формирование адресов памяти и управляющих сигналов ЧТ/ЗП памяти или ВУ. Контроллер принимает запрос ПДП (ЗПДП), Обрабатывает его, формирует сигнал захвата шин МП, в результате чего отключается системная шина от МП и форми- руется последовательность адресов памяти и управляющих сигна- лов чтения / записи. По исчерпании требуемого числа данных обмена контроллер выдает управляющий сигнал ВУ об оконча- нии обмена. Каждый канал содержит 16-разрядный регистр адреса, позволяющий адресовать память объемом 64 Кбайт, и 14-разрядный регистр числа циклов обмена для пересылки массивов данных объемом 16 Кбайт. Направления обмена дан- ными (табл. 10.10), а также режим работы устройства задаются с помощью программы. Программирование осуществляется управляющими словами (УПС) загрузки начального адреса памяти, числа циклов опера- ций ПДПИ и типом операции ПДП (рнс. 10.54) . После записи управляющих слов контроллер ПДП готов к работе. Он прини- 390 II
Таблица 10.10 CS со АЗ А2 Al АО Направление передачи и вид операции Шина данных -с КПДП 0 1 0 0 0 0 0 Запись в регистр адреса канала 0 0 1 0 0 0 1 0 Запись в регистр адреса канала 1 0 1 0 0 1 0 0 Запись в регистр адреса канала 2 0 1 0 0 1 1 0 Запись в регистр адреса канала 3 0 1 0 0 0 0 1 Запись в регистр конца счета кана- ла 0 0 1 0 0 0 1 1 Запись в регистр конца счета кана- ла 1 0 1 0 0 1 0 1 Запись в регистр конца счета кана- ла 2 0 1 0 0 1 1 1 Запись в регистр конца счета ка- нала 3 0 1 0 1 0 0 0 Запись в регистр управления режи- мами КПДП -* Шина данных 0 0 1 0 0 0 0 Чтение регистра адреса канала 0 0 0 1 0 0 1 0 Чтение регистра адреса канала 1 0 0 1 0 1 0 0 Чтение регистра адреса канала 2 0 0 1 0 1 1 0 Чтение регистра адреса канала 3 0 0 1 0 0 0 1 Чтение регистра конца счета кана- ла 0 0 0 1 0 0 1 1 Чтение регистра конца счета кана- ла 1 0 0 1 0 1 0 1 Чтение регистра конца счета кана- ла 2 0 0 1 0 1 1 1 Чтение регистра конца счета кана- ла 3 0 0 1 1 0 0 0 Чтение регистра состояния 1 X X X X X X Высокоомное состояние мает запросы ПДП, обрабатывает их и выполняет операции пдп. В контроллере ПДП КР580ВТ57 (см. рис. 10.44,в) каждый канал ПДП имеет свой вход запроса (ЗПДПО...ЗПДПЗ). Внеш- ние устройства информируют о готовности к обмену данными подачей логической «1» на один из входов. При этом на одном из выходов ППДП0...ППДПЗ (подтверждение ПДП) появление логического «0» информирует ВУ о его выборе для обмена дан- ными по каналу ПДП. D7. .D0 — двунаправленная ШД, по которой информация обменивается между МП и контроллером ПДП при записи программы (управляющих слов в контроллер). При этом в обмене данными между ВУ и ЗУ двунаправленная ШД контроллера не участвует. 391
АО...АЗ — младшие разряды двунаправленной ША, кото- рые используются при записи в контроллер набора управляю- щие слов, а также для чтения содержимого регистров и как входы—для выбора регистра, с которым будет происходить обмен информацией. При работе в цикле ПДП АО...АЗ исполь- УПС Заерузки иачоPtwil cipeca яз Я1 Я1 яо Номер кант 67 Вв 65 69 ВЗ вг 61 во Я7 Кб Л5 Л* ЯЗ яг Я1 яо Младшие разряди авреса 67 66 65 69 ВЗ 02 61 ВО ЯП ЯП Я13 Ml Я11 МО ЯО 48 Стершие разряды адреса а УПС апврации и числа циклов яз 0 яг Я1 яо 1 17 16 15 19 13 вг и 10 07 се 05 04 03 сг Of со Младшие разряды числа циклов 67 ЧГ BS ЗП 014 69 013 ВЗ 011 вг сю 11 01 во св Операция пцп Старит разряди писи циклов УпС ренине яз 1 яг 0 Я1 0 яо 0 О 0 - контроль О 1 - запасе 1 0 - чтение 6 1 f - запрещено В7 АЗГР В6 1 15 I 19 емс\и£317\ цпр 63 РИЗ 12 РК2 В1 PKi 10 РКП '!-разрешениер Явтозаерузяа! ’ Р(мии обработка шзоа nrf Остановка приоритетов пеконцу счета 1-финсированные аботы 1 О-циклические Удлиненная запись в УПС слово - состояния яз 1 яг 0 яг 0 яо 0 67 68 65 69 ВЗ КОЗ вг ног 11 801 во 800 <-конец счета в канаке 1-обновление ванных Рис. 10.54 г зуются Для вывода младших четырех разрядов кода адреса. А4...А7 — однонаправленные линии связи ША с тремя состо- яниями, по которым выводятся соответственно А4...А7 разряды кодаадреса. ЗП ВВ (запись ввод-вывод) — двунаправленный управля- ющий вход-выход используется как вход для подачи сигнала на запись во внутренние регистры контроллера, при работе в цикле 392
кпДП — как выход для сигнала, разрешающего запись данный *из памяти во ВУ. ЧТ ВВ (чтение ввод-вывод) — двунаправленный управля- ющий вход-выход используется как вход для получения сигнала ЧТ ВВ от ШУ микро-ЭВМ используется при чтении содержимого внутренних регистров контроллера, а при работе в цикле ПДП — как выход для сигнала, разрешающего считывание данных из ВУ в ЗУ Таким образом, выводы ЧТ ВВ и ЗП ВВ контроллера подключаются к ЗУ и определяют для них направление и ин- тервалы времени, отведенные для записи и считывания данных. Сигналы, определяющие эти же интервалы для памяти, форми- руются на выходах ЧТ ЗУ и ЗП ЗУ, используемых для чтения из ЗУ и записи данных в ЗУ. М128 — выход сигнала маркера 128-го цикла ПДП, указы- вающий, что текущий цикл ПДП является по счету 128 циклом при передаче массива данных. ГОТОВ (готовность) ГТ — управ- ляющий вход, используемый при работе с медленными УВВ, у которых время записи информации больше, чем длительность такта работы микро-ЭВМ. Подача логического «О» на этот вход переводит схему в состояние ожидания, аналогичное состоянию ожидания для МП. КС (конец счета) — выход, логическая «1» на котором указывает ВУ, что текущий цикл обмена по каналу ПДП является последним при передаче массива данных. РАД (разрешение адреса) — выход, используемый для от- ключения всех магистралей микро-ЭВМ от МП, а также для запи- си старших восьми разрядов кода адреса в буферный регистр адреса и отключения схем выборки ВУ. При работе в режиме ПЦП выборка ВУ для обмена данными осуществляется с помо- щью выходных сигналов ППДП0...ППДПЗ. СТАД (строб, адреса) — выход, на котором формируется сигнал, записывающий старшие восемь разрядов кода адреса в буферном регистре. CS (выбор устройства) — вход выбора данной схемы. Логи- ческий 0 на входе ВУ должен формироваться дешифратором адреса по сигналам с разрядов А4...А16 микро-ЭВМ. Вход автоматически отключается при работе в цикле ПДП. СБРОС (R) — вход начальной установки схемы. Подача логической «1» обнуляет содержимое всех программно-доступ- ных регистров схемы, что, в свою очередь, отключает все кана- лы КО...КЗ. Ф2Т — вход сигнала Ф2Т. ЗЗХ (запрос захвата) •— сигнал этого выхода схемы подается на вход ЗЗХ МП. ПЗХ (подтверждение захвата) — вход, на который подает- ся выходной сигнал ПЗХ от МП, подтверждающий, что все маги- страли переведены в состояние высокого сопротивления Разряд АЗ управляет выборкой каналов (АЗ = 0) и реги- стра установки режима при записи или регистра слова состояния при чтении (АЗ =1). Прн выборке регистров каналов разпядАО выбирает регистр канала: при АО = 0 выбирается регистр адре- са ПДП, при АО = 1 — регистр конца счета. Разряды А2, А1 определяют номер канала (00 — канал 0, 01 — канал 1; 10 — канал 2; 11 — канал 3). При выборке регистров установки режи- ма и слова состояния А2...А0 — 000. С точки зрения программирования КПДП представляет со- бой массив из восьми двухбайтных специализированных рсги- 393
стров и двух регистров однобайтных (рис. 10.55). Все регистры КПДП программно доступны, но в регистр режима (РР) можно только записать, а из регистра состояния (PC) — только считать байт данных. Канальные регистры адреса (РА), в которые зано- сятся начальные адреса ЗУ для передаваемых массивов данных, и регистры числа циклов обмена (РЧЦ), в которые записываются числа, определяющие длину передаваемых массивов, могут ра- ботать в режимах записи и считывания. Два старших разряда регистра числа циклов Р15, Р14 определяют тип операции обме- на (00 — считывание содержимого регистров канала РА и РЧЦ; 01 — запись в ЗУ; 10 — чтение из ЗУ). Инициализация КПДП осуществляется загрузкой управ- ляющих слов (рис. 10.54) и исходных данных в адресуемые ре- гистры РР, РА, РЧЦ по ? 7 . . . 2°. командам OUT или коман- Potucmp ренина Рогистр состояния 15 Petutmp айрш о Канал q — 15 /413 Patucmp числа и,инло9 О 15 Рпистр оОроса. о Канал 1 — — . — ----------- tStW Pttucmp цикл$9 0 15 Регистр адрма о Начал 2 —1 15 /413 регистр циклов 0 15 Регистр аВреса О Качал 5 ——„ - ---------------------------—. 15 №13 Рагистр циклов О дам MOV (если адресация к ВУ ведется ячейками ЗУ). При этом линии А15...А4 ША после дешифрирования формируют сигнал CS (вы- борка) контроллера, а ли- нии АЗ...АО адресуют один из внутренних регистров КПДП. Каждый из 16-раз- рядных регистров канала обменивается парой команд OUT на этапе загрузки и па- рой команд IN при считы- вании. При этом первой ко- манде соответствует млад- ший байт, а второй — стар- ший байт слова. Перед началом программирования Рис. 10.55 КПДП регистр режима ус- танавливается в нулевое состояние по сигналу СБРОС (R), запре- щая тем самым работу всех каналов КПДП. Потом загружаются регистры РА, РЧЦ всех каналов и управляющего слова режима (УСР) в регистр режима. Младшие полбапта D3...D0 (рис. 10.54,в) РК^— (разрешение канала) используются для маскирования сигналов ЗПДП. Если разряд разрешения канала РК(= 0, то КПДП удовлетво- ряет запрос ПДП t-ro канала. Разряд D4 (рис. 10.54,в) ^Цикли- ческий приоритет» (ЦПР) определяет порядок работы схемы управления приоритета: при ЦПР = 0 распределение приори- тетов между каналами ПДП фиксировано (PK0 — высший при- оритет, РКЗ — низший), а при ЦПР = 1 реализуется режим циклической смены приоритетов. При циклической смене приори- тетов после каждого цикла ПДП схема приоритетов меняется: каналу, который выполняет цикл ПДП, присваивается самый низкий приоритет, а остальным каналам — приоритеты, следу- ющие по уровню. Например, РКО (низший приоритет), РК1, РК2, РКЗ (высший приоритет), конец 1 цикла ПДП, РК1 (низ- ший приоритет), РК2, РКЗ, РКО (высший приоритет), конец 2 цикла ПДП и т. д. Если в УСР разряд D5 — 1, то КПДП гене- рирует сигналы ЗПВВ и ЗПЗУ удвоенной длительностью, что обеспечивает временное согласование с памятью без использова- 394
ния сигнала готовности (ГТ) и перевода КПДП в состояние ожи- дание. Если разряд «Остановка по концу счета» (ОПКС) D6 = 1, то каждый канал КПДП передает только одни блок данных, так как по сигналу «Конец счета» (КС) сбрасывается в нуль разряд разрешения работы канала РК, соответствующего кана- ла. Повторная работа этого канала возможна только после за- несения нового управляющего слова в регистр режима. Если разряд D6 = 0, реализуются многоблочные передачи данных по каналам ПДП. Режим автоматической загрузки (АЗГР) прн D7 = 1 позволяет использовать канал 2 для повторной пере- дачи блока или последовательности блоков без вмешательства МП в промежутке между передачей блоков. ЦП МП системы может прочитать состояние контроллера в виде содержимого регистров каналов (РА и РЧЦ) или слово- состояния. Считывание слова-состояния (рис. 10.54,г)позволяет определить, в каком из каналов КПДП достигнут конец счета (D3...D0) и выполняется ли дополнительный цикл для перегруз- ки канала 3 в режиме автозагрузки (D4). Разряды D3...D0 регистра состояния устанавливаются в «1» в момент появления на выходе контроллера сигнала (КС) «Конца счета» для данного канала и остаются в таком состоянии, пока не будет прочитано состояние КПДП. Сигнал СБРОС (R) также обнуляет разряды D3...D0. Разряд D4 устанавливается в состояние логической «1» в режиме автозагрузки, т. е. когда АЗГР = 1 (D7 = 1 регистра режима). Пока D4 = 1, инициализация КПДП на передачу новых блоков данных запрещена. Состояние D4 не изменяется после чтения состояния контроллера. Установка D4 в состояние логического «О» осуществляется либо сигналом СБРОС (R), либо загрузкой нового УСР, в котором разряд D7 — 1. На период инициализации и считывания КПДП прерывания запрещены командой DI. На рис. 10.56,а изображена схема подключения контроллера ПДП к магистрали МП системы. В виду того, что старшие восемь разрядов адреса А15...А8 записаны в буферном регистре, конт- роллер КР580ВТ57 подключается к магистрали совместно с бу- ферным регистром (БР) типа КР580ИР82. Временные диаграммы, поясняющие процесс записи старших восьми разрядов адреса в БР, показаны на рис. 10.56,6, работу схемы в цикле передачи данных по каналу ПДП — на рис. 10.56, в и при различных на- правлениях обмена данными по каналу ПДП — на рис. 10.56,е. При ВВ по прерываниям действия по вводу-выводу иницииру- ют сами ВУ, генерируя сигнал прерывания, который несет информацию о готовности ВУ передать или принять данные. При восприятии сигнала прерывания МП приостанавливает выполнение текущей программы и переходит к подпрограмме об- служивания прерывания соответствующего ВУ, обменивается с ним данными и возобновляет выполнение прерванной програм- мы. Внешне реакция МП на прерывание похожа на вызов под- программы. Однако вызов подпрограммы запрограммирован и полностью предсказуем, а вызов прерывания осуществляется внешним сигналом, момент возникновения которого предсказать невозможно. Тем не менее прерывание можно считать внешним (аппаратным) вызовом подпрограммы. Обслуживание запросов прерывания от одного ВУ не вызы- вает затруднений, так как начальный адрес подпрограммы обслу- живания прерывания можно зафиксировать аппаратно. Ситуация несколько усложняется, когда на вход ЗАПРОС ПРЕРЫВАНИЯ 393
микропроцессора подаются сигналы прерываний от нескольких ВУ. Поэтому приходится присваивать ВУ приоритеты, и при одновременном возникновении ЗПР от ВУ первым обслуживает- ся ВУ с наивысшим приоритетом. Приоритеты ВУ устанавлива- ются по максимально допустимому времени ожидания или обслу- Рис. 10.56 живания: чем меньше время ожидания, тем выше приоритет. При организации в МП системе одноуровневых прерываний начатое обслуживание любого ВУ не может прерваться запроса- ми на обслуживание от других ВУ независимо от приоритета. При организации многоуровневых прерываний запрос от ВУ с большим приоритетом может прервать подпрограмму обслужи- вания ВУ с меньшим приоритетом. 396
При одноуровневом прерывании (рис. 10.57) с несколькими ВУ сигналы ЗПР от всех ВУ объединяются по схеме ИЛИ и по- Рис. 10.57 даются на вход ЗПР (INT) микропроцессора. При появлении сигнала ЗПР на соответствующем входе МП выполняет следу- ющие операции (рис. 10.58): 1) команду RST7 с передачей управ- ления ячейке, например, с адресом 56; 2) запрещает восприятие Рис. 10.58 Программа опроса АУ fad йперанд Комментарии л Запрет прерыданил PUSH PSW PSW Запоминание АиР PUSH в в ] Залеминцние PUSH fl в ? РОН в стене push H н /7 6У0 ВдоО состояния ВУО Wi OfH Вьдеяени еотоднорти ВУ! JHZ ВУ! ОбслужиАаш ВУ! IN ено В$<зд сестмш ВУО AHI огн Выделение ютодности 8У2 JNZ виг Обсяижидание ВУ2 IN ВУО diedсостояния вУО AN! ОЗН выделение еотодности ВУЗ SNZ ВУЗ Обслуживание ВУЗ POP -V н POP £ в весстонодленае POP в в РОН, AuF POP PSW PSW SI Разрешение прорыОа^': Rtt В аз брат последующих сигналов ЗПР на входе МП с помощью команды D1 (запрет прерывания); 3) загружает содержимое PQH и А в стек; 4) опрашивает программно в установленной последова- тельности ВУ и определяет адрес источника прерывания; 5) об- работку прерывания (ввод-вывод данных); 6) восстанавливает содержимое РОН и А; 7) снимает запрет на дальнейший прием 397
еигиала ЗПР с помощью команды EI (разрешение прерывания)) 8) возвращается к выполнению прерванной программы. При программном опросе признак ЗПР каждого ВУ прове- ряется в соответствии с программно реализуемой последователь- ностью опроса, поэтому приоритет каждого ВУ определяется его местом в последовательности опроса, и устройство ВУ1 (рис. 10.58) имеет наивысший приоритет. Рассмотренный способ прерывания является сравнительно медленным, и запрещение прерывания на время обслуживания программы обработки прерывания ВУ может привести к потере запросов быстродей- ствующих ВУ. При многоуровневом прерывании ВУ присваиваются опре- деленные приоритеты, причем основной программе присваивается низший приоритет. При возникновении ЗПР от ВУ, приоритет Рис. 10.59 Рис. 10.60 которого выше приоритета текущей подпрограммы обработки прерывания, МП реагирует на прерывание, а запросы от ВУ, приоритет которых ниже,— игнорируются. В системе команд МП КР580ИК80А имеется восемь команд RST0...PST7 (см. табл. 10 6), которые присваиваются ВУ. Для дифференцирова- ния ЗПР используется восьмивходовая (R0...R7) комбинацион- ная схема (рис. 10.59) шифратора приоритетов (ШП), на выхо- дах А2, А1, АО которого формируется трехбитовый код вектора ААА (табл. 10.11), соответствующий запросу от ВУ с наивысшим приоритетом (чем больше код вектора ААА, тем выше приоритет). В процессе инициализации каждой программы в регистр порога загружается программа по команде OUT трехбитовый код при- оритета текущей программы. Входной код ШП с помощью ком- паратора А > В сравнивается со значением порога В. На выходе компаратора формируется сигнал, когда приоритет ВУ, запро- сившего обслуживания, выше порога. Выход ЗПР шифратора приоритетов и выход компаратора объединяются по схеме И и ге- нерируют сигнал ЗПР для МП. После того как МП воспринял запрос i-го ВУ и выполнил команду RST, он переходит к следующей операции: 1) загрузка в стек содержимого РОН, A, PSW которые используются при обработке запроса j-го ВУ; 2) загрузка в стек значения текущего 398
Таблица 10.11 приоритету i-ro ВУ, и сброс его сигнала прерывания; 4) разре- шение прерываний командой Е1; 5) обмен данными с t-м ВУ; 6) запрещение прерываний командой DI; 7) восстановление зна- чения порога прерываний программы; 8) восстановление состо- яния МП; 9) разрешение прерываний командой EI; 10) возврат к прерванной программе командой RET. Следует учитывать, что прерывания, генерируемые во время выполнения команды EI, воспринимаются после завершения ко- манды, следующей за командой EI. МП не реагирует на прерыва- ния при запрещении их командой DI, в состояниях ожидания и прямого доступа к памяти. В цикле прерывания (в течение времени выполнения команды RST А) МП запрещает прерывания, загружает в стек адрес возврата и передает в СК начальный адрес подпрограммы обработки прерывания. Программируемый контроллер приоритетного прерывания (ПКПП) КР580ВН59 (рис. 10.60) обеспечивает обслуживание ВУ по запросу прерывания программы МП с учетом уровня при- оритета. Он управляет запросами прерывания по восьми уровням и содержит внутренние схемы, позволяющие наращивать уровни (до 64). Его программирование осуществляется с помощью си- стемного программного обеспечения и аналогично программиро- ванию ВУ ввода-вывода [64; 81]. 399
АО D7 DS DS Di D3 DI Df DO В2...ВО-д!оичтий ней 3nir8tipafemaSiueti ЗПР (указатель Вектора прерывания) a AO D7 DS DS Di IS '"D2. Df DO УЖ 1 АО Af5 № A13 Afl AU AfO AO AS Старший Сайт бмманОе CALL D7 DS DS if Di D3 D2 Df DO УСКЗа 1 07 US US Ui us Ul Ul UO ДмВеОущемПНПП ! Имеет ВеОамега На Вход ЗП[ Ведомого ПНПП 0 не имеет На Вход 3/1( ЗПР от 8У 6 AO scsis 1 D7 DS DS Di D3 D2 Df DO 0 0 0 0 0 иг U1 ио Цяя баОеитеПИПП ПКПП принимает запросы пре- рываний (ЗПР), осуществляет и я приоритетную обработку и форми- рует сигналы ЗПР центральному процессору. Приняв от ЦП сигнал РПР, контроллер формирует инфор- мацию, идентифицирующую источ- ник запроса прерывания, принятый к обслуживанию, и передает управ- ление по обслуживанию запроса ЦП. Контроллер можно запрограмми- ровать на режим векторного преры- вания (адрес подпрограммы обслу- живания формируется командой CALL) с фиксированным приорите- том, с циклическим перераспреде- лением приоритетов, с адресуемым М Мпп иг ио 0 0 0 0 f 0 0 f г 0 1 0 3 0 1 f i 1 0 0 S 1 0 f 6 1 1 0 8 1 1 f г Рис. 10.61 распределением приоритетов и ре- жим опроса ВУ с приоритетом, оп- ределяемым местом ВУ в последовательности опроса. Сигналы управления ЗП, ЧТ, АО и CS определяют вид обрабатываемой информации и направление передачи между ЦП и ПКПП (табл. 10.12). Программируется ПКПП управляющими словами инициали- зации (УСИ) и управляющими словами операций (УСО). Вводят- 400
ся УСИ и УСО с помощью команды OUT. УСИ подаются перед началом работы ПКПП, а УСО служат для оперативного изме- нения режима обслуживания прерываний и могут подаваться в любое время в процессе работы контроллера. Управляющие слова УСИ1, УСИ2 (рис. 10.61,а, б) задают начальные адреса подпрограмм обслуживания (D7...D5 в УСИ1 и D7...D0 в УСИ2), определяют наличие в системе одного или нескольких ПКПП (D1 вУСИ1), и интервал 4 (или 8) байтов под- программ обслуживания (D2 в УСИ1). Общий объем памяти для подпрограмм обслуживания может составлять 32 или 64 байта. Рис. 10.62 Процедура инициализации (рнс. 10.62) заключается в после- довательном вводе в ПКПП УСИ1 (АО =0, D4 = 1), УСИ2 (АО = 1). Перед проведением инициализации прием прерываний МП должен быть запрещен с по- мощью команды DI. После за- грузки УСИ1 в контроллере вы- полняются следующие операции: сброс регистра запросов прерыва- ния (РЗП), входы запросов пре- рываний ЗПО...ЗП7 блокируются от поступления сигналов запро- сов (ЗП;); сброс в нуль регистра маски прерывания (РМП), триг- гера маскирования (ТМ) и триг- гера чтения (ТЧ); входу ЗП7 присваивается тет 7. В случае МП системе нескольких ПКПП ___ один из них выступает в качестве ведущего (ВДМ = 1), а ос- тальные — в качестве ведомых (ВДМ = 0). Все ПКПП иници- низший приори- использования в ируются отдельно, вначале для каждого из них загружаются УСИ1, УСИ2, а затем вводится УСИЗ (рис. 10.61,в, г), которое определяет соподчиненность ПКПП. В ведущий ПКПП вводится УСИЗа, при этом во всех трех разрядах регистра подчинения, которые соответствуют запросам от ведомых ПКПП, устанавли- вается «1». В ведомых ПКПП вводится УСИЗб, при этом.в раз- рядах 2, 1, 0 регистра подчинения записывается код, который идентифицирует ее номер. В процессе работы в ведомом ПКПП этот номер сравнивается с кодом на входах шины каскадного соединения КС2...КС0, поступающим от ведущего ПКПП, и если они окажутся равными, то ПКПП выдает второй и третий байты команды CALL ADR — адрес подпрограммы обслужива- ния прерывания. Управление режимами работы ПКПП, изменение приорите- тов, управление маскированием прерываний осуществляются управляющими словами операции (рис. 10.63). Управляющее слово операции УСО1 (рис 10.63,а) исполь- зуется для маскирования отдельных запросов прерывания во вре- мя исполнения программы. Для маскирования соответствующе- го входа запроса прерываний (ЗП,) в соответствующем разряде D; УСО1 указывается «1». При этом сигналы ЗПг- фиксируются, но не обслуживаются до тех пор, пока программа не снимет маску. Управляющее слово УСО2 (рис. 10.63,6) используется для программирования циклической обработки приоритетов (D7, 401
1- US US DO DS иг Di DO М7 MS MS МО MS иг М1 МО I I II II I 1 Сигш ЗП маснируотск 0 Маски каЗП{ нот a M DI DS DS DO US иг Df DO Спсциилышй ионоц прцнёвш 1 ПШ АР2..ЛР0 испомзуото 0 Поло ОР2..АРО но uenMoiyemcil D2,,.D0) и конца подпрограммы обработки прерываний (D6, D5, D2...D0). В табл. 10.13 перечислены операции, которые можно задать с помощью УСО2. Каждая подпрограмма обслуживания преры- ваний должна сообщать ПКПП о своем завершении передачей в него одного из управляющих слов: конец прерывания (КП) или специальный конец прерывания (СКП), которые имеют мо- дификации для системы с фиксированными приоритетами и для 402
Таблица 10.13 УСО2 D7 D6 D5 D4 D3 D2 D1 DO Операции КП 0 0 1 0 0 X X X Конец прерывания. Фик- сированный приоритет. Сброс разряда РО с выс- шим приоритетом кпц 1 0 1 0 0 X X X Конец прерывания. Цик- лический сдвиг приорите- тов. Присвоение обслу- женному ЗП; низшего приоритета (НП) скп 0 1 1 0 0 АР2 API APO Специальный конец пре- рывания. Фиксированный приоритет АР2...АР0. Ад- рес сбрасываемого раз- ряда в РО скпц 1 1 1 0 0 АР2 API APO Специальный конец пре- рывания. Циклический сдвиг приоритета АР2... API. Адрес сбрасываемого разряда в РО и присвое- ние ему НП УПЦ 1 1 0 0 0 АР2 API APO Установка приоритета. Циклический сдвиг прио- ритета без завершения обслуживания прерыва- ния АР2...АР0 Адрес входа ЗП;, кото- рому присваивается НП системы с циклическими приоритетами. Кроме того, управля- ющее слово установки приоритета циклического (УСУПЦ) позволяет программно определить вход ЗП; с низким приорите- том независимо от УСКП и УССКП, т. е. без изменения содер- жимого регистра обслуживания (РО). При этом адресуемому полем АР2—АР0 входу ЗП(- присваивается низший приоритет, а приоритеты остальных входов располагаются по кольцу. Управляющее слово УСОЗ (рис. 10.63,в) используется для управления режимом специального маскирования (СМ), для чтения состояния ПКПП и управления работой ПКПП в режиме прерываний по результатам опроса, С помощью УСОЗ МП счи- тывает состояние ПКПП, т, е. перегружает в РОН для после- дующего анализа содержимое регистра запроса прерываний (РЗП). регистра обслуживания (РО), регистра маски прерыва- ния (РМП) и код уровня запроса прерывания (КУЗП) с наивыс- шим приоритетом. После подачи УСОЗ обслуживания по ре- зультату опроса по команде IN (ЧТ = 0) в МП передается слово состояния ПКПП, формат которого и назначение полей показаны на рис. 10.63,а Обслуживаются ЗП; запросы ВУ на прерывание после анализа считанного слова состояния ПКПП специальной программой, которая определяет, какой из прошедших ЗП; 403
обладает наивысшим приоритетом по сравнению с приоритетом в текущий момент времени программы. Использование режима прерываний по результату опроса целесообразно, когда для ВУ имеется общая подпрограмма обслуживания. Другим важным применением режима опроса является его использование в системе, содержащей более 64 ВУ. Последовательность работы ПКПП после записи УПС сле- дующая: 1) по входам ЗП7...3П0 ПКПП принимает сигналы от ВУ, запрашивающих обслуживание; 2) ПКПП анализирует приоритеты поступивших запросов и посылает сигнал ЗПР на ЦП (КР580ВМ80А); 3) ЦП подтверждает получение запроса 404
прерывания выдачей сигнала ППР (КР580ВК28); 4) после по- лучения сигнала ППР ПКПП выдает на шину данных D7...D0 код команды с CALL (11001101); 5) под воздействием кода опера- ции CALL ЦП должен сгенерировать еще два сигнала ППР (КР580ВК28); 6) ПКПП выдает па ШД два байта адреса под- программы обслуживания прерывания (вначале младшие раз- ряды, а затем старшие) и хранит бИ1 обрабатываемого запроса до тех пор, пока не завершится выполнение подпрограммы обслу- живания прерывания. На рис. 10.64 изображено каскадное соединение ПКПП. Функции ведущего (ВДМ = 1) и ведомых (ВДМ = 0) ПКПП определены подачей соответствующих сигналов на входы ВДМ контроллеров. Предварительно каждому ПКПП присваивается Рис. 10.65 В7 ВО D5 J» S3 St Dt SO вс/ bus чм j vis m pm pm) [ Bbifoo .счетчика О | счетчик о а 'счетчикТ счетчик 2 запрет □I QI 01 D1 jРежим оаОдты Режим О Режим! Режим I 01301 ООО! 0001 ППДЕЯЭД ППОЕЗЗЕП ПИП — ________ O' вбоичкмй r- Оееятичкии ____________Режим О \ чтение/мерцена Чтоит f Загмзм только еемОшщ ffeumt о тбиьно стираем Райта Оягру/м ммО&еее, е s s его номер (путем загрузки в него соответствующего УСО), ко- торый равен номеру входа ЗП, ведущего ПКПП, к которому подключается вывод ЗПР ведомого ПКПП, а выбирается тре- буемый ПКПП центральным процессором через адресный де- шифратор AD. Программируемый интегральный таймер (ПИТ) КР580ВИ53 (рис. 10.65,а) представляет собой трехканальный таймер-счет- чик, вырабатывающий временные интервалы (ВИ). Длитель- ность ВИ можно задавать программно в двоичной или двоично- десятичной системе счисления. Счетчики ПИТ работают в диапа- зоне частот от 0 до 2 МГц [64; 81]. Каждый канал программно можно построить на один из шести режимов работы: режим 0 — программируемая задержка, режим 1 — ждущий мультивибратор, режим 2 — делитель ча-- стоты, режим 3 — генератор меандра, режим 4 — строб с про- граммным запуском, режим 5 — строб с аппаратным запуском. Инициализация и управление работой ПИТ (табл. 10.14) осуществляется с помощью управляющих слов (рис. 10.65,6). УПС записывается в любом порядке очередности выбора канала (D7, D6). Очередность начальной п загрузки счетчиков произ- вольная. Однако выбранный счетчик канала обязательно дол- жен быть загружен тем количеством байтов, которое было за- программировано в УПС (D5, D4). Кроме того, зшрузка счстчп- 405
Таблица 10.14 ЗП ЧТ А1 АО CS Направление передачи н вид операции 0 1 1 1 0 ШД-+ПИТ. Загрузка УПС в счет- чики 0, 1 и 2 0 1 0 0 0 ШД->ПИТ. ’’агрузка счетчика 0 0 1 0 1 0 ШД->ПИТ. Загрузка счетчика 1 0 1 1 0 0 ШД->ПИТ. Загоузка счегчика 2 1 0 0 0 0 ШД -> ПИТ. Считывание счетчика 0 1 0 0 1 0 ШД->ПИТ. Считывание счетчика 1 1 0 1 0 0 ШД-+-ПИТ. Считывание счетчика 2 * * * * 1 ШД. Отключена ков обязательно должна следовать сразу же после записи ре- жима. Последовательность программирования отдельного ка- нала следующая: УПС режима счетчика, младший байт загрузки счетчика; старший байт загрузки счетчика. Пример программирования счетчика 0, адресуемого разря- дом А4, в режиме 0 в двоичном коде MVI А, 00110000; формирование УПС OUT 11101111; загрузка УПС в ПИТ MVIA, (младшего байт); формирование п загрузка младшего байта в счетчик 0 OUT 11101100 MVI А, (старшего байт); формирование и загрузка старшего в счетчик 0 OUT 11101100 Следует отметить, поскольку счетчики каналов работают на вычитание, то при загрузке всех разрядов нулями счетчик дает максимальное число при счете 216 в двоичном коде или 104 в двоично-десятичном коде. При этом конечным числом, на ко- торое реагирует схема управления канала, является число «0», начальным — число, загруженное в счетчик. Анализ работы ПИТ в различных режимах необходимо проводить с учетом взаимодействия сигнала разрешения Р( (табл. 10.15), который формируется на периферии МП системы. Временные диаграммы работы ПИТ во всех режимах показаны на рис. 10.66. В режиме 0 прн подаче сигнала Р = 1 счетчик начинает работать. Перезагрузка счетчика во время счета приводит к сле- дующему: загрузка младшего байта останавливает счет, а за- грузка старшего байта запускает новый цикл счета. Появление сигнала Р = 0 в процессе счета приостанавливает работу счет- чика и сохраняет текущее значение, с которого и продолжается процесс счета после восстановления разрешающего сигнала. В режиме 1 длительность выходного импульса г‘вых= пт0, где т0— период счетных импульсов (СИ); п — число, загружен- ное в счетчик. Если во время работы в счетчик загружается но- вая величина nt, то это не повлияет на длительность текущей выдержки одновибратора до следующего запуска. Одновибратор 406
Таблица 10.15 Режим работы Состояние сигнала разрешение Нуль или спад сигнала Нар тстание сигнала Единица 0 программируе- Запрещает — Разрешает мая задержка счет 1. Запускает счет сначала 2. Устанавли- вает Вых = 0 в следующем такте СИ счет 1 — ждущий мультивибратор 2 — делитель 1. Запрещает Запускает счет Разрешает частоты счет 2. Устанавли- вает Вых = 1 сначала счет 3 — генератор 1. Запрещает Запускает Разрешает меандра счет 2. Устанавли- вает Вых = 1 счет сначала счет 4 — строб Запрещает —- Разрешает с программным запуском счет Запускает счет сначала счет 5 — строб с аппаратным запуском является перезапускаемым, т. е. каждый сигнал Р = 1 по пе- реднему фронту запускает счет или перезапускает его сначала, даже если счет не завершен до конца В режиме 2 счетчик делит входную частоту СИ fin, где п — число, загруженное в счетчик Сигнал Вых = 1 имеет длительность (и — 1)т0. Длительность выходного сигнала (Вых = 0) равно периоду СИ. Перезагрузка счетчика не влияет на длительность текущего периода, но изменяет длительность следующего периода. В режиме 3 формируются прямоугольные импульсы типа меандр (со скважностью 2) Период выходного сигнала 7’PbiX = = пт0, при этом длительность положительного и отрицательного полупериодов т0л/2, если п четное. При нечетном п положитель- ный полупериод т0(л + 1,/2, отрицательный т0(л — 1) / 2, при- чем 3 В режиме 4 на выходе канала формируется строб логиче- ского «0» с длительностью, равной периоду т СИ, и программно- управляемой задержкой, длительность которой определяется числом п периодов СИ, отсчитываемых от момента появления разрешающего сигнала Р = 1. Работа режима 5 аналогична работе режима 4 с той разни- цей, что счетчик канала после загрузки начинает счет только по нарастанию разрешающего сигнала. Кроме того, если во время 407
счета на входе разрешения Р появится передний фронт сигнала, то счет начинается сначала. Режим чтения. Содержимое любого счетчика может быть считано под воздействием программы. Это полезно в тех слу. чаях, когда на основе считанного значения принимается решение о дальнейшем ходе вычислительного процесса. Считывать те- кущее значение счетчика ПИТ в МП можно двумя способами: операцией чтения или чтения «иа лету». В первом способе ис- пользуется команда ввода 1N. Однако при этом иа время вы- почнения one; ?ции считывания необходимо приостановить ра- боту счетчика сигналом Р = 0. Кроме того, операцию чтения 408
содержимого счетчика выбранного канала необходимо" выпол- нить до конца, т. е. если запрограммировано чтение двух байтов, то нельзя читать только один бант. Второй способ считывания содержимого счетчика без прерывания счета (чтение «на лету») требует предва- рительной загрузки в ре- гистр режима УПС с ко- дом 1000ХХХХ. Однако особенностью этого спосо- ба чтения является невоз- можность предварительной записи УПС отдельно по каналам: УПС выдается ЦП перед чтением. Например, последова- тельность чтения содержи- мого счетчика 1, адресуе- мого разрядом А4, имеет Рис. 10.67 вид MVI А, 10000000; формирование УПС чтения «на лету» OUT ИЮНИ; запись УПС в ПИТ IN 11101100; чтение младшего байта счетчика IN И101100; чтение старшего байта счетчика На рис 10.67 изображена схема сопряжения ПИТ КР580ВИ53 с магистралью МП системы. Глава 11 ОДНОКРИСТАЛЬНЫЕ МИКРО ЭВМ 11.1. ОДНОКРИСТАЛЬНЫЕ 8-РАЗРЯДПЫЕ МИКРОЭВМ СЕРИИ К1816 Однокристальные микро-ЭВМ (ОМЭВ.М) используют в устрой- ствах цифровой обработки в качестве микроконтроллера, для которого требуются короткие программы, небольшой объем памяти для переменных, и он имеет ограничэнные возможное ш по вводу-выводу информации (см. табл. 10.2) [10; 30; 46; 54]. Однокристальные микро-ЭВМ серии К1816 (рис. 11.1, П 2) представляю-г собой функционально законченные устройств'-), содержащие центральный процессор ЦП, память программ РПЗУ, память данных ОЗУ, многоканальный интерфейс ввода- вывода Р0, Pl, Р2, 8-разрядный таймер-счетчик ТС, регистр адреса РА, устройство управления и синхронизации, дешифра- тор команд ДК и регистр команд Р К [37; 44; 50; 66; 81]. Преду- смотрена возможность расширения памяти программ до 4 КбаГт, памяти данных до 256 байт и увеличения числа линий ввода-вы- вода за счет подключения внешних ПЗУ, ОЗУ и интерфейсов ввода-вывода серии КР580. Все выводы ОМЭВМ совместимы с элементами ТТЛ. Входы представляют собой единичную на- грузку, а выходы нагружены одной ТТЛ-нагрузками. Центральный процессов обеспечивает выполнение арифмети- ческих и логических операций и операций сдвига над данными, 409
представленными в двоичном или двоично-десятичном кодах. В состав ЦП входят АЛУ, аккумулятор А, регистр временного «ранения РВХ операнда, схема десятичного корректора СДК, +5Вр2бВ) +5В 251 ОоЧ 12 to_ 15 /6 17 18 19 U0 01 02 05 00 05 Об 07 7Z7 С7ВВ 1 25 5 7 0 Т1 XI Х2_ ЗПР 2 59 РЮ Р11 Р12 РЮ РЮ Р15 Р16 РП Р20 Р21 Р22 Р25 Р20 Р25 Р26 Р27 •РА 27 28 29 50 ' Д_ 32_ 55 50 21_ 22_ 23 20 35 36 57 58 8 9 .10 11 2g Я схема условных переходов СУП, схема формирования признаков СФП, часть из которых фиксируется в регистре ело- во-состояния программы РССП и ис- пользуется логической схемой управле- ния переходами по программе. Комбинационная схема АЛУ вы- полняет следующие операции: сложе- ния байта с переносом или без него, логические операции И, ИЛИ и исклю- чающее ИЛИ; инкремент и дискремент байта; инверсию байта; циклический сдвиг влево и вправо (через или минуя признак переноса); обмен тетрад в бай- те; десятичную коррекцию. Результат выполнения операций АЛУ всегда зано- сится через внутреннюю ШД в акку- мулятор. Аккумулятор представляет собой 8-разрядиый регистр для записи и хра- нения данных, подаваемых с внутрен- ней ШД. Регистр временного хране- ния (программно-недоступный) пред- ставляет собой 8-разрядный регистр. Он предназначен для записи и хране- ния второго операнда при выполнении операций в АЛУ, Схема десятичного корректора предназначена для обра- ботки данных, представленных в двоич- Рис. 11.1 но-десятичном коде. При выполнении операций в АЛУ формируются признаки СФП, часть из которых не фиксируется в РССП. К таким при- 410
Слаба -ыстсянцз пвцргиыи и it as st 1з цг т т С 4С Ft SS SI Sf St знакам относятся признаки нулевого содержимого аккумулятора и признак наличия единицы в селектируемом разряде аккумуля- тора. Схема условных переходов по указанным признакам позво- ляет без фиксации выполнять команды передачи управления (JZ, JNZ, JB0—JB7). Признаки переноса (переполнения С) и вспомогательного переноса (перенос нз младшей тетрады в стар- шую АС) фиксируются в РССП (рис. 11.3). Регистр слово-состояния программы (PSW) предназначен для хранения данных о состоянии микро-ЭВМ. РССПможет программ- но проверяться, модифицироваться весь и поразрядно. При пре- рываниях по входу «Запрос прерывания» (ЗПР) и по признаку переполнения таймера-счетчика FT содержимое (D7...D4) РССП заносится в стек, а при возврате из программы прерывания содержимое этих разрядов восстанавливается Условия пере- хода определяются также состояниями входов ТО, Т1, ЗПР, признаками пользователя FO, F1, признаком переноса С, при- знаками банка регистров общего назначения (BS) и выбранного блока внешней памяти программ МВ1. Значения признаков С, F0, Fl, FT устанавливаются програм- __ MHO, признаков ТО, Т1, ЗПР— tuftmn i tnui Hmtmin emtmSP аппаратно. Память программ (ПП) пред- Рис. 11.3 назначена для хранения и считы- вания команд, которые поступают в ЦП и управляют процессом обработки информации. Объем адресуемой счетчику команд па- мяти программ составляет 4 Кбайт. Счетчик команд содержит 12 разрядов, 11 из которых меняются последовательно от 000и до 7FFH. Прн переполнении младших 11 разрядов с 7FFH в 000ц переноса в 12-й разряд не происходит. Состояние 12-го разряда (МВ) счетчика команд можно изменять специаль- ными командами SEL МВО, SEL МВ1. Содержимое СК может изменяться не только последовательно, но и произвольно прн выполнении команд условных и безусловных переходов, а так- же при прерывании. Таким образом, все адресное пространство РПЗУ состоит из двух банков МВО и МВ1 по 2 Кбайт (рис. 11.4). В памяти программы имеются трн специализированных адреса: адрес «О», к которому передается управление сразу после окончания сигнала «Сброс» (R), по адресу «О» должна нахо- диться команда безусловного перехода к началу программы; адрес «3», по которому расположен адрес подпрограммы, вы- званной по сигналу «Запрос прерывания» (ЗПР) при условии, ч го прерывание ^разрешено ранее по команде ENI, при этом сигнал «Сброс» (В) запрещает прерывания; адрес «7», по кото- рому расположен адрес подпрограммы, вызываемой по пере- полнению FT таймера-счетчика при условии, что прерывание разрешено. Память программы разделяется не только на банки МВО (.МВ ।) объемом 2 Кбайт, но и на страницы по 256 бант в каждой. В командах условного перехода задается 8-разрядный адрес передачи управления в пределах текущей страницы. Прн обра- щении к ячейкам ПП применяют два способа адресации: непо- средственная, прн которой второй байт двухбайтной команды представляет собой операнд; косвенная, при которой содер- 411
жимое аккумулятора используется в качестве указателя данных в текущей странице или в 3-й странице памяти программ. Сле- дует отметить, что в ПП старший байт двухбайтного слова рас- полагается в ячейке с меньшим адресом. Если адрес выборки команды выходит за пределы ПП, то автоматически инициализируется внешняя память. При этом содержимое СК выводится на 8-разряднуго ШД и на четыре млад- ших разряда регистра Р2. Сигнал «Фиксация адреса» (ФА) зад- ним фронтом фиксирует действующий адрес внешнего устройства (ВУ), а сигнал «Считывание из внешней памяти» (СЧВП) стро- бирует выборку байта из внешней памяти на внутреннюю ШД. Память данных (ОЗУ) предназначена для записи, хранения и считывания данных, получаемых в процессе обработки инфор- мации. Память данных, состоящая из 64 (128) ячеек ОЗУ, разбита на два банка BSO, BS1 регистров общего назначения (РОН) с адресами 0—7 и 24—31 по восемь регистров в каждом (рис. 11.5). Переключаются банки программно с помощью команд SEL RBO, SEL RB1. Восьмиуровневый 16-разрядный стек с адресами от 8 до 23 и ячейки ОЗУ от 32 до 63 используются только как ОЗУ данных. РОН доступны командам с прямой адресацией, а все ячейки ОЗУ доступны командам с косвенной адресацией. В качестве регистров косвенной адресации используются регистры RO, R1 обоих банков. Ячейки ОЗУ адресуются указателем стека (S2, SI, SO) из РССП и их можно использовать в качестве 8-уровне- вого стека (рис. 11.6). Загрузка в стек приводит к увеличению указателя стека (S2, SI, SO), чтение из стека —к уменьшению. Независимо от типа адресации три младших разряда кода команды указывают один из восьми регистров РОН R0...R7 с учетом принадлежности к ранее выбранному банку регистров. Однокристальная микро-ЭВМ К1816 не имеет команд загрузки байта в стек или его извлечения из стека, а в нем фиксируются 412
только содержимое СК и старшая тетрада РССП, т. е. команды обращения к ОЗУ оперируют с одним байтом. Однако по ко- мандам вызова и возврата осуществляется доступ к двухбайт- ным словам. В памяти данных слова хранятся так, что старший байт слова располагается в ячейке с большим адресом. Обращение к внешней ОЗУ осуществляется с помощью ко- манд MOVX@R, AhMOVX А, @ R. Обмен информацией с внеш- ним ОЗУ стробируется сигналами «Чтение данных внешней ОЗУ» (ЧТ) и «Запись данных во внешнее ОЗУ» (ЗП). Многоканальный интерфейс ввода-вывода предназначен для обмена информацией ОМЭВМ с внешними устройствами. В ОМЭВМ имеется 27 линий вво- да-вывода, 24 из которых объеди- нены в три Р2, Pl, РО. 83 ОЗУ 32x8 8-разрядных канала Каналы Р2, Р1 об- 3Z Jf Банк РОН BSf 8*S Прямая - адресация, если BSf 2k Zk 23 ~_~2н ~ яЬ~' Стак 8x1$ или ОЗУ 16*8 - — Старший байт, -----Младший байт Банк РОН BSO 84 ~Я0 Рис. 11.5 П^мая адресация, вели BSO z 0 данных. Эти данные присут- ладают возможностью фиксаций ствугот на выводах канала и могут быть изменены только новой командой OUTL. Каналы Р2, Р1 можно программным путем настроить на ввод, вывод или иа работу с двунаправленной ли- нией передачи. Каждый контакт ввода-вывода каналов Р2, Р1 является выходом с открытым стоком и высокоомным входом, соответствующим высокому уровню, т. е. логической «1». При использовании каналов Р2, Р1 в качестве входов необходимо до подачи входной информации установить каналы в состояние высокого уровня с помощью сигнала «Сброс» (R). Особенностью каналов Р2, Р1 является ю. что в процессе ввода информации выполняется операция логического И над вводимыми и теку- щими (последними выводимыми) данными. Поэтому при вводе программист должен следить за тем, чтобы в соответствующих линиях ввода сохранялось значение логической «1». Указанная особенность каналов Р2, Р1 при вводе, а также использование команд логических операций ANL и ORL представляют про- граммисту эффективное средство маскирования для обработки однобитовых входов и выходов в ОМЭВМ, 413
В системе команд ОМЭВМ есть команды, которые позволяют выполнять запись «О» и «1». Но так как в этих командах маска задается непосредственно операндом, то необходимо знать рас- пределение сбрасываемых и устанавливаемых линий на этапе разработки исходной программы, В том случае, если маска вьнисляется программой и заранее не известна, то в ОЗУ необ- ходимо иметь копию состояния каналаР2 (Р1) вывода. Эта копия по командам логических операций соединяется с вычисляемой маской в аккумуляторе и затем загружается в канал Р2 (Р1). Необходимость этой процедуры вызвана тем, что структура ка- налов Р2, Р1 не позволяет выполнить операцию чтения состояния каналов Р2, Р1, зафиксированных до последней команды вы- вода. Канал РО — 8-разрядный двунаправленный регистр с тремя состояниями, который можно использовать в качестве статиче- ски фиксированного выхода двунаправленного канала данных, выхода младших разрядов адреса при использовании внешней памяти и нефиксированного входного сигнала. Информация, выдаваемая каналом РО с помощью команд OUTL BUS,А и MOVX @R,A, сопровождается сигналом «Запись» (ЗП).При запи- си информации канал РО с помощью команд INSA, BUS и MOVX A, @R вырабатывает сигнал «Чтение» (41). Кроме операций ввода-вывода информации, предусмотрена возможность выполнения логических операций И, ИЛИ непо- средственно в каналах РО, Pl, Р2 с помощью команд ANL Р, # DATA; ORL Р, # DATA; ANL BUS, * DATA; ,ORL BUS, # DATA. ____ Три линии ввода-вывода TO, Tl, ЗПР служат входами, проверяемыми командами условного перехода Линию ввода- вывода ТО (по команде ENTO, CLK) можно использовать для выдачи тактовых сигналов с частотой в три раза меньше частоты задающего генератора, а линию Т1 — как вход счетчика внеш- них событий для таймера-счетчика, если счет разрешен командой STRT CNT. Линия ЗПР используется для внешнего аппа- ратного прерывания, если прерывание разрешено командой ENI. Число линий ввода-вывода можно увеличить, если исполь- зовать команды MOVD А, Р; MOVD Р, A; ANLD Р, A; ORLD Р, А. При этом обмен информацией осуществляется через канал Р2 (Р20...Р23). Каждая пересылка состоит из двух полубайтов. Первый полубайт содержит код операции: чтение — 00, за- пись — 01, операция И — 10, операция ИЛИ — 11 и адрес одного из четырех внешних каналов PBB0...PBB3, а второй полубайт — четыре бита данных D. Синхронизируется работа сигналом «Строб расширителя ввода-вывода» (СТВВ). Переход сигнала СТВВ из 1—> 0 указывает, что на выводах Р20.. Р23 находится код операции и адрес внешнего канала, а переход сигнала СТВВ из 0 1 означает, что на этих выводах находятся данные — содержимое четырех младших разрядов аккумуля- тора. Таймер-счетчик предназначен для подсчета внешних собы- тий и формирования временных задержек без участия ОМЭВМ. Состоит из делителя частоты 1 : 32, 8-разрядного двоичного счетчика и триггера «Признака переполнения» (FT). На вход счетчика через делитель поступают импульсы сигнала ФА (в ре- жиме таймера) и с входа Т1 (в режиме счетчика внешних собы- 414
тий). Содержимое счетчика устанавливается по команде MOV Т, А, а текущее значение проверяется по команде MOV А, Т, В ре- жиме таймера счетчик запускается командой STRT, а в режиме счетчика событий — командой STRT CNT, а останавливается (без сброса содержимого) командой STOP TCNT (или сигна- лом R) Триггер «Признака переполнения» (FT) таймера-счетчика устанавливается в состояние логической «1» при переходе счет- чика из состояния FF в состояние 00. Признак FT используется в командах условного перехода, а также для прерывания. Со- держимое счетчика можно прочитать в аккумуляторе или моди- фицировать из аккумулятора по команде MOV. Путем програм- мной установки счетчика в исходное состояние и анализа при- знака переполнения FT можно реализовать различные времен- ные задержки в диапазоне 80 мкс...20 мс. a S Я Рис. 11.7 Устройство управления и синхронизации предназначено для выработки сигналов, обеспечивающих выполнение команд. Оно состоит из генератора: формирователей тактовых сигналов; формирователей сигналов состояний и режимов работы и схемы прерывания. Генератор представляет собой схему с последовательным резонансом в диапазоне частот 1...11 МГц. Выводы XL, Х2 используются для подключения внешнего кварцевого резонатора (рис. 11.7,о) или LC-цепи (рис. 11.7,6). Сигналы от внешнего генератора тактовых импульсов можно подавать на вход At (рис. И.7,в). Формирователь тактовых сигналов делит частоту генератора (па три) для получения тактовых сигналов (ТС) CLK, выдаваемых на вывод ТО по команде ENTO CLK. Вывод тактовых сигналов на внешний вывод ТО блокируется сигналом R. Тактовые сигна- лы ТС делятся на пять в счегчике машинных циклов (МЦ), выходные сигналы которого определяют пять состояний (S1...S5) машинного цикла ОМЭВМ (рис. 11.8). Сигнал с одного из выходов счетчика МЦ поступает на вывод ФА с периодом следования, соответствующем МЦ. Сигнал ФА используется для фиксации адреса внешней памяти В формирователях сигналов состояний и режимов работы в качестве входных сигналов используются сигналы: «Выборка из внешней памяти» (ВВП); «Строб расширителя ввода-вывода» (СТВВ); ТО; «Пошаговый режим» (ШАГ), «Сброс» (R). Их ком- бинации позволяют реализовать основные режимы работы ОМЭВМ. Схема прерывания в ОМЭВМ обеспечивает два вектора (адреса) прерывания: один аппаратный по входу ЗПР (адрес 415
03), другой используется как внутренний и как внешний (вход Т1) по признаку переполнения FT таймера-счетчика (адрес 07). Каждый из векторов прерываний может быть разрешен или за- прещен программно командами ENI, DISI, ENI, CNTI, DISI CNT1. Кроме того, по сигналу R прерывание запрещается до тех пор, пока оно не будет разрешено командами ENI, ENI CNTI. При поступлении на вход сигнала ЗПР, если прерывание по входу ЗПР разрешено, схема обращается к программе обслу- живания с адресом 03. Как и при любом обращении к подпро- грамме, содержимое СК и слово с информацией о состоянии про- граммы (PSW) записываются в стек. То же происходит и при выработке признака FT, только в этом случае обращение про* изводится по адресу 07, Программа обслуживания должна запи* Рнс. 11.8 сываться командой RETR (восстановление состояния и возврат к прерванной программе), так как до выполнения этой команды последующие запросы на прерывание не обслуживаются (преры- вание запрещено). Система прерывания в ОМЭВМ фиксирован- ная по приоритету. Прерывание по входу ЗПР имеет высший приоритет. Однокристальная микро-ЭВМ может работать в следующих режимах: проверка программной памяти (программирование памяти программ РПЗУ); работа с внутренней и внешней памя- тью; пошагового выполнения команд. Режимы работы устанав- ливаются комбинацией входных и выходных сигналов. После включения напряжения электропитания ОМЭВМ устанавливается в начальное состояние сигналом R (активный — низкий уровень напряжения) длительностью не менее 50 мс. Сигнал R выполняет следующие функции: устанавливает СК и указатель стека в РССП (PSW) в «0»; выбирает банк РОН па- мяти данных BSO (R0) и банк памяти программ MB0; устанав- ливает канал Р0 в высокоомное состояние (при ВВП = 0); подготовляет каналы Pl, Р2 для приема информации; блоки- рует прерывание по входу ЗПР и таймеру-счетчику; останавли- вает таймер-счетчик; устанавливает признаки F0, F1 и FT в «0»; запрещает выдачу сигналов по выводу ТО. 416 ill
Режим проверки программной памяти (рис. 11.9) исполь- зуется при контроле правильности информации, занесенной в па- мять в процессе ее программировании или изготовления, а также при контроле «чистоты» памяти после се стирания для микро- схемы КМ1816ВЕ48. Под «чистотой» памяти понимается нахо- ждение всех ячеек памяти после стирания в состоянии низкого порогового напряжения, которое обеспечивает на выходах канала РО состояние низкого уровня. Подаваемые на одноименные выводы ОМЭВМ сигналы вы- полняют следующие функции: ВВП при подаче напряжения вы- сокого уровня (25 В) активизирует режим обращения к внутрен- ней памяти для программирования; ТО обеспечивает режимы программирования (логический «О») и контроля (логическая «1»); R фиксирует выбранный адрес при низком уровне напря- чвя го R D0..J1 PZ0 Pit Рис. 11.9 жения на выводе ТО; D0...D7 (канала РО) обеспечивают подачу адреса А7—АО и данных; Р20, Р21— обеспечивают подачу адре- са А8, А9. При организации режима контроля памяти следует иметь в виду, что по выводам D0...D7 передаются сигналы адреса и выдаются данные для контроля. Поэтому при переходе к ре- жиму контроля необходимо обеспечить высокоомное состояние на выводах D0...D7, которое исключает попадание ьа обратные выходы схемы напряжения адресных сигналов поступающих от источника. При проверке «чистоты» памяти выходное напрн жение на выводах D0...D7 соответствует напряжению логиче- ского «О». Режим программирования памяти программ (РПЗУ) Запи- сывается информация в РПЗУ в процессе программирования нт программаторах, обеспечивающих выбор ячеек памяти по задан- ному адресу, причем только той части информации, которая представлена логической «1», а запись логического «О» по всем адресам РПЗУ осуществляется при стирании информации (ультрафиолетовое облучение). Вывод СТВВ до программирования находится в высокоомном состоянии. При программировании на вывод СТВВ подается напряжение не более 25 В длительностью 5 мс. Процесс программирования включает в себя следующие опе- рации; задание режима программирования, задание адреса, фиксация адреса, подача данных, подача импульса программи- рования (рис. 11.10). После окончания процесса программировав 14 274 417
ния байта данных (до перехода к следующему процессу) при необходимости выполняется операция проверки содержимого. Режим работы с внутренней памятью устанавливается при ВВП = 0. Выполнение программы, хранящейся в РПЗУ, начи- нается с команды по адресу 00 после сброса. Для увеличения Рис. 11.10 производительности ОМЭВМ предусмотрено совмещение выпол- нения внутренних операций в одном цикле. Например, выпол- нение выбранной команды и подготовка следующего адреса команды происходят одновременно. Для синхронизации ввода- вывода можно использовать сигнал ФА, выдаваемый в каждом машинном цикле. Режим работы с внешней памятью применяется при отладке программ и контроле процессора ОМЭВМ (рис. 11.11). Для этого внутренняя память программ отключается при ВВП=-(-ЬВ. В jtom случае внешняя память программ может иметь объем до 4096 байт. Выполняется следующая последовательность опера- ции: содержимое 12 разрядов СК выводится в качестве адреса обращения к внешнему ЗУ через канал Р0 (D7...D0) — младшие разряды счетчика СК7...СК0, и через канал Р2 (Р23...Р20) — 418
старшие разряды СКП..СК8; адрес внешнего ЗУ фиксируется по окончании сигнала ФА; шина данных переходит е режим вг,о да, и процессор принимает 8-разрядное слово команды: выборка команды из внешнего ЗУ фиксируется ио окончании сигнала счвп. Использование в: ешней памяти программ в качестве допол- нения к внутренней памяти возможно благодаря механизму переключения банков памяти программ по 2 Кбайт каждая (при этом ВВП = 0). Выбор банка определяется содержимым старшего разряда МВ счетчика команд, который загружается каждый раз командой перехода JMP (или CALL) при вызове подпрограммы. Старший разряд МВ счетчика команд устанавли- вается в «1» (выбор банка МВ1 — ячейки с адресом 2048...4097) командой SEL МВ1 и сбрасывается в «0» (выбор банка МВО —• ячейки с адресом 0...2047) по команде SEL МВО пли по сигналу R. *4 I I i----1 I----1 17...1С ------{Мрес У -(4t»w У Mptc У Дят> у — frl Afyec ~Х МР*‘ ~Х Мук Рис. 11,12 Команда SEL МВ должна выполняться в программе перед пере- ключением банка памяти программ, а переключение осуществляется при выполнении очередной команды перехода JMP (или вызова подпрограммы CALL). Память данных можно расширить за пределы встроенной памяти с 64 до 256 байт, при этом обмен данными между внеш- ним ОЗУ и ОМ ЭВМ выполняется через канал РО командами MOVX А, ® R; MOVXg)R, А. По этим командам происходит передача 8-разрядных данных между аккумулятором и ячей- ками внешней ОЗУ, адресуемой содержимым одного из двух регистров указателей R0 или R1. При этом адрес данных фик- сируется по сигналу ФА, а прием и выдача данных — по сигна- лам ЧТ иЗП (рис. 11.12). Структурная схема расширения внешней памяти программ (ВПП) и памяти данных (ВПД) изображена на рис. 11.13. Режим пошагового выполнения программ используется в про- цессе отладки и проверки программы. В этом режиме при вы- полнении программы процессор можно остановить на каждой команде. Управляется пошаговый режим подачей последова- тельностей сигналов на вход ШАГ (рис. 11.14,с). При организации пошагового режима выполняются сле- дующие операции: 1) в ОМЭВМ поступает запрос на останов путем подачи логического «О» на вывод ШАГ; 2) ЦП останав- ливается на этапе выборки следующей команды, при этом за- вершается выполнение текущей команды; 3) ОМЭВМ подтвер- ждает; что она находится в режиме останова путем установки сигнала ФА в состояние логической «1». В этом состоянии ЦП выдает на каналы РО и Р2 (Р20,.,Р23) адрес следующей 14* 419
команды; 4) для выхода из режима останова, на выводе ШАГ уста- навливается логическая «1», что обеспечивает выборку следу- ющей команды; процессор подтверждает выход из состояния ос- танова путем установки логического «О» на выводе ФА; 5) для Рис. 11.13 того чтобы обеспечить останов на следующей команде, устанав- ливается логический «О» на выводе ШАГ, когда уровень сигна- ла ФА станет низким. Если уровень сигнала ШАГ остается вы- I « | jj Г I гг I хг | гг | ^ |. . I . I гг I jj II г/1 л I 1» | ол чли M..D7 РЫ Р2! -( си? .ено ~Х спи. ск Г Рис. 11.14 соким, ОМЭВМ отрабашвает программу в динамическом ре- жиме. В ОМЭВМ предусмотрена возможность расширения канала ввода-вывода. Шииа данных ОМЭВМ совместима с 8-разрядиой двунаправленной ШД микропроцессорной системы на базе МПК КР580, что обеспечивает подключение к ОМЭВМ серии 420 н
К1816 интерфейсных БИС серии КР58О, можно использовать для реализации дополнительных специальных функций, а так- же для увеличения числа каналов ввода-вывода. На рис. 11.15 Рис. 11.15 Рис. 11.16 изображены схемы расширения канала ввода-вывода и подклю- чения интерфейсных БИС. Система команд включает 96 команд, 68 из них однобайтные (габл. 11.1). В двухбайтных командах первый байт содержит информацию о коде команды, второй байт — непосредственно данные или младшие разряды адреса следующей команды. Боль- 421
Таблица 11.1 Обозначение команды Код команды Число цик- лов, байт Содержание команды 7 6 5 4 3 2 1 0 Команды пересылки и обмена данных MOV A, R; i 1 1 1 1 1 i i 1 1 (A)-<-(R(); i = 0...7. Пере- сылка содержимого РОН в А MOV Ro А 1 0 1 0 1 i i i 1 1 (R;)-<-(A), 1=0...7. Пере- сылка содержимого А в РОН MOV A,® R, 1 1 1 1 0 0 0 i 1 1 (А) ((R/)), 1 = 0, 1. Пере- сылка в А содержимого ячейки ЗУ с косвенной ад- ресацией MOVa)RoA 1 0 1 0 0 0 0 1 1 1 ((R.-))+-(A), i =0, 1. Пе- ресылка содержимого А в ячейки ЗУ с косвенной ад- ресацией MOVX А, ©Rf 1 0 0 0 0 0 0 i 2 1 (A)^((RZ)), t = 0, 1. Пе- ресылка содержимого внеш- него ЗУ в A G косвенной адресацией MOVX a) Rz, A 1 0 0 1 0 0 0 i 2 1 ((RZ))4-(A), 1 = 0, 1. Пе- ресылка содержимого А в ячейку внешнего ЗУ с косвенной адресацией MOV R;, Й d 1 0 1 1 1 i i i 2 2 (R^-<-d, i = 0..,7. Пере- сылка непосредственных данных в РОН MOV a) r , tt d 1 0 1 1 0 0 0 i 2 2 ((R/))-<-d, i = 0, 1. Пере- сылка непосредственных данных ячейки ЗУ с кос- венной адресацией MOV A, #d 0 0 1 0 0 0 1 1 2 2 (А) ч- d. Пересылка непо- средственных данных в А MOV A, PSW 1 1 0 0 0 1 1 1 1 1 (A)-<-(PSW). Пересылка содержимого PSW в А МП 422
Продолжение табл. 11.1 Обозначение команды Код команды Число ЦИК- лов, байт Содержание команды 7 6 5 4 3 2 1 0 MOV PSW, А 1 1 0 1 0 1 1 1 1 1 (PSW) (А). Пересылка содержимого А в PSW MOV А, Т 0 1 0 0 0 0 1 0 1 1 (А)-*-(Т). Пересылка со- держимого регистра тайме- ра-счетчика в А MOV Т, А 0 1 1 0 0 0 1 0 1 1 (Т)ч-(А). Пересылка со- держимого в регистр тай- мера-счетчика MOVP А, ©А 1 0 1 0 0 0 1 1 2 1 (РС0...РС7) <-(А), (А)*- ((PC)). Пересылка в А со- держимого из текущей страницы РПЗУ MOVP3 А, ® А 1 1 1 0 0 0 1 1 2 1 (РС0..,РС7)-е-(А), (РС8— PCI 1) 0011, (А) ((PC)). Пересылка в А данных со страницы «3» РПЗУ ХСН A, R, 0 0 1 0 1 i i i 1 1 (А)«-> (Rz), t = 0...7. Обмен содержимого А и РОН ХСН А, ©R; 0 0 1 0 0 0 0 i 1 1 (A)«->(RZ), 1 = 0, 1. Обмен содержимого А н ячейки ЗУ XCHD А, ®RZ 0 0 1 1 0 0 0 i 1 1 (A0...A3) ((Rz)), t=0, 1. Обмен младшего полубайта А и ячейки ЗУ SWARA 0 1 0 0 0 1 1 1 1 1 (А4...А7) (А0...А7). Обмен тетрад в А Команды арифметических и логических операций ADD A, Rz 0 1 1 0 1 i i i 1 1 (А)-*-(А) + (R(), i = 0..,7. Сложение содержимого РОН и содержимого А ADD А, # d 0 0 0 0 0 0 1 1 2 2 (А) (А) + (d). Сложение непосредственных данных с содержимым А 423
П родолжение табл. 11.1 Код команды Обозначение и"= Содержание команды команды 7 6 5 4 3 2 1 0 Число лов, б< ADD А, a) R/ 0 1 1 0 0 0 0 1 1 I (А) ч-(А) + ((R,-)), 1 ==0,1. Сложение содержимого ячейки ЗУ ADDC A,Rz 0 1 1 1 1 i i i 1 1 (А) ч- (А) + (R,-) + (с), 1 = 0...7. Сложение призна- ка переноса содержимого РОН и содержимого А ADDC А, #d 0 0 0 1 0 0 1 1 2 2 (А) ч-(А)-|-(d) +(с). Сло- жение признака переноса и непосредственных данных с содержимым А ADDC A, ®R; 0 1 1 1 0 0 0 i 1 1 (А)ч-(А) + ((R,-)) + (с), 1 = = 0, 1. Сложение признака переноса и содерхсимого ячейки ЗУ с содержимым А DAA 0 1 0 1 V 1 1 l 1 1 Команда десятичной кор- рекции аккумулятора INC A 0 0 о 1 f, 1 1 1 1 1 (А) ч-(А)1 • Инкремен- тирование содержимого А INC R, 0 0 0 1 I ( i i 1 1 (Rt)*-(Rz)+1. < = о..,7. Инкрементирование содер- жимого РОН INC q R, 0 0 0 1 0 0 0 i 1 1 ((Rf))4-((R,-))+ 1, «=0, 1. Инкрементирование содер- жимого ячейки ЗУ DEC A 0 0 0 0 0 1 1 1 1 1 (А) ч— (А) — 1. Декременти- рование содержимого А DEC R, 1 1 0 0 1 i i i 1 1 (R,)4-(RZ) —1, z=0...7. Декрементирование содер- жимого РОН RL A 1 1 1 0 0 1 1 1 1 1 (Ал+1)ч-(А„) (АО) ч- (А7), п = 0...7. Циклический сдвиг влево содержимого А 424
Продолжение табл. 11.1 Обозначение команды Вид команды Число цик- лов, байт Содержание команды 7 6 5 4 3 2 I 0 RLC А 1 i 1 1 0 1 1 1 1 1 (Ал+1)«-(А„); (АО)^(с); (с) *-(А7), п=0...7. Цик- лический сдвиг влево А че- рез перенос RR А 0 1 1 1 0 1 1 1 1 1 (Ап)+-(Ал+1); (А7)ч-(А0), п = 0...7. Циклический сдвиг вправо содержимого А RRC А 0 1 1 0 0 1 1 1 1 1 (Ал) *- (Ап+1); (А7)-*~(с); (с) <—(АО); п = 0...7. Цик- лический сдвиг вправо А че- рез перенос ANL A, Rf 0 1 0 1 1 i i i 1 1 (А)ч-(А) (R,), 4 = 0...7. Логическое И содержимого А и РОН ANL А, # d 0 1 0 1 0 0 1 1 1 1 (А)-<-(А) (d). Логическое!! содержимого А с непосред- ственными данными ANL А, ® R, 0 1 0 1 0 0 0 i 1 1 (А)(A) ((R,-)), 4 = 0, 1. Логическое И содержимого А с содержимым ячейки ЗУ ORL A, R, 0 1 0 0 1 i L i 1 1 (А)«-(А) + (РЦ, 4 = 0...7. Логическое ИЛИ содержи- мого А и РОН ORLA, # d 0 i 0 0 0 0 1 1 2 2 (А)-<- (А) + (d). Логическое ИЛИ содержимого (А) с не- посредственными данными ORL А, ® R( 0 1 0 0 0 0 0 i 2 2 (A)^(A)+((RZ)), 4 = 0, 1. Логическое ИЛИ содержи- мого А с содержимым ячейки ОЗУ XRL A, R, 1 1 0 1 1 i i 1 2 2 (А) ч- (А) ф (R;), i=0, ...7. Исключающее ИЛИ содер- жимого А и РОН 425
Продолжение табл. 11.1 Код команды * - з= Содержание команды команды 7 6 5 4 3 2 1 О у ® * о 5й ч XRL А да 1 1 О 1 О Г) 1 1 2 2 (А)-<-(А) ф (d). Исключаю- щее ИЛИ содержимого А с непосредственными дан- ными XRL A®Rf I 1 О 1 О О О L 2 2 (A)4-(A)©((R£)), i = O, 1. Исключающее ИЛИ содер- жимого А с содержимым ячейки ЗУ CLR А 0 О 1 О О 1 1 1 1 1 (А)-«-О. Обнуление А CPL А 0 0 1 1 О i 1 1 1 1 (А)-«-(А). Инвертирование содержимого А CLR С 1 0 О 1 О 1 1 1 1 1 (С) 0. Обнуление при- знака переноса CPL С 1 0 1 О О 1 1 1 1 1 (С) ч- (С). Инвертирование признака переноса CLR F0 1 О 0 О О 1 О 1 1 1 (F0)*-0. Обнуление при- знака F0 CPL F0 1 О О 1 О 1 О 1 1 1 (FO)-<-(FO). Инвертирова- ние признака F0 CLR F1 1 О 1 О О 1 О 1 1 1 (Fl)-<-0. Обнуление при- знака F1 CPLF1 1 0 1 1 О 1 О 1 1 1 (Fl)-<-(Fl). Инвертирова- ние признака F1 Команды ввода-вывода IN А, Рр О 0 О О 1 О р р 2 1 (А)ч-(Рр), р = 1, 2. Ввод данных в А из канала Р1 (Р2) INS A, BUS О О О О 1 О О О 2 1 (A)-«-(BUS), Стробируемый ввод данных в А из канала РО OUTL Рр, А О О 1 1 1 О р р 2 1 (Рр)<-(А), р = 1,2. Вывод содержимого А на Pl (Р2) 426
Продолжение табл. 11.1 Обозначение команды Код команды Число цик- лов, байт Содержание команды 7 6 5 4 3 2 1 0 OUTL BUS А 0 0 0 0 0 0 1 0 2 1 (BUS) ч- (А). Вывод содер- жимого А на РО ANL Рр> й d 1 0 0 1 1 0 p p 2 2 (P₽)«-(Pp)d, р=1, 2, Логическое И канала Р1 (Р2) с непосредственными данными ANL BUS, ftd 1 0 0 1 1 0 0 0 2 2 (BUS) ч- (BUS) d. Логиче- ское И канала РО с непо- средственными данными ORL Рр, fl: d 1 0 0 0 1 0 p p 2 2 (Рр) (Р₽) + d, р=1, 2. Логическое ИЛИ канала Pl (Р2) с непосредственными данными ORL BUS, ttd 1 0 0 0 1 0 0 0 2 2 (BUS) Ч- (BUS) + d. Логи- ческое ИЛИ канала РО с непосредственными дан- ными MOVD A, Pp 0 0 0 0 1 1 p p 2 1 (А0...АЗ)*-(Рр); (А4...А7)ч- ч—0, р=О...З. Ввод из РВВ0...3 в младший полу- байт А, с обнулением стар- шего полубайта А MOVD Pp, A 0 0 1 1 1 1 p p 2 1 (Рр) ч-(A0...A3), р = О...З. Вывод младшего полубайта А на канал расширителя РВВ0...3 ANLD Pp, A 0 0 0 1 1 1 p p 2 1 (Рр)*-(Рр) (АО...АЗ), р = = О...З. Логическое И ка- нала РВВ0...3 с маской из А ORLD Pp, A 1 0 0 0 1 1 p p 2 I (Рд) *- (Рр) + (АО...АЗ), р— = О...З. Логическое ИЛИ капала РВВО.,.3 с маской из А 427
Продолжение табл. 11.1 JMP а Ащ А9 As 1 0 1 0 0 2 2 РС8...РС10) ч-а8 i„, (РС0...РС7) ч~ а0_7. Бе- зусловный переход по адресу второго байта (а0...7) команды IMPP © А 1 0 1 1 0 0 1 1 2 2 (РС0...РС7) ч-((А)). Бе- зусловный переход по адресу А в пределах страницы РПЗУ DJNZ а 1 1 1 0 1 1 1 i 2 2 (RJ *- (RJ — >. если (R,)y=0, то (РС0...РС7)ч- ♦- а, иначе (РС)ч-(РС)4- 4-2, i = 0, 7 JNC а 1 1 1 0 0 1 1 0 2 2 Если С = 0, то (РСО.. РС7)-«-а, иначе (PC) ч- (PC) -j- 2. Переход при отсутствии переноса JC а 1 1 1 1 0 1 1 0 2 2 Если С = 1, то (РСО... РС7)ч-а, иначе (PC) ч- *- (PC) -|- 2. Переход по признаку переноса JZ а 1 1 0 0 0 1 1 J 2 2 Если (А) =0, то (РСО.., РС7)4-а, иначе (PC) ч- ч— (PC) 4- 2. Переход, если содержимое А = 0 JNZ а 1 1) 0 1 0 1 1 0 2 2 Если (А) 0, то (РСО... РС7)ч-а, иначе (PC) ч- 4- (PC) -|- 2. Переход, если содержимое А 0 ПО а 0 0 1 1 3 1 1 3 2 2 Если ТО = 1, то (РСО... РС7) ч- а, иначе (PC) ч- ч- (PC) -j- 2. Переход, если Т 0 = 1 428
Продолжение табл. 11.1 Код команды s Jz Содержание команды команды 7 6 5 4 3 2 1 0 Число лов, б; JNTO а 0 0 1 0 0 1 1 0 2 2 Если ТО = 0, то (РСО... РС7) ч- а, иначе (PC) <- ч-(РС)4-2. Переход, если ТО = 0 JT1 а 0 1 0 1 0 1 1 0 2 2 Если Т1 = 1, то (РСО. . РС7) ч- а, иначе (PC) *- *- (PC) + 2. Переход, если Т1 = 1 JNT1 а 0 1 0 0 0 1 1 0 2 2 Если Т1 =0, то (РСО... РС7)ч-а, иначе (PC) ч- -«-(PC) 4-2. Переход, если Т1 = 0 JFO а 1 0 1 1 0 1 1 0 2 2 Если F0 = 1, то (РСО... РС7) ч- а, иначе (PC) ч- ч- (PC) 4- 2. Переход, если F0 = 1 JF1 а 0 1 1 1 0 1 1 0 2 2 Если F1 = 1, то (РСО... РС7)ч-а, иначе (PC) ч- *- (PC) 4- 2. Переход, если F1 = 1 JTF а 0 0 0 1 0 1 1 0 | О] см Если TF= 1, то (РСО.. РС7) ч-а, иначе (РС)ч-. ч- (PC) 4- 2. Переход, если TF = 1 JNIa 1 0 0 0 0 1 1 0 2 2 Если ЗПР=0, то (РСО .. РС7) ч-а, иначе (PC) <- ч- (PC) 4- 2. Переход, если ЗПР = 0 JBb а Ь2 b! bo 1 0 0 1 0 Г) 2 Если ВЬ = 1, то (РСО... РС7) *- а, иначе (PC) 4- (PC) 4- 2. Переход, если ВЬ — 1 b А CALL а <11Г а8 1 0 1 0 0 2 2 ((SP)^-(PC), (PSW4_7); (SP) ч- (SP) 4- 1; (РС«— РС10) ч- (а6_10) (РСО— РСЗ) ч- а0_,. Вызов под- программы 42Э
Продолжение табл. It.! Обозначение команды Код команды Число ЦИК- лов» байт Содержание команды 7 6 5 4 3 2 1 0 RET 1 0 0 0 0 0 1 1 2 1 (SP)*-(SP)— 1, (PC) ч- ч-((5Р). Возврат из под- программы без восстановле- ния ССП RETP 1 0 0 1 0 0 1 1 2 1 (SP) ч- (SP) — 1; (PC) ч- 4-((SP)),(PSW4_7)4-((SP)). Возврат с восстановлением ССП Команды операций с таймером START Т 0 1, 0 1 0 1 0 1 I 1 Запуск таймера START CNT 0 1 0 0 0 1 0 I 1 1 Запуск таймера-счетчика со- бытий от сигналов на входе Т1 STOP CNT 0 1 1 0 0 1 0 1 1 1 Останов таймера-счетчика событий EN TCNTI 0 0 1 0 0 i 0 1 1 1 Разрешение таймера по TF = 1 прерывания ст переполнению DIS TCNTI 0 0 1 I 0 1 0 1 1 1 Запрещение таймера по TF = 1 прерывания ст переполнению Команды управления режимом работы ENI 0 0 0 0 0 1 0 1 1 1 Разрешение внешних пре- рываний DISI 0 0 0 1 0 1 0 1 1 1 Запрещение внешних пре- рываний SEL RBO 1 1 0 0 0 1 0 I I 1 (BS) ч—0, Выбор банка BS 0 РОН SEL RBI 1 1 0 1 0 1 0 1 1 1 (В5)ч-1. Выбор банка BS 1 РОН SEL MEO 1 ( 1 0 0 1 0 1 1 1 Выбор нулевого банка МВО памяти программы 439
Продолжение табл, 11.1 команды), в том числе 15 однобайтных выполняются за два машин- ных цикла. Выполнение двухбайтных команд за два машинных цикла связано с дополнительным обращением к программнсй памяти. Множество команд ОМЭВМ К1816 по функциональному признаку можно разбить на шесть групп: команды пересылки и обмена (рис. 11.16), команды арифметических и логических операций (в том числе и операций по признакам); команды ввода-вывода; команды передачи управления (в том числе и опе- раций с подпрограммами); команды операций с таймером; команды управления режимом работы ОМЭВМ. 11.2. ОДНОКРИСТАЛЬНЫЕ МИКРОЭВМ ЦИФРОВОЙ ОБРАБОТКИ СИГНАЛОВ СЕРИИ К1813 При решении задач цифровой фильтрации сигналов, рас- познавания образов, синтеза и анализа речи, возникающих в акустике, гидро- и радиолокации, сейсмологии, ядерной физике, необходимо использовать специализированные одно- кристальные микро-ЭВМ цифровой обработки аналоговых сигна- лов (или аналоговые микропроцессоры — АМП). Структура таких устройств формируется на основе следующей цепи пре- образования и обработки сигналов: АСВх—> АЦП—>-ЦОС—> —> ЦАП—► АСВых, где АСВх (АСВых) —входные (выходные) аналоговые сигналы; ЦОС — цифровая обработка сигналов. Примером реализации такой структуры являются аналого- вые микропроцессоры (табл. 10.3) МКП серий КМ1813ВЕ1 н К1815 [15; 39; 81]. БИС КМ1813ВЕ представляет собой однокристальную мик- ро-ЭВМ цифровой обработки аналоговых сигналов (ОМЦОАС) с аналоговыми устройствами ввода-вывода, предназначенную для построения функциональных узлов систем обработки сигна- лов и управления с использованием цифровых методов. На- стройка БИС на реализацию конкретного алгоритма обработки сигналов выполняется программой, записанной в РПЗУ. Вы- полняя заданную программу, ОМЦОАС осуществляет дискрети- 431
*5в -58 2S — №/_ /J и 15. 16 9 25 24 в к'/т 4>< РР’ ^4 вых О 8ых1 Вых 2 ЗыхЗ ВыхЬ вых 5 8ых6 вых 7 23 3 F'tiP ci ' С2 СЦ , в/вВР. 13 11 Mt Ml ЗППР 22 2J 6 Рис. 11.17 а запию сигналов с четырех аналоговых ка- налов, преобразование полученных отче- тов в цифровую форму и их цифровую обработку, результаты которой передаются на восемь выходов в аналоговой или ло- гической, совместимой с ТТЛ, форме. ОМЦОАС выполняет аналого-цифровое преобразование с разрядностью 9 бит и частотой дискретизации не более 8 кГц. Структура ОМЦОАС показана на рис. 11.17,а. БИС состоит из двух частей: ана- логовой и цифровой, объединенных общим управлением. Аналоговая часть обеспечивает сопря- жение БИС с источниками сигналов. Опа содержит входной 4-канальный аналоговый мультиплексор AM, АЦП, ЦАП и выход- ной 8-канальный демультиплексор ДМ. AM обеспечивает коммутацию входных ка- налов аналоговых сигналов 71С0...АСЗ, выборку и хранение мгновенных значений обрабатываемых сиг- налов. Хранение выборки обеспечивается конденсатором, под- ключенным к выводам Cl, С2 (рис. 11.17,6). Для того чтобы смещение сигнала не превышало 0,5 ЕМР, емкость внешнего конденсатора С не должна превышать 500 пФ. АЦП преобразует выборочное значение сигнала в цифровой 9-разрядный дополнительный код. Преобразование выполняет- ся по методу последовательного приближения (поразрядного уравновешивания) по командам программы. Цифровое значение формируется в рет истре Р?1 зт девять циклов сравнения компара- тором сигнала выборки с выхода AM с аналоговым значением кода РгЦ формируемым ЦАП в следующей последовательности: знак, старший разряд, младший разряд. При длительности цикла команды 600 нс и формирования 9-разрядиого кода выбор» 432
кп входного сигнала требуется 25 команд: 9 команд для форми- рования разрядов кода и 16 команд для установки АЦП. После преобразования с выхода Рг2 код передается в цифровую часть ОМЦОАС, а ЦАП через ДМ — на выходы Вых О...Вых 7 микро- схемы. Цифровой ввод-вывод обеспечивается от AM, выводы кото- рого в этом случае используются для приема последовательного кода (АСО/ППК), выдачи последовательного кода (АСЗ/ВПК) и управляющих сигналов «Записи» (АС1/ЗП) и «Чтения» (АС2/ ЧТ). Последовательный ввод осуществляется командами ввода и формирования разрядов регистра Рг1. при этом знаковый разряд передается без инверсии, а остальные с инверсией, на выходе АС2/ЧТ формируется сигнал «Чтения». Последова- тельный вывод кода в инверсном виде начинается со старшего разряда. На выходе АС1/ЗП формируется сигнал «Записи». Режим ввода-вывода выбирается аппаратно в соответствии с вы- водами Ml, М2 (табл. 11.2). Уровни сигналов по входу и выходу БИС определяются внешним опорным напряжением Uon, по- даваемым ко входу Uon (1...2 В). При этом диапазон входного сигнала может находиться в пределах de Uoa. Таблица 11.2 Ml М2 Ввод Вывод +5В +5В Аналоговый AC0...AC3 Аналоговый (вых О...вых 7) +5В —5В Цифровой АСО/ППК Цифровой (АСЗ/ВПК) Цифровой (вых 4...вых 7) Аналоговый «вых О...вых 3) —5В + 45 Аналоговый AC0...AC3 Аналоговый (вых 4...вых 7) Цифровой (вых О...вых 3) —5В -Ц5В Аналоговый AC0...AC3 Цифровой (вых О...вых 7) Цифровая часть БИС предназначена для выполнения опе- раций обработки сигнала. Она состоит из АЛУ, регистра Рг2, ОЗУ, памяти программ РПЗУ и схемы синхронизации СС. АЛУ выполняет вычисления в дополнительном коде с 25- разрядной точностью над масштабированным операндом А и операндом В, поступающими из ОЗУ. Результат вычислений записывается в ОЗУ по адресу операнда В. Старший разряд переменной знаковый, а диапазон переменной находится в пре- делах — 1 <а'<~1. Минш альное упрощение любой пере- менной прн этом составляет 2~24= 5,96 • 10*8. АЛУ имеет логические схемы для сдвша влево и переполнения. Перепол- нение возникает в тех случаях, когда результат вычислений вы- ходит за пределы разрядной сетки ОЗУ (25 разрядов). В резуль- тате сдвига влево также может возникав переполнение. Для 433
работы в режиме переполнения в АЛУ предусмотрено расшире- ние разрядной сетки до 28. При работе без переполнений четыре старших разряда этой разрядной сетки содержат значение зна- кового разряда. При переполнении в 26-й и 27-й разряды запи- сывается результат сдвига влево, 28-й разряд используется для хранения информации о переносе, возникающем после выпол- нения операций АЛУ. Признаком переполнения служит разли- чие 4-знаковых разрядов АЛУ. В АЛУ можно программно установить два режима обработки переполнения: с ограничением и без ограничения. В режиме С ограничением переполнения результат заменяется ближайшим максимально допустимым значением переменной с учетом знака (—1, если переполнение вызвала отрицательная переменная, 1.,.2"24, если переполнение вызвала положительная перемен- ная), и на вывод переполнения (ПП) выдается сигнал низкого уровня. В режиме без ограничения переполнения после выпол- нения АЛУ соответствующей операции 25 младших разрядов результата выполнения записываются в ОЗУ по адресу опе- ранда В. Регистр Рг2 предназначен для масштабирования операндов с выхода А ОЗУ (левый сдвиг до 2-го разряда, правый — до 13-го). При сдвиге влево освободившиеся правые разряды за- меняются нулями, а при сдвиге вправо освободившиеся левые разряды заменяются значениями знакового разряда (дополни- тельный код). Сдвиг эквивалентен умножению операнда А на 2s (S принимает значение от —13 до +2). ОЗУ выполняет функции памяти данных и представляет собой двухадресное ОЗУ статического типа с произвольной вы- боркой 40 X 25. ОЗУ адресуется шестью разрядными адресами от ОООСОО до 100111 с использованием только прямой адресации. Расширенное поле адресов ОЗУ (64 слова вместо 40) использует- ся для адресации регистра Рг1 и формирования констант Адрес регистра Рг1 101000, адреса 110000... 111111 используются для формирования 16 констант. ОЗУ имеет два регистра: А и В. С регистра А считывается информация, которая через Рг2 по- ступает на один вход АЛУ в качестве операнда А. Через ре- гистр В информация поступает на второй вход АЛУ в качестве 434
операнда В. Результаты вычисления АЛУ записываются в ОЗУ только по регистру В. Память программ представляет собой РПЗУ с ультрафиоле- товым стиранием 192 X 24 разряда, в которую записываются командные слова. Командное слово длиной 24 разряда разбито на пять полей, из которых одно управляет работой аналоговой части, осталь- ные четыре—цифровой (рис. 11.18). Цифровые операции (табл. 11.3) задаются полем L2.. L0 и обеспечивают выполнение пересылок слов данных (LDA), арифметического сложения (ADD), сложения с модулем 2 (АВА), вычитания (SUB), вычита- ния модуля (ABS), логического И (AND), исключающего ИЛИ (XOR). Операция LIM формирует максимальное положительное число 0111...1112, если операнд А неотрицателен, или макси- мальное отрицательное число 1ОО...ООО2, если операнд А отри- гз г1 20 is io s о so о т—1----Г" Г ' I I--1-1--1----1--1--1-1-----1-г- 1“ -1-1-г—т—г-1 L2...L0 85... ВО А5...А0 S3. ..50 adf' Ш Ю Z...0 ------ ---- 10 Z... J J J- ЦафриОи АОресв Адрес A, Hti Аншговм cntpamin ронстоктз сМам опероци> Рис. 11.18 цателен. Код цифровой операции выдается на АЛУ и управляет ио работой. В полях В5...В0, А5...А0 (табл. 11.4) устанавливаются ад- реса операндов В и А ОЗУ. При А5, А4 = 11г в поле АЗ...АО указывается константа (табл. 11.5) в двоичном дополнительном коде, изменяющаяся в диапазоне—1...4-0,875 с шагом 0,125. Таблица 11.4 Адрес В Адрес А В5 В4 ВЗ В2 В1 во мк А5 А4 АЧ А2 А1 АО мк 0 0 0 0 0 0 моо 0 0 0 0 0 0 моо 0 0 0 0 0 1 М01 0 0 0 0 0 1 М01 0 0 0 0 1 0 М02 0 0 0 0 1 0 М02 « « « • « « • 1 0 0 1 1 1 М39 1 0 0 1 1 1 М39 1 0 1 0 0 0 DAR 1 0 1 0 0 0 DAR В поле S3...SO указывается, на сколько разрядов можно сдвинуть вправо (на 1...13 разрядов) нли влево (на 2 разряда) операнд А в регистре Рг2. В полях ADF1, ADF0 и ADK2...ADK0 задаются аналого- вые операции, которые реализуют следующие операции: ввод IN* —выборка сигнала с входов AC0...AC3, аналого-цифровое преобразование выборок сигнала (CVTS, CVT„), вывод (OUT,.) сигналов на выходы Выхк (к = 0, 1, 2, ... , 7), анализ отдель- 435
Таблица 11.5 Константа Сдр иг А5 А4 А' А2 AI АО мк Значение S3 S2 Si so мк Значе- ние 1 1 0 0 0 0 КРО 0 0 0 0 0 R01 2~i 1 1 0 0 0 1 КР1 0,125 0 0 (; 1 R02 2-2 1 1 0 0 1 0 КР2 0,250 0 0 1 0 R03 о-з 1 1 0 0 1 1 крз '',375 0 0 1 1 R04 0-4 1 1 0 1 0 0 КР4 0,5 0 1 0 0 R05 1 1 0 1 0 1 КР5 0,625 0 1 0 1 R06 ,-6 1 1 0 1 1 0 КР6 0,75 0 1 1 0 R07 2“’ 1 1 0 1 1 1 КР7 0,875 0 1 1 1 R08 2-е 1 1 1 0 0 0 КМ8 —1 1 0 0 0 R09 2-0 1 1 1 0 0 1 |КМ7 —0,875 1 0 0 1 R10 2-ю 1 1 1 0 1 0 КМ6 —0,75 1 0 1 0 R11 2-п 1 1 1 0 1 1 КМ5 —0,625 1 0 1 1 R12 2“ 12 1 1 1 1 и 0 КМ4 —0,5 1 1 0 0 R13 2*1з 1 1 1 1 0 1 кмз —0,375 1 1 0 1 L02 22 I 1 1 1 1 0 КМ2 —0,25 1 1 1 0 L01 21 1 1 1 1 1 1 КМ1 —0,125 1 1 1 1 R00 2» ных битов регистра Pel (CNDS, CVDn), а также операции «Ко- нец программы» (ЕОР) и «Холостая операция» (NOP), В рабочем режиме из РПЗУ последовательно считываются четыре команды и записываются в 96-разрядный буферный ре- гистр. Считывание одной команды выполняется за четыре такта работы генератора тактовых импульсов (ГТИ). Программный счетчик возвращается в исходное состояние после выполнения последней 191-й команды, а также после выполнения операции «Конец программы». Одновременно со считыванием четверки команд процессор выполняет предыдущие четыре команды. Схема синхронизации управляет работой всех узлов БИС. Вывод «Работа / программирование» (Р/ПР) задает режим работы БИС и всегда подключается к корпусу. Однако его логическое значение зависит от подключения источника питания —5 В. В рабочем режиме, когда напряжение источника питания —5 В подключено, вывод Р/ПР соответствует состоянию логической «1». В режиме программирования, когда напряжение источника —5 В отключено, на выводе Р/11Р — логический «О». Выводы КР1, КР2/ТИ предназначены для подключения кварцевого резонатора (КР) нли подачи внешних тактовых им- пульсов (ТИ). На вывод «Синхроимпульс цикла» (СЦ) выдается импульс низкого уровня, обозначающий начало цикла выборки команды из РПЗУ. Двунаправленный вывод К/(КПР служит для выдачи сигнала низкого уровня при чтении из РПЗУ ко- манды ЕОР («Конец программы» КПР) и перехода на начало программы и для приема внешнего сигнала «Сброс» (R), который обнуляет программный счетчик, в результате чего выполнение программы начинается с нулевого адреса. Сигнал R необходимо стробировать импульсами СЦ, которые позволяют синхрони- 436
эировать работу ОМЦОАС с другими устройствами системы (рис. 11.19). Система команд ОМЦОАС (табл. 11.6) ориентирована на реализацию алгоритмов обработки сигналов. Каждая команда занимает в РПЗУ одно 24-разрядное слово и выполняется за четыре такта (600 нс). Цифровые команды реализуют операции над содержимым в ОЗУ с адресами А и В. Поле В указывает адрес результата н одной из переменных, поле А — второй переменной или константы, которую можно промасштабировать путем сдвига на число разрядов, указанных полем S, Выполняя операции сложения-вычитания над сдвинутыми значениями переменной А, можно реализовать программно операцию умножения переменной на константу. Выполнение операции умножения основывается на однозначности представ- ления двоичной константы в виде взвешенной суммы двоичных Рис, 11.19 п цифр ^6,2'С где Ь( = 0 или 1. Произведение А X В = п = вычисляется суммированием промасштабирован- 1=0 них значений переменной А для позиций i, в которых Ь( = 1. Суммирование-вычитание промасштабированных значений позво- ляет формировать произвольные константы. В качестве опе- ранда А при этом используются 4-разрядные константы, выби- раемые полем А. В поле аналоговой операции цифровых команд указывается холостая операция NOP или операции ввода-вы- вода аналого-цифрового преобразования. Это позволяет совме- стить во времени выполнение цифровых и аналоговых операций. Заполнение поля аналоговой операции проверки условия CVDn позволяет реализовать цифровые команды условного пе- рехода. Команда условной пересылки LDA CVD^ используется дтя условной загрузки константы в ячейку ОЗУ, адресуемую полем В. Константа формируется полями A, S, а условие выпол- нения за1рузки определяйся содержимым разряда регистра DAR, выбираемого полем л операции CNDn. Команда условного сложения ADD CND,, используется для операции умножения на переменную. Переменная (множитель) размещается в регистре DAR, а операция сложения промасшта- бчрованного на 2п разрядов множимого А выполняется, если п-к разряд множителя равен единице. Результат умножения формируется в ячейке ОЗУ, адресуемой полем В. Команда условного вычитания SUB CNDn используется для выполнения операции деления на переменную. По команде 4-7
Таблица 11.6 Обозначение команды Содержание команды Цифровые команды безусловного перехода LDA В, A, S (В) ч- (А) X 2s ADD В, A, S (В) ч-(В) + (А) X 2s SUB В, A, S (В) ч-(В) — (А) X 2s АВА В, A, S (В) ч-(В) + | (А) X 2s | ABS В, A, S (В)ч-|(А)Х2’| LIM В, A, S .о, /0,11.,.1, если А :> 0 1 1.00...0, если А<0 AND В, A, S (В)ч-(В) (А) X 2s XOR В, A, S (В)ч-(В) ф(А) X 2s Цифровые команды условного перехода LDA В, A, S CNDn ((В), если DAR (м) = 0 (°) *“ ( (Д) х 2s, если DAR (л) = 1 | (В), если DAR (п) = 0 (В)*" 1(B)+(A)X2S, если DAR (п)=1 (В) ч— (В) — (А) X 2s, если СУ^ = 1 (В) + (А) X 2s, если СУс t = 0 DAR (п) ч— СУ,.! Запрет ограничения АЛУ ADD В, A, S CNDn SUB В, A, S CND„ АВА В, А, С CNDn XOR В, A, S CNDn Разрешение ограничения АЛУ Аналоговые команды IN* CVTn OUT* NOP EOP RNZ JNZ Выборка аналогового сигнала co входа k, A =0, 1, 2, 3 DAR (n) +- АЦП Вывод на выход k, fe = 0, 1, 2, .,7 Холостая операция PC ч- 0, Конец программы PC ч- 0, если CND„ = 1 PC ч- PC 4- 32, если CNDn = 1 выполняется сложение или вычитание промасштабированного на 2п делителя из ячейки, адресуемой полем А, в зависимости от значения переноса СУ/.ц вычисленного при выполнении пре- дыдущей команды. Значение вычисленного по текущей команде переноса образует разряд частного и заносится в n-й разряд регистра DAR. В результате выполнения девяти команд услов- ного вычитания в регистре DAR формируется частное, а в ячейке ОЗУ, адресуемой полем В,— остаток от деления. Размещение операций проверки условий CND„ в поле аналоговых операций логических команд АВА, XOR исполь- зуется для запрета и разрешения режима ограничения резуль- тата при возникновении переполнения разрядной сеткн АЛУ. При этом цифровые операции команд (сложение с модулем и ис- ключающее ИЛИ) всегда выполняются, Поэтому в цифровых 438
полях В, A, S команд необходимо указывать коды, значения которых не влияют иа результаты вычислений. Команды IN*, CVT„, OUT/c реализуют соответствующие аналоговые операции и используются для ввода-вывода значе- ний обрабатываемых сигналов. В цифровой части команд при этом, как указывалось, располагаются цифровые операции, не- обходимые по программе. Холостая команда NOP используется для заполнения ана- логовой части цифровых команд. Как самостоятельная команда она может использоваться для формирования временных за- Рис. 11.20 держек в программе, для обеспечения требуемой длительности выполнения программы. Команда ЕОР завершает программу и обеспечивает безуслов- ный возврат в ее начало (на нулевой адрес РПЗУ). Команды RNZ, JNZ обозначают условные переходы в на- чало программы и на 32 ячейки вперед соответственно. Команды имеют форматы XOR 44 44 R00 и AND 44 44 R00 и выполняются в зависимости от состояния триггера CND, который хранит ре- зультат последней операции CND^. Если операция условной передачи управления находится в одной команде с аналоговой операцией CNDS илн CNDrt, то сначала анализируется соответ- ствующий бит регистра DAR, а затем полученное значение ис- пользуется операцией условной передачи управления. За один цикл обращения к РПЗУ выбирается сразу четыре команды. Поэтому команды передачи управления ЕОР, RNZ и JNZ должны располагаться по определенным адресам РПЗУ: команды ЕОР и RNZ — по адресам 4п, а команды JNZ — по 439
адресам 4 + 2, где О С п< 47. Невыполнение этих условий может привести к неправильному выполнению программы. Кро- ме того, если команда JNZ расположена по адресам 32,,, где О С п с 6, то переход не выполняется независимо от значения CND. Если при операции J NZ CND = 1, то выполняются пять ко- манд, расположенных после JNZ, и затем — переход на 32 ко- манды вперед. Программирование ОМЦОАС. Схема включения ОМЦОАС в режиме программирования показана на рис. 11.20,а. В режиме программирования 24-разрядное командное слово разбивается ьа шесть 4-разрядпых слов (рис. 11.20,6). РПЗУ имеет органи- зацию (192 X 6) X 4. Запись и чтение содержимого РПЗУ идет через двунаправ- ленные выводы D3...D0, управление сигналом на выводе ЗП/ЧТ. Высокий уровень на этом выводе соответствует режиму записи, низкий — режиму чтения. Внутренний счетчик адресов изме- няет свое состояние по спаду сигнала на входе СИ, а при низком уровне сигнала на входе R счетчик адреса устанавливается в «0». Для записи данных в РПЗУ по входам D3...D0 необходимо на вход «Запись программы» (ЗППР) подать импульсы амплиту- дой 25 В длительностью 50 мкс (рис. 11.20,в), а при чтении — импульсы амплитудой 5В. Для правилвного программирования БИС сигналы на входы СИ, R, ЗП/ЧТ рекомендуется подавать через вентили с открытым коллектором. Выходные каскады по линиям D3...D0 требуют подключения внешних резисторов. При записи в РПЗУ данные представляются в прямом коде, а при считывании из РПЗУ — в инверсном. На рис. 11.21 показана схема включения БИС К1813 для обработки аналоговых сиг- налов.
СПИСОК ЛИТЕРАТУРЫ 1. Абрайтис В.— Б. Б.. Седаускас С. Ю., Пятраускас А.— В. В. Микропроцессорный комплект БИС высокого быстродей- ствия К1800.— ЛЕ: Радио и связь, 1986.— 184 с. 2. А. с. 557438 СССР, МКИ2. 3. Алексенко А. Г., Галицын А. А., Иванников А. Д. Проек- тирование радиоэлектронной аппаратуры на микропроцессо- рах.— М.: Радио н связь, 1984.— 272 с. 4. Алексенко А. Г., Шагурин И. И. Микросхемотехника: Учеб, пособие для вузов / Под ред. И. П. Степаненко.— М.: Радио и связь, 1982.— 416 с. 5. Аналоговые интегральные микросхемы: Справ. Б. П. Куд- ряшов, Ю. В. Назаров, Б. В. Тарабрин и др.— М.: Радио и связь, 1981.— 160 с. 6. Аналоговые и цифровые интегральные микросхемы: Справ./ Под ред. С. В. Якубовского.— М.: Радио и связь, 1984.— 432 с. 7. Бахтиаров Г. Д., Малинин В. В., Школин В. П. Аналого- цифровые преобразователи.— М.: Сов. радио, 1980.— 280 с. 8. Березенко А. И., Корягин Л. Н., Назарьян А. Р. Микро- процессорные комплекты повышенного быстродействия.— М.: Радио и связь, 1981.— 168 с. 9. Блейксли Т. Р. Проектирование цифровых устройств с малыми и большими интегральными схемами.— К.: Вища шк., 1981.— 336 с. 10. Бобков В. А., Бурмистров Ю. Н., Кособрюхов В. А. Од- нокристальные 4-разрядные микро-ЭВМ серии КР1820 // Мик- ропроцес. средства и системы.— 1986.— № 1.— С. 19—27. 11. Бобков В. А., Чернуха Б. Н., Свиридович В. С. Расши- ренный микропроцессорный комплект БИС серии К588 // Мик- ропроцес. средства и системы.— 1987.— № 1.— С. 6—7. 12. Бочаров Л. Н., Жебряков С. К., Колесников П. Ф. Ра- счет электронных устройств на транзисторах.— М.:Энергпя, 1978.— 321 с. 13. Будинский Я. Логические цепи в цифровой технике.— М.: Связь, 1977,— 392 с. 14. Букреев И. Н., Мансуров Б. М., Горячев В. И. Микро- электронные схемы цифровых устройств.— М.: Сов. радио, 1975.— 368 с. 15. Весноватое М. Г., Карацюба Г. А., Павлов В. В. Перспективные однокристальные ЭВМ // Микропроцес. средства и системы.— 1987.— № 2.— С. 7—8. 16. Волков С. Генераторы прямоугольных импульсов на МОП-элементах: Пер. с болг.— М.: Энергоиздат, 1981.— 232 с. 17. Волков Р. И., Горский В. П., Дшхуиян В. Л. Однокри- стальный микропроцессор КМ1801ВМЗ // Микропроцес. средства и системы.— 1986.— № 4.— С. 37—41. 441
18. Гивоке Д., Россер Р. Микропроцессоры и микрокомпью- теры.— М.: Мир, 1983.— 464 с. 19. Гилмор Ч. Введение в микропроцессорную технику: Пер. с англ.— М.: Мир, 1984.— 334 с. 20. Гинзбург С. А. Математическая непрерывная логика и изображение функций.— М.: Энергия, 1968.— 136 с. 21. Гитис Э. И., Пискулов Е. А. Аналого-цифровые преоб- разователи.— М.: Энергоиздат, 1981.— 360 с 22. Гнатек Ю. Р. Справочник По цифроаналоговым и ана- лого-цифровым преобразователям: Пер. с англ. / Под ред. Ю. А. Рю- жина.— М.: Радио и связь, 1982.— 552 с. 23. Голдсуорг Б. Проектирование цифровых логических устройств.— М.: Машиностроение, 1985.— 288 с. 24. Гольденберг Л. М. Импульсные и цифровые устройст- ва.— М.: Связь, 1973.— 490 с. 25. Григорьев В. Л. Программное обеспечение микропро- цессорных систем.— М.: Энерюатомиздат. 1983.— 208 с. 26 Дшхунян В. Л., Борщенко Ю. И., Науменков В, Р. Од- нокристальные микропроцессоры комплекта БИС серин К.1801 // Микропроцес. средства и системы.— 1984.— № 4 — С. 12—18. 27. Ерофеев Ю. Н. Основы импульсной техника.— М.: Высш, шк., 1979,— 396 с. 28. Зи С. Физика полупроводниковых приборов: В 2 кн.— М.: Мнр, 1984,— Кн. 2.— 456 с. 29. Зиссос Д. Проектирование систем иа микропроцессо- рах — К.: Техн1ка, 1982 — 1 76 с. 30. Златопольский А. Н., Лобов И. Е., Стоянов А. И. Одно- кристальные 4-разрядные микро-ЭВМ серии К1814//Микро- процес. средства и системы.— 1985.— № 1,— С. 3—10. 31. Измерение параметров цифровых интегральных микро- схем ! Под ред. Д. Ю. Эйдукаса, Б. В. Орлова.— М.: связь, 1982.— 368 с. 32. Индикаторные устройства па жидких кристаллах / 3. 10. Готра, Л. К. Вистинь, В. В. Пархоменко и др.; Под ред. 3. Ю. Готры.— М.: Сов. радио, 1980.— 240 с. 33. Интегральные микросхемы: Справ./ Б. В. Тарабрин, Л. Ф. Лунин, Ю. И. Смирнов и др,; Под ред. Б. В. Тарабрипа.— М.: Радио и связь, 1985.— 528 с. 34. Интегральные схемы на МДП-прчборах: Пер. с англ. / Под ред. А. Н. Кармазинского.— М.: Мир, 1975.— 528 с. 35. Источники электропитания радиоэлектронной аппарат туры: Справ./ Под ред. Г. С. Найвельта,— М.: Радио и связь, 1985,— 576 с. 36. Каган Б. М. Электронные вычислительные машины и системы: Учеб, пособие для вузов.— М.: Энергия, 1979.— 528 с. 37. Каган Б. М., Сташин В. В. Основы проектирования микропроцессорных устройств автоматики.— М.: Энергоатом- издат, 1987.— 304 с. 38. Калабеков Б. А., Мамзелев И. А. Основы автоматики и вычислительной техники.— М.: Связь, 1980.— 296 с. 39. Калошкин Э. П., Васильев Л. В. Микропроцессорный комплект БИС К1815 для конвейерных систем цифровой обра- ботки сигналов // Микропроцес, средства и системы.— 1986.— № 2.—G. 14—23; № 3.—С. 8—13. 40, Караханян Э. Р,, Шилин В. А. Динамические инте- гральные схемы памяти с МДП-структурой.— М,: Радио и связь, 1984.— 136 с. 442
41. Кармазинский А. Н. Синтез принципиальных схем циф- ровых элементов на МДП-трапзисторах.— М.: Радио и связь, 1983,— 256 с. 42. Кнносита К., Асада К., Карацу О. Логическое проекти- рование СБИС.— М.: Мир, 1988.— 309 с, 43. Кобылинский А. В., Береза А. В., Сабадаш Н. Г. Систе- ма команд микропроцессора КМ1810ВМ86 И Микропроцес.сред- ства и системы.— 1986.— № 2.— С. 3—9. 44. Кобылинский А. В., Липовецкий Г. П. Однокристальные микро-ЭВМ серин К1816// Микропроцес. средства и системы.— 1986,—№ 1.—С. 10-19. 45. Кобылинский А. В.,Москалевский А. И., Темченко В. А. Однокристальный высокопроизводительный 16-разрядныи мик- ропроцессор И Микропроцес. средства и системы.— 1986.— № 1.-С. 28—33. 46. Крылов Е. И. Однокристальные микро-ЭВМ серий К1814, К1820, К1816 // Микропроцес. средства и системы.— 1985.— № 2.— С. 3—7. 47. Кулешова В. И. Микропроцессорный комплект серии КР580 // Микропроцес. средства и системы.— 1987,— № 5.— С. 87—94. 48. Левенталь Л. Введение в микропроцессоры. Програм. обеспечение, аппарат, средства, программирование; Пер. с англ.— М.: Энергоатомиздат, 1983,— 464 с. 49. Микропроцессорные комплекты интегральных схем. Состав и структура: Справ./ Под ред. А. А. Васенкова, В. А. Шах- нова.— М.: Радио и связь, 1982.— 192 с. 50. Микропроцессоры: В 3 кн. Кп. 1. Архитектура и проек- тирование микро ЭВМ: Орг. вычислител. процессов / Под ред. Л. Н. Преснухина.— М.: Высш, шк., 1986.— 495 с. 51. Мкртчян С. О. Преобразователи уровней логических элементов.— М.: Радио и связь, 1982.— 64 с. 52. Многозначные элементы и структуры: Сб. ст./ Под ред. В. П. Сигорского.— М.: Сов. радио, 1967.— 208 с. 53. Миогоустойчивые элементы и их применение: Сб. ст. / Под ред. В. П. Сигорского.— М.: Сов. радио, 1971.'— 320 с, 54. Морозов С. А., Барановский Д. М., Минкии Л. К- Однокристальные ЭВМ серии КБ 1013 II Микропроцес. средства и системы.— 1987.— № 5.— С. 5—18. 55. Низковольтные катодолюмннесцентные индикаторы / Б. И. Горфинкель, Б. В. Абнадуев, Р. С. Медведев, А. П. Ло- гинов.— М.: Радио и связь, 1983.— 112 с. 56. Носов К), Р., Шилин В. А. Основы физики приборов с зарядовой связью.— М.: Наука, 1986.— 348 с. 57. Орнатский П. П. Автоматические измерения и прибо- ры.— К.’. Вища шк., 1980.— 560 с. 58. Орнатский П. П. Теоретические основы ииформационно- измерителыюй техники.— К.: Вища шк., 1983.— 455 с. 59. Погорелый С. Д., Слободянюк Т. Ф. Программное обеспе- чение микропроцессорных систем: Справ.— K.S Техника, 1985.— 240 с. 60. Полупроводниковые БИС запоминающих устройств: Справ. / Под ред. А. Ю. Гордонова, Ю. Н, Дьякова,— М.: Ра? дио и связь, 1986.— 360 с. 61. Полупроводниковые приборы. Диоды, тиристоры,опто- электронные приборы: Справ./ Под ред, Н. Н. Горюнова,— М,; Энергонздат, 1982,— 744 с. 443
62. Преснухин Л. Н., Воробьев Н. В., Шишкевич А. А. Расчет элементов цифровых устройств.— М.: Высш, шк., 1982.— 384 с. 63. Применение интегральных микросхем в электронной вычислительной технике: Справ./ Р. В. Данилов, С. А. Ельцова, Ю. П. Иванов и др.; Под ред. Б. Н. Файзулаева, Б. В. Тара- бряна.— М.: Радио и связь, 1986.— 384 с. 64. П| оектирование микропроцессорной электронно-вычислитель- ной аппаратуры: Справ. / В. Г. Аргюхов, А. А. Будняк, В. Ю. Ла- ппи и др.— К.: Тэхника, 1988.—263 с. 65. Пухальский Г. И. Логическое проектирование цифровых устройств радиотехнических систем // Изв. Ленпнгр. ун-та, 1976.— 50 с. 66. СверхБИС универсальных однокристальных микро-ЭВМ / А. В. Кобылинский, Г. П. Липовецкий, Н. Г. Сабадаш и др.— К.: Тэхника, 1987,— 166 с. 67. Свиридович В. С., Черноусова Т. Г..Чернуха Б. Н. Конт- роллер прерываний К588ВН1 И Микропроцес. средства и систе- мы.— 1987.— № 5.— С. 3—5. 68. Сигорский В. Г1. Математический аппарат инженера.— К.: Техн1ка, 1977.— 800 с. 79. Смоляров А. М. Системы отображения информации и ин- женерная психология: Учеб, пособие.— М.: Высш, шк., 1982.— 272 с. 70. Справочник по микропроцессорным устройствам / А. А. Молчанов, В. И. Корнейчук, В. П. Тарасенко и др.— К-: Тэхника, 1987.— 272 с. 71. Степаненко И. П. Основы теории транзисторов и транзи- сторных схем.— М.: Энергия, 1977.— 672 с. 72. Схемотехника БИС постоянных запоминающих уст- ройств / О. А. Петросян, А. Я. Козырь, Л. А. Коледов и др.— М.: Радио и связь, 1987,— 304 с. 73. Темников Ф. Е., Афонин В. А., Дмитриев В. И. Теоре- тические основы информационной .ехпики.— М.: Энергия, 1979.— 512 с. 74. Титце У,, Шенк К. Полуарово.чииковая схемотехника.— М.: Мир, 1982,— 512 с 75. Токхайм Р. Микропроцессоры: Пер. с англ./ Под ред. В. Н. Грасевича.— М.: Энергоатомиздат, 1987.— 336 с. 76. Торгов Ю. И. Однокристальный контроллер прямого доступа к памяти КР580ВТ57 И Микропроцес. средства и си- стемы.— 1984.— № 3.— С. 79—85. 77. Угрюмое Е. П. Проектирование элементов и узлов ЭВМ.— М.: Высш, шк., 1987.— 318 с. 78. Уокерли Дж. Архитектура и программирование микро- ЭВМ. В 2 кн.: Пер. с англ.— М.: Мир, 1984.— Кн. 1.— 486 с.; Кн. 2,—341 с. 79. Федорков Б. Г., Телец В. А., Дегтяренко В. П. Микро- электронные цифроаналоговые и аналого-цифровые преобра- зователи.— М.: Радио и связь, 1984.— 120 с. 80. Фридмаи А., Меиои П. Теория и проектирование пере- ключательных схем.— М.: Мир, 1978.— 578 с. 81. Хвощ С. Т., Варлинский Н, Н., Попов Е. А. Микропро- цессоры и микро-ЭВМ в системах автоматического управления: Справ./ Под ред. С. Т. Хвоща.— Л.'. Машиностроение. Ленин! р. огд-ние, 1987,— 640 с. 444
82. Шило В. Л. Линейные интегральные схемы в радио- электронной аппаратуре.— М.: Сов. радио, 1979.— 368 с. 83. Шило В. Л. Популярные цифровые микросхемы: Справ.— М.: Радио и связь, 1987.— 352 с. 84. Шило В. Л. Функциональные аналоговые интегральные микросхемы.— М.: Радио и связь, 1982.— 128 с. 85. Щелкунов Н. Н., Дианов А. П. Процедуры программи- рования логических матриц//Микропроцес. средства и систе- мы.— 1986.— № 2,—С. 71—76. 86. Яковлев В. Н. Микроэлектронные генераторы импуль- сов.— К.: Техника, 1982.— 208 с. 87. Якубайтис Э. А. Логические автоматы и микромодули.— Рига: Зинатис.— 259 с. 88. Янсен И. Курс цифровой электроники: В 4 т. Т. 1.— Основы цифровой электроники на ИС: Пер. с гол.— М.: Мир, 1987.— 334 с. 89. Янсен Й. Курс цифровой электроники: В 4 т. Т. 2. Проектирование устройств на цифровых ИС: Пер. с гол.— М.: Мир, 1987.— 367 с. 90. Янсен Й. Курс цифровой электроники: В 4 т. Т. 3. Слож- ные ИС для устройств передачи данных: Пер. с юл.— М.: Мир, 1987,— 412 с. 91. Barna A., Porat Dan I.: Integrated Circuits in Digital Electronics.— New York: John Wiley and Sons, 1973.— 677 p. 92. Eckhardt D., GroB W. Grundlagen der digitalen Schal- tungstechnik. 3 Auflage. Militarverlage der DDR (VEB).— Ber- lin, 1978,— 511 s. 93. Filipkowski A. Uklady elektroniczne analogowe i cyfro- we.—Warszawa: Wydawnictwa naukovo techniczne, 1980.— 601 s. 94. Kiihn E., Schmied H. Handbuch Integrierte Schaltkreise. 2, durchgesehende Auflage. — Berlin: VEB Verlag Technik, 1980.— 384 s. 95. Peterson W. W., Weldon E. J. Error-Correction Codes.— Cambridge: The MTT Press, 1972.— 345 p. 96. Pienkos J., Turczynski J.Uklady scalone TTL w systeniach cyfrowych.— Warszawa: Wydawnictwo Komunikaciji i Lacznosci, 1982.— 690 s.
ОГЛАВЛЕНИЕ Стр. Предисловие ................ 3 Глава 1. Числа и коды .......................... , 4 1.1. Системы счисления .......................4 1.2. Преобразование чисел ....................6 1.3. Машинное слово ................8 1.4. Обратный и дополнительный коды . . . . )9 1.5. Двоично-десятичные коды ................10 1.6. Код Грея..............,.................12 1.7. Алфавитно-цифровые коды.................13 Глава 2. Алгебра логики . . . , ...................16 2.1. Логические функции.....................16 2.2. Таблицы соответствия , .................17 2,3. Функциональная полнота .................19 2.4. Булева алгебра .........................21 2.5. Стандартные формы ......................24 2.6. Преобразование и упрощение формул ... 26 2.7. Алгоритм Квайна-Мак Класки.............,28 2.8. Алгебраический метод образования тупиковых форм........................................31 2.9. Карты Карио ............................32 Глава 3. Реализация логических функций.............36 3.1. Логические схемы........................36 3.2. Многоступенчатые реализации ...... 38 3.3. Факторизация ......................... 40 3.4. Базисы И—НЕ и ИЛИ—НЕ....................42 3.5. Схемы с многими выходами................45 Глава 4. Элементы цифровой схемотехники............47 4.1. Классификация, параметры, характеристики 47 4.2. Характеристики цифровых схем............52 4.3. Электронные ключи.......................53 4.4. Диодно-транзисторные логические элементы 75 4.5. Транзисторно-транзисторные логические эле- менты .......................................82 4.6. Элементы эмиттерно-связанной логики .... 94 4.7, Логические элементы на МДП-транзнсторах 104 Глава 5. Комбинационные функциональные узлы . . .112 5.1. Преобразователи кодов, шифраторы, дешифра- торы ............................ ..... 112 5.2. Мультиплексоры и демультиплексоры , . .128 5.3. Комбинационные устройства сдвига .... 135 5,4, Комбинационные сумматоры ...,>• 137 Глава 6, Последовательностные схемы ..............153 6.1. Триггеры ............................ 153 6.2. Регистры ............................ 177 6,3. Счетчики 189 446
Глава 7. Полупроводниковые запоминающие устройства . 206 7.1. Классификация и основные параметры ЗУ 206 7.2. Запоминающие элементы ОЗУ.............., 210 7.3. Запоминающие элементы ПЗУ............. 218 7.4. Программируемые логические матрицы . . , 226 Глава 8. Компоненты цифровых систем................231 8.1, Компоненты согласования уровней сигналов 231 8.2. Формирователи и генераторы импульсов . . . 243 8.3. Компоненты отображения цифровой информации 273 Глава 9. Аналого-цифровые преобразователи .... 278 9.1. Дискретизация непрерывных сигналов , , , 278 ' 9.2. Параметры и методы построения АЦП . . , 282 9.3. Элементы АЦП............................289 9.4. Цифроаналоговые преобразователи .... 301 9.5 Аналого-шаговые преобразователи .... 308 Глава 10. Однокристальные микропроцессоры .... 317 10.1. Основные параметры и типы микропроцессор- ных комплектов ..............................317 10.2. Микропроцессор серии КР580 327 10.3, Универсальные интерфейсные БИС серии КР580 ............................ 378 Глава 11. Однокристальные микро-ЭВМ................409 11.1. Однокристальные 8-разрядные микро-ЭВМ се- рии К1816....................................409 11.2. Однокристальные микро-ЭВМ цифровой обра- ботки сигналов серии К1813...................431 Список литературы ................................. , 441
Справочное издание Зубчук Виктор Иванович Сигорский Виталий Петрович Шкуро Анатолий Николаевич Справочник по цифровой схемотехнике Редакторы Е. К. Артем нко, Н. М. Корнильева Оформление художника Е. Н. Прокофьева Художественный редактор В. С. Шапошников Технический редактор Л. И. Левочкина Корректоры Т, Е, Царинская, В. Н. Руденко ИБ № 3894 Сдано в набор 12.07.90. Подписано в печать 22.11.90. Формат 84х1081/»г< Бумага типографская № 2. Гарнитура литературная. Печать высокая. Усл. печ.л. 23,52. Усл кр. отг. 23,73. Уч.изд.л. 29,97. Тираж 32000 экз. Зак. 274. Цена 1 р. 70 к Издательство «Техника». 252601, Киев, 1, ул Коещатик, 5, Книжная фабрика им. М. В. Фрунзе, 310(57, Харьков, 574 Донец-Захаржевского, 6/8.
В.И.ЗУБЧУК В.П.СИГОРСКИЙ А.Н.ШКУРО СПРАВОЧНИК ПО ЦИФРОВОЙ СХЕМОТЕХНИКЕ